OpenCores
URL https://opencores.org/ocsvn/ultimate_crc/ultimate_crc/trunk

Subversion Repositories ultimate_crc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/trunk/rtl/vhdl/ucrc_ser.vhd
44,8 → 44,11
-- CVS Revision History
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1 2005/05/07 12:47:47 gedra
-- Serial implementation.
--
--
--
 
library ieee;
use ieee.std_logic_1164.all;
138,15 → 141,8
crc <= INIT_VALUE;
match_o <= '0';
else
-- CRC match checker (if data plus CRC is clocked in without errors,
-- the CRC register ends up with all zeroes)
if fb = zero then
match_o <= '1';
else
match_o <= '0';
end if;
-- CRC generation/checking
if clken_i = '1' then
-- CRC generation
if flush_i = '1' then
crc(0) <= '0';
crc(msb downto 1) <= crc(msb - 1 downto 0);
153,6 → 149,13
else
crc <= fb;
end if;
-- CRC match checker (if data plus CRC is clocked in without errors,
-- the CRC register ends up with all zeroes)
if fb = zero then
match_o <= '1';
else
match_o <= '0';
end if;
end if;
end if;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.