OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /6809_6309_compatible_core
    from Rev 12 to Rev 13
    Reverse comparison

Rev 12 → Rev 13

/trunk/rtl/verilog/Changelog.txt
6,6 → 6,7
- decoders.v : fixed missing left/dest paths for sex
- alu16.v : fixed sex, mul, c flag for shifts, ror
- MC6809_cpu.v : fixed indirect indexed
 
05.07.14
--------
- decoders.v : fixed missing left/dest paths for daa
/trunk/sim/dump.vcd
1,5 → 1,5
$date
Sun Jul 06 07:45:56 2014
Mon Jul 07 05:55:36 2014
$end
$version
Icarus Verilog
/trunk/sim/tb.v
82,7 → 82,8
$readmemh("test09.hex", mem);//instructions_test.hex", mem);
$display("instructions_test.hex read");
mem[16'hfffe] = 8'h00; // setup reset
mem[16'hffff] = 8'h00;
mem[16'hffff] = 8'h00;
`else
for (i = 0; i < 65536; i=i+1)
mem[i] = 8'ha5;
/trunk/sim/test09.hex
0,0 → 1,1262
7e
00
5a
8e
00
15
8d
17
b6
00
14
8d
26
8e
00
1c
8d
0d
3f
39
00
45
52
52
4f
52
20
00
0d
0a
00
e6
80
27
04
9d
13
20
f8
39
cb
30
c1
39
23
02
cb
07
9d
13
39
1f
89
54
54
54
54
8d
ed
1f
89
c4
0f
20
e7
50
41
53
53
45
44
20
00
8e
00
41
9d
1f
96
14
9d
33
8e
00
1c
9d
1f
0c
14
39
0f
14
9d
49
1c
00
10
29
ff
9f
10
25
ff
9b
10
27
ff
97
10
2b
ff
93
10
23
ff
8f
10
2d
ff
8b
10
2f
ff
87
10
21
ff
83
29
12
25
10
27
0e
2b
0c
23
0a
2d
08
2f
06
21
04
10
28
00
02
0e
03
10
24
00
02
0e
03
10
26
00
02
0e
03
10
2a
00
02
0e
03
10
22
00
02
0e
03
10
2c
00
02
0e
03
10
2e
00
02
0e
03
16
00
02
0e
03
28
02
0e
03
24
02
0e
03
26
02
0e
03
2a
02
0e
03
22
02
0e
03
2c
02
0e
03
2e
02
0e
03
20
02
0e
03
1f
a8
4d
10
26
ff
1d
1c
00
1a
01
10
24
ff
15
10
27
ff
11
10
29
ff
0d
10
2b
ff
09
1a
02
10
28
ff
03
10
27
fe
ff
10
2b
fe
fb
1a
04
10
26
fe
f5
10
2b
fe
f1
1a
08
10
2a
fe
eb
1f
a8
81
0f
10
26
fe
e3
1a
0f
1a
f0
1f
a8
4c
10
26
fe
d8
1a
ff
1c
aa
1f
a8
81
aa
10
26
fe
cc
9d
49
10
ce
80
00
86
28
c6
7f
ce
0d
59
8e
08
ad
10
8e
40
ab
81
28
10
26
fe
b2
c1
7f
10
26
fe
ac
10
83
28
7f
10
26
fe
a4
8c
08
ad
10
26
fe
9d
10
8c
00
0d
10
27
fe
95
10
8c
40
ab
10
26
fe
8d
11
83
0d
59
10
26
fe
85
11
8c
80
00
10
26
fe
7d
1e
12
8c
40
ab
10
26
fe
74
10
8c
08
ad
10
26
fe
6c
1e
10
10
83
40
ab
10
26
fe
62
8c
28
7f
10
26
fe
5b
10
8c
08
ad
10
26
fe
53
1e
10
1e
8b
4d
10
26
fe
4a
1e
8b
1e
89
81
7f
10
26
fe
40
c1
28
10
26
fe
3a
1f
98
c1
28
10
26
fe
32
81
28
10
26
fe
2c
1f
31
11
83
0d
59
10
26
fe
22
8c
0d
59
10
26
fe
1b
1f
51
8c
01
ea
10
26
fe
12
1f
34
11
8c
0d
59
10
26
fe
08
10
ce
80
00
4f
1f
9a
1f
a8
81
28
10
26
fd
f9
9d
49
86
80
8b
ff
10
24
fd
ef
10
28
fd
eb
10
2b
fd
e7
81
7f
10
26
fd
e1
86
00
8b
ff
10
25
fd
d9
10
29
fd
d5
10
2a
fd
d1
81
ff
10
26
fd
cb
1a
01
86
ff
89
00
10
26
fd
c1
10
2b
fd
bd
10
24
fd
b9
86
d8
8b
28
10
26
fd
b1
86
50
8b
28
10
25
fd
a9
10
29
fd
a5
81
78
10
26
fd
9f
1a
01
86
50
89
28
10
25
fd
95
10
29
fd
91
81
79
10
26
fd
8b
1c
fe
c6
50
c9
28
10
25
fd
81
10
29
fd
7d
c1
78
10
26
fd
77
c6
50
c0
78
10
24
fd
6f
10
29
fd
6b
c1
d8
10
26
fd
65
1c
fe
86
8c
82
14
10
28
fd
5b
10
25
fd
57
81
78
10
26
fd
51
1a
01
86
8c
82
14
10
28
fd
47
10
25
fd
43
81
77
10
26
fd
3d
cc
9c
40
83
4e
20
10
28
fd
33
10
25
fd
2f
10
83
4e
20
10
26
fd
27
cc
4e
20
83
9c
40
10
28
fd
1d
10
24
fd
19
10
83
b1
e0
10
26
fd
11
cc
75
30
c3
b1
e0
10
24
fd
07
10
29
fd
03
10
83
27
10
10
26
fc
fb
9d
49
86
23
8b
34
19
10
25
fc
f0
81
57
10
26
fc
ea
1a
01
86
19
89
29
19
10
25
fc
df
81
49
10
26
fc
d9
86
92
8b
08
19
10
24
fc
d0
81
00
9d
49
86
80
c6
02
3d
10
27
fc
c3
10
25
fc
bf
10
83
01
00
10
26
fc
b7
86
00
c6
17
3d
10
26
fc
ae
10
25
fc
aa
10
83
00
00
10
26
fc
a2
86
0a
c6
14
3d
10
24
fc
99
10
83
00
c8
10
26
fc
91
86
64
c6
31
3d
10
83
13
24
10
26
fc
84
5f
1d
10
83
00
00
10
26
fc
7a
c6
80
1d
10
83
ff
80
10
26
fc
6f
c6
32
1d
10
83
00
32
10
26
fc
64
9d
49
86
55
48
10
25
fc
5b
81
aa
10
26
fc
55
48
10
24
fc
50
81
54
10
26
fc
4a
86
00
1c
fe
49
10
26
fc
41
1a
01
49
4a
10
26
fc
39
1c
fe
46
10
26
fc
32
1a
01
46
81
80
10
26
fc
29
47
81
c0
10
26
fc
22
44
81
60
10
26
fc
1b
c6
36
58
c1
6c
10
26
fc
12
9d
49
1a
0f
86
21
4c
10
27
fc
07
10
29
fc
03
10
24
fb
ff
10
2b
fb
fb
4a
10
27
fb
f6
10
29
fb
f2
10
24
fb
ee
10
2b
fb
ea
4f
1c
fe
4a
10
25
fb
e2
10
2a
fb
de
4c
10
26
fb
d9
c6
7e
50
10
29
fb
d2
10
24
fb
ce
c1
82
10
26
fb
c8
5a
5a
50
10
28
fb
c1
c1
80
10
26
fb
bb
5f
50
10
25
fb
b5
10
26
fb
b1
9d
49
8e
04
e3
a6
84
81
05
10
26
fb
a4
a6
80
81
05
10
26
fb
9c
8c
04
e4
10
26
fb
95
ec
81
10
83
06
07
10
26
fb
8b
8c
04
e6
10
26
fb
84
8e
04
e3
a6
82
81
04
10
26
fb
79
8c
04
e2
10
26
fb
72
ec
83
10
83
02
03
10
26
fb
68
8c
04
e0
10
26
fb
61
8e
04
e3
a6
1e
81
03
10
26
fb
56
a6
02
81
07
10
26
fb
4e
8e
04
e9
ec
94
10
83
03
04
10
26
fb
41
8c
04
e9
10
26
fb
3a
ec
98
02
10
83
01
02
10
26
fb
2f
8c
04
e9
10
26
fb
28
9d
49
20
0e
01
02
03
04
05
06
07
08
09
0a
04
e1
04
df
3f
/trunk/sim/p6809.out
4,700 → 4,700
:vpi_module "system";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_00AEAC38 .scope module, "tb" "tb" 2 8;
S_0084AC38 .scope module, "tb" "tb" 2 8;
.timescale -9 -9;
L_00AF58B8 .functor BUFZ 16, v00CABC48_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00AF58F0 .functor BUFZ 8, v00CABCA0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v00CACD50_0 .net "addr", 15 0, v00CABC48_0; 1 drivers
v00CACDA8_0 .net "addr_o", 15 0, L_00AF58B8; 1 drivers
v00CACE00_0 .var "clk", 0 0;
v00CACE58_0 .net "data_i", 7 0, v00AF0710_0; 1 drivers
v00CACEB0_0 .net "data_o", 7 0, v00CABCA0_0; 1 drivers
v00CACF08_0 .net "data_o_o", 7 0, L_00AF58F0; 1 drivers
v00CACF60_0 .net "oe", 0 0, v00CABCF8_0; 1 drivers
v00CACFB8_0 .var "reset", 0 0;
v00CAD010_0 .net "we", 0 0, v00CABD50_0; 1 drivers
L_01017948 .reduce/nor v00CABCF8_0;
L_010179A0 .reduce/nor v00CABD50_0;
S_00AEA660 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10, S_00AEAC38;
L_008558B8 .functor BUFZ 16, v00A0BC48_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_008558F0 .functor BUFZ 8, v00A0BCA0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v00A0CD50_0 .net "addr", 15 0, v00A0BC48_0; 1 drivers
v00A0CDA8_0 .net "addr_o", 15 0, L_008558B8; 1 drivers
v00A0CE00_0 .var "clk", 0 0;
v00A0CE58_0 .net "data_i", 7 0, v00850710_0; 1 drivers
v00A0CEB0_0 .net "data_o", 7 0, v00A0BCA0_0; 1 drivers
v00A0CF08_0 .net "data_o_o", 7 0, L_008558F0; 1 drivers
v00A0CF60_0 .net "oe", 0 0, v00A0BCF8_0; 1 drivers
v00A0CFB8_0 .var "reset", 0 0;
v00A0D010_0 .net "we", 0 0, v00A0BD50_0; 1 drivers
L_00E87948 .reduce/nor v00A0BCF8_0;
L_00E879A0 .reduce/nor v00A0BD50_0;
S_0084A660 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10, S_0084AC38;
.timescale -9 -9;
L_00AF5960 .functor AND 1, L_00CAD068, L_00CAD0C0, C4<1>, C4<1>;
L_00AF5A40 .functor AND 1, L_00CAD118, L_00CAD170, C4<1>, C4<1>;
L_00CAD5C0 .functor AND 1, L_00CAD1C8, L_00CAD220, C4<1>, C4<1>;
L_01018658 .functor AND 1, L_01018E20, L_01017420, C4<1>, C4<1>;
L_00AF5880 .functor BUFZ 1, v00CACFB8_0, C4<0>, C4<0>, C4<0>;
L_0101C038 .functor BUFZ 6, v00CACCF8_0, C4<000000>, C4<000000>, C4<000000>;
v00CAAA38_0 .net *"_s1", 0 0, L_00CAD068; 1 drivers
v00CAAA90_0 .net *"_s13", 0 0, L_00CAD1C8; 1 drivers
v00CAAAE8_0 .net *"_s15", 0 0, L_00CAD220; 1 drivers
v00CAAB40_0 .net *"_s24", 8 0, L_01017370; 1 drivers
v00CAAB98_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v00CAABF0_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v00CAAC48_0 .net *"_s3", 0 0, L_00CAD0C0; 1 drivers
v00CAACA0_0 .net *"_s30", 0 0, L_01017420; 1 drivers
v00CAACF8_0 .net *"_s7", 0 0, L_00CAD118; 1 drivers
v00CAAD50_0 .net *"_s9", 0 0, L_00CAD170; 1 drivers
v00CAADA8_0 .net "alu_o_CCR", 7 0, v00CAA4E0_0; 1 drivers
v00CAAE00_0 .net "alu_o_result", 15 0, v00CAA880_0; 1 drivers
v00CAAE58_0 .alias "cpu_addr_o", 15 0, v00CACD50_0;
v00CAAEB0_0 .net "cpu_clk", 0 0, v00CACE00_0; 1 drivers
v00CAAF08_0 .alias "cpu_data_i", 7 0, v00CACE58_0;
v00CAAF60_0 .alias "cpu_data_o", 7 0, v00CACEB0_0;
v00CAAFB8_0 .net "cpu_firq_n", 0 0, C4<z>; 0 drivers
v00CAB010_0 .net "cpu_irq_n", 0 0, C4<z>; 0 drivers
v00CAB068_0 .net "cpu_nmi_n", 0 0, C4<z>; 0 drivers
v00CAB118_0 .alias "cpu_oe_o", 0 0, v00CACF60_0;
v00CAB0C0_0 .net "cpu_reset", 0 0, v00CACFB8_0; 1 drivers
v00CAB170_0 .net "cpu_state_o", 5 0, L_0101C038; 1 drivers
v00CAB1C8_0 .alias "cpu_we_o", 0 0, v00CAD010_0;
v00CAB220_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v00CAB278_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v00CAB2D0_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v00CAB328_0 .var "datamux_o_dest", 15 0;
v00CAB380_0 .var "datamux_o_dest_reg_addr", 3 0;
v00CAB3D8_0 .net "debug_clk", 0 0, C4<z>; 0 drivers
v00CAB430_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v00CAB488_0 .net "dec_lo_dest_reg_addr", 3 0, v010112C0_0; 1 drivers
v00CAB4E0_0 .net "dec_lo_left_path_addr", 3 0, v01011528_0; 1 drivers
v00CAB538_0 .net "dec_lo_right_path_addr", 3 0, v010115D8_0; 1 drivers
v00CAB5C0_0 .net "dec_o_alu_opcode", 4 0, v00AF0E48_0; 1 drivers
v00CAB618_0 .net "dec_o_alu_size", 0 0, L_01017630; 1 drivers
v00CAB670_0 .net "dec_o_cond_taken", 0 0, v00AF0A28_0; 1 drivers
v00CAB6C8_0 .net "dec_o_dest_reg_addr", 3 0, L_01018B48; 1 drivers
v00CAB720_0 .net "dec_o_ea_indirect", 0 0, L_01017790; 1 drivers
v00CAB778_0 .net "dec_o_ea_ofs0", 0 0, v010109D0_0; 1 drivers
v00CAB7D0_0 .net "dec_o_ea_ofs16", 0 0, v01010A28_0; 1 drivers
v00CAB828_0 .net "dec_o_ea_ofs8", 0 0, v01010A80_0; 1 drivers
v00CAB880_0 .net "dec_o_ea_wpost", 0 0, v01010AD8_0; 1 drivers
v00CAB8D8_0 .net "dec_o_left_path_addr", 3 0, L_01018AD8; 1 drivers
v00CAB930_0 .net "dec_o_p1_mode", 2 0, v01010B30_0; 1 drivers
v00CAB988_0 .net "dec_o_p1_optype", 2 0, v01010C38_0; 1 drivers
v00CAB9E0_0 .net "dec_o_right_path_addr", 3 0, v01011580_0; 1 drivers
v00CABA38_0 .net "dec_o_right_path_mod", 1 0, v00AF0EA0_0; 1 drivers
v00CABA90_0 .net "dec_o_source_size", 0 0, L_010173C8; 1 drivers
v00CABAE8_0 .net "dec_o_use_s", 0 0, v01010DF0_0; 1 drivers
v00CABB40_0 .net "dec_o_wdest", 0 0, L_01017478; 1 drivers
v00CABB98_0 .net "dec_o_write_flags", 0 0, L_01018E20; 1 drivers
v00CABBF0_0 .var "k_clear_e", 0 0;
v00CABC48_0 .var "k_cpu_addr", 15 0;
v00CABCA0_0 .var "k_cpu_data_o", 7 0;
v00CABCF8_0 .var "k_cpu_oe", 0 0;
v00CABD50_0 .var "k_cpu_we", 0 0;
v00CABDA8_0 .var "k_dec_su", 0 0;
v00CABE00_0 .var "k_eahi", 7 0;
v00CABE58_0 .var "k_ealo", 7 0;
v00CABEB0_0 .net "k_firq_req", 0 0, L_00AF5A40; 1 drivers
v00CABF08_0 .var "k_forced_mem_size", 0 0;
v00CABF60_0 .var "k_inc_pc", 0 0;
v00CABFB8_0 .var "k_inc_su", 0 0;
v00CAC010_0 .var "k_ind_ea", 7 0;
v00CAC068_0 .var "k_indirect_loaded", 0 0;
v00CAC0C0_0 .net "k_irq_req", 0 0, L_00CAD5C0; 1 drivers
v00CAC118_0 .var "k_mem_dest", 1 0;
v00CAC170_0 .var "k_memhi", 7 0;
v00CAC1C8_0 .var "k_memlo", 7 0;
v00CAC220_0 .var "k_mul_cnt", 0 0;
v00CAC278_0 .var "k_new_pc", 15 0;
v00CAC2D0_0 .net "k_nmi_req", 0 0, L_00AF5960; 1 drivers
v00CAC328_0 .var "k_ofshi", 7 0;
v00CAC380_0 .var "k_ofslo", 7 0;
v00CAC3D8_0 .var "k_opcode", 7 0;
v00CAC430_0 .var "k_p2_valid", 0 0;
v00CAC488_0 .var "k_p3_valid", 0 0;
v00CAC4E0_0 .var "k_postbyte", 7 0;
v00CAC538_0 .var "k_pp_active_reg", 3 0;
v00CAC5C0_0 .var "k_pp_regs", 7 0;
v00CAC618_0 .var "k_reg_firq", 2 0;
v00CAC670_0 .var "k_reg_irq", 2 0;
v00CAC6C8_0 .var "k_reg_nmi", 2 0;
v00CAC720_0 .net "k_reset", 0 0, L_00AF5880; 1 drivers
v00CAC778_0 .var "k_set_e", 0 0;
v00CAC7D0_0 .var "k_write_dest", 0 0;
v00CAC828_0 .var "k_write_exg", 0 0;
v00CAC880_0 .var "k_write_pc", 0 0;
v00CAC8D8_0 .var "k_write_post_incdec", 0 0;
v00CAC930_0 .var "k_write_tfr", 0 0;
v00CAC988_0 .var "next_mem_state", 5 0;
v00CAC9E0_0 .var "next_push_state", 5 0;
v00CACA38_0 .var "next_state", 5 0;
v00CACA90_0 .net "regs_o_CCR", 7 0, L_010170B0; 1 drivers
v00CACAE8_0 .net "regs_o_dp", 7 0, v01011E48_0; 1 drivers
v00CACB40_0 .net "regs_o_eamem_addr", 15 0, L_010181C0; 1 drivers
v00CACB98_0 .net "regs_o_left_path_data", 15 0, v01012920_0; 1 drivers
v00CACBF0_0 .net "regs_o_pc", 15 0, L_00CAFCC0; 1 drivers
v00CACC48_0 .net "regs_o_right_path_data", 15 0, v010129D0_0; 1 drivers
v00CACCA0_0 .net "regs_o_su", 15 0, L_01017108; 1 drivers
v00CACCF8_0 .var "state", 5 0;
E_00AC3110 .event posedge, v00CAC720_0, v01011210_0;
E_00AC2B50/0 .event edge, v010115D8_0, v00CAC1C8_0, v00CAC170_0, v00AF0EA0_0;
E_00AC2B50/1 .event edge, v010129D0_0;
E_00AC2B50 .event/or E_00AC2B50/0, E_00AC2B50/1;
E_00AC2DF0/0 .event edge, v00CAC170_0, v00CAC1C8_0, v00CAC118_0, v01010B30_0;
E_00AC2DF0/1 .event edge, v01012AD8_0, v00CABE00_0, v00CABE58_0, v01012A80_0;
E_00AC2DF0/2 .event edge, v01010978_0, v01012420_0;
E_00AC2DF0 .event/or E_00AC2DF0/0, E_00AC2DF0/1, E_00AC2DF0/2;
E_00AC2E10/0 .event edge, v01011528_0, v00CAC170_0, v00CAC1C8_0, v01010C38_0;
E_00AC2E10/1 .event edge, v01010978_0, v01012420_0, v01012920_0;
E_00AC2E10 .event/or E_00AC2E10/0, E_00AC2E10/1;
E_00AC2D90/0 .event edge, v00CAA880_0, v01010C38_0, v00CAC170_0, v00CAC1C8_0;
E_00AC2D90/1 .event edge, v01010978_0, v01012420_0;
E_00AC2D90 .event/or E_00AC2D90/0, E_00AC2D90/1;
E_00AC0AD0 .event edge, v00CAC538_0, v010112C0_0;
E_00AC2DB0 .event edge, v00CAC538_0, v01011528_0;
L_00CAD068 .part v00CAC6C8_0, 2, 1;
L_00CAD0C0 .part v00CAC6C8_0, 1, 1;
L_00CAD118 .part v00CAC618_0, 2, 1;
L_00CAD170 .part v00CAC618_0, 1, 1;
L_00CAD1C8 .part v00CAC670_0, 2, 1;
L_00CAD220 .part v00CAC670_0, 1, 1;
L_010172C0 .part v00CAC4E0_0, 4, 4;
L_01017318 .concat [ 8 8 0 0], v00CAC380_0, v00CAC328_0;
L_01017370 .concat [ 6 3 0 0], v00CACCF8_0, C4<000>;
L_01017420 .cmp/eq 9, L_01017370, C4<000010010>;
S_00AEAA18 .scope module, "alu" "alu" 3 119, 4 15, S_00AEA660;
L_00855960 .functor AND 1, L_00A0D068, L_00A0D0C0, C4<1>, C4<1>;
L_00855A40 .functor AND 1, L_00A0D118, L_00A0D170, C4<1>, C4<1>;
L_00A0D5C0 .functor AND 1, L_00A0D1C8, L_00A0D220, C4<1>, C4<1>;
L_00E88658 .functor AND 1, L_00E88E20, L_00E87420, C4<1>, C4<1>;
L_00855880 .functor BUFZ 1, v00A0CFB8_0, C4<0>, C4<0>, C4<0>;
L_00E8C038 .functor BUFZ 6, v00A0CCF8_0, C4<000000>, C4<000000>, C4<000000>;
v00A0AA38_0 .net *"_s1", 0 0, L_00A0D068; 1 drivers
v00A0AA90_0 .net *"_s13", 0 0, L_00A0D1C8; 1 drivers
v00A0AAE8_0 .net *"_s15", 0 0, L_00A0D220; 1 drivers
v00A0AB40_0 .net *"_s24", 8 0, L_00E87370; 1 drivers
v00A0AB98_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v00A0ABF0_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v00A0AC48_0 .net *"_s3", 0 0, L_00A0D0C0; 1 drivers
v00A0ACA0_0 .net *"_s30", 0 0, L_00E87420; 1 drivers
v00A0ACF8_0 .net *"_s7", 0 0, L_00A0D118; 1 drivers
v00A0AD50_0 .net *"_s9", 0 0, L_00A0D170; 1 drivers
v00A0ADA8_0 .net "alu_o_CCR", 7 0, v00A0A4E0_0; 1 drivers
v00A0AE00_0 .net "alu_o_result", 15 0, v00A0A880_0; 1 drivers
v00A0AE58_0 .alias "cpu_addr_o", 15 0, v00A0CD50_0;
v00A0AEB0_0 .net "cpu_clk", 0 0, v00A0CE00_0; 1 drivers
v00A0AF08_0 .alias "cpu_data_i", 7 0, v00A0CE58_0;
v00A0AF60_0 .alias "cpu_data_o", 7 0, v00A0CEB0_0;
v00A0AFB8_0 .net "cpu_firq_n", 0 0, C4<z>; 0 drivers
v00A0B010_0 .net "cpu_irq_n", 0 0, C4<z>; 0 drivers
v00A0B068_0 .net "cpu_nmi_n", 0 0, C4<z>; 0 drivers
v00A0B118_0 .alias "cpu_oe_o", 0 0, v00A0CF60_0;
v00A0B0C0_0 .net "cpu_reset", 0 0, v00A0CFB8_0; 1 drivers
v00A0B170_0 .net "cpu_state_o", 5 0, L_00E8C038; 1 drivers
v00A0B1C8_0 .alias "cpu_we_o", 0 0, v00A0D010_0;
v00A0B220_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v00A0B278_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v00A0B2D0_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v00A0B328_0 .var "datamux_o_dest", 15 0;
v00A0B380_0 .var "datamux_o_dest_reg_addr", 3 0;
v00A0B3D8_0 .net "debug_clk", 0 0, C4<z>; 0 drivers
v00A0B430_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v00A0B488_0 .net "dec_lo_dest_reg_addr", 3 0, v00E812C0_0; 1 drivers
v00A0B4E0_0 .net "dec_lo_left_path_addr", 3 0, v00E81528_0; 1 drivers
v00A0B538_0 .net "dec_lo_right_path_addr", 3 0, v00E815D8_0; 1 drivers
v00A0B5C0_0 .net "dec_o_alu_opcode", 4 0, v00850E48_0; 1 drivers
v00A0B618_0 .net "dec_o_alu_size", 0 0, L_00E87630; 1 drivers
v00A0B670_0 .net "dec_o_cond_taken", 0 0, v00850A28_0; 1 drivers
v00A0B6C8_0 .net "dec_o_dest_reg_addr", 3 0, L_00E88B48; 1 drivers
v00A0B720_0 .net "dec_o_ea_indirect", 0 0, L_00E87790; 1 drivers
v00A0B778_0 .net "dec_o_ea_ofs0", 0 0, v00E809D0_0; 1 drivers
v00A0B7D0_0 .net "dec_o_ea_ofs16", 0 0, v00E80A28_0; 1 drivers
v00A0B828_0 .net "dec_o_ea_ofs8", 0 0, v00E80A80_0; 1 drivers
v00A0B880_0 .net "dec_o_ea_wpost", 0 0, v00E80AD8_0; 1 drivers
v00A0B8D8_0 .net "dec_o_left_path_addr", 3 0, L_00E88AD8; 1 drivers
v00A0B930_0 .net "dec_o_p1_mode", 2 0, v00E80B30_0; 1 drivers
v00A0B988_0 .net "dec_o_p1_optype", 2 0, v00E80C38_0; 1 drivers
v00A0B9E0_0 .net "dec_o_right_path_addr", 3 0, v00E81580_0; 1 drivers
v00A0BA38_0 .net "dec_o_right_path_mod", 1 0, v00850EA0_0; 1 drivers
v00A0BA90_0 .net "dec_o_source_size", 0 0, L_00E873C8; 1 drivers
v00A0BAE8_0 .net "dec_o_use_s", 0 0, v00E80DF0_0; 1 drivers
v00A0BB40_0 .net "dec_o_wdest", 0 0, L_00E87478; 1 drivers
v00A0BB98_0 .net "dec_o_write_flags", 0 0, L_00E88E20; 1 drivers
v00A0BBF0_0 .var "k_clear_e", 0 0;
v00A0BC48_0 .var "k_cpu_addr", 15 0;
v00A0BCA0_0 .var "k_cpu_data_o", 7 0;
v00A0BCF8_0 .var "k_cpu_oe", 0 0;
v00A0BD50_0 .var "k_cpu_we", 0 0;
v00A0BDA8_0 .var "k_dec_su", 0 0;
v00A0BE00_0 .var "k_eahi", 7 0;
v00A0BE58_0 .var "k_ealo", 7 0;
v00A0BEB0_0 .net "k_firq_req", 0 0, L_00855A40; 1 drivers
v00A0BF08_0 .var "k_forced_mem_size", 0 0;
v00A0BF60_0 .var "k_inc_pc", 0 0;
v00A0BFB8_0 .var "k_inc_su", 0 0;
v00A0C010_0 .var "k_ind_ea", 7 0;
v00A0C068_0 .var "k_indirect_loaded", 0 0;
v00A0C0C0_0 .net "k_irq_req", 0 0, L_00A0D5C0; 1 drivers
v00A0C118_0 .var "k_mem_dest", 1 0;
v00A0C170_0 .var "k_memhi", 7 0;
v00A0C1C8_0 .var "k_memlo", 7 0;
v00A0C220_0 .var "k_mul_cnt", 0 0;
v00A0C278_0 .var "k_new_pc", 15 0;
v00A0C2D0_0 .net "k_nmi_req", 0 0, L_00855960; 1 drivers
v00A0C328_0 .var "k_ofshi", 7 0;
v00A0C380_0 .var "k_ofslo", 7 0;
v00A0C3D8_0 .var "k_opcode", 7 0;
v00A0C430_0 .var "k_p2_valid", 0 0;
v00A0C488_0 .var "k_p3_valid", 0 0;
v00A0C4E0_0 .var "k_postbyte", 7 0;
v00A0C538_0 .var "k_pp_active_reg", 3 0;
v00A0C5C0_0 .var "k_pp_regs", 7 0;
v00A0C618_0 .var "k_reg_firq", 2 0;
v00A0C670_0 .var "k_reg_irq", 2 0;
v00A0C6C8_0 .var "k_reg_nmi", 2 0;
v00A0C720_0 .net "k_reset", 0 0, L_00855880; 1 drivers
v00A0C778_0 .var "k_set_e", 0 0;
v00A0C7D0_0 .var "k_write_dest", 0 0;
v00A0C828_0 .var "k_write_exg", 0 0;
v00A0C880_0 .var "k_write_pc", 0 0;
v00A0C8D8_0 .var "k_write_post_incdec", 0 0;
v00A0C930_0 .var "k_write_tfr", 0 0;
v00A0C988_0 .var "next_mem_state", 5 0;
v00A0C9E0_0 .var "next_push_state", 5 0;
v00A0CA38_0 .var "next_state", 5 0;
v00A0CA90_0 .net "regs_o_CCR", 7 0, L_00E870B0; 1 drivers
v00A0CAE8_0 .net "regs_o_dp", 7 0, v00E81E48_0; 1 drivers
v00A0CB40_0 .net "regs_o_eamem_addr", 15 0, L_00E881C0; 1 drivers
v00A0CB98_0 .net "regs_o_left_path_data", 15 0, v00E82920_0; 1 drivers
v00A0CBF0_0 .net "regs_o_pc", 15 0, L_00A0FCC0; 1 drivers
v00A0CC48_0 .net "regs_o_right_path_data", 15 0, v00E829D0_0; 1 drivers
v00A0CCA0_0 .net "regs_o_su", 15 0, L_00E87108; 1 drivers
v00A0CCF8_0 .var "state", 5 0;
E_00823110 .event posedge, v00A0C720_0, v00E81210_0;
E_00822B50/0 .event edge, v00E815D8_0, v00A0C1C8_0, v00A0C170_0, v00850EA0_0;
E_00822B50/1 .event edge, v00E829D0_0;
E_00822B50 .event/or E_00822B50/0, E_00822B50/1;
E_00822DF0/0 .event edge, v00A0C170_0, v00A0C1C8_0, v00A0C118_0, v00E80B30_0;
E_00822DF0/1 .event edge, v00E82AD8_0, v00A0BE00_0, v00A0BE58_0, v00E82A80_0;
E_00822DF0/2 .event edge, v00E80978_0, v00E82420_0;
E_00822DF0 .event/or E_00822DF0/0, E_00822DF0/1, E_00822DF0/2;
E_00822E10/0 .event edge, v00E81528_0, v00A0C170_0, v00A0C1C8_0, v00E80C38_0;
E_00822E10/1 .event edge, v00E80978_0, v00E82420_0, v00E82920_0;
E_00822E10 .event/or E_00822E10/0, E_00822E10/1;
E_00822D90/0 .event edge, v00A0A880_0, v00E80C38_0, v00A0C170_0, v00A0C1C8_0;
E_00822D90/1 .event edge, v00E80978_0, v00E82420_0;
E_00822D90 .event/or E_00822D90/0, E_00822D90/1;
E_00820AD0 .event edge, v00A0C538_0, v00E812C0_0;
E_00822DB0 .event edge, v00A0C538_0, v00E81528_0;
L_00A0D068 .part v00A0C6C8_0, 2, 1;
L_00A0D0C0 .part v00A0C6C8_0, 1, 1;
L_00A0D118 .part v00A0C618_0, 2, 1;
L_00A0D170 .part v00A0C618_0, 1, 1;
L_00A0D1C8 .part v00A0C670_0, 2, 1;
L_00A0D220 .part v00A0C670_0, 1, 1;
L_00E872C0 .part v00A0C4E0_0, 4, 4;
L_00E87318 .concat [ 8 8 0 0], v00A0C380_0, v00A0C328_0;
L_00E87370 .concat [ 6 3 0 0], v00A0CCF8_0, C4<000>;
L_00E87420 .cmp/eq 9, L_00E87370, C4<000010010>;
S_0084AA18 .scope module, "alu" "alu" 3 119, 4 15, S_0084A660;
.timescale -9 -9;
v00CAA488_0 .alias "CCR", 7 0, v00CACA90_0;
v00CAA4E0_0 .var "CCRo", 7 0;
v00CAA538_0 .net "a_in", 15 0, v00CAB278_0; 1 drivers
v00CAA5C0_0 .net "b_in", 15 0, v00CAB2D0_0; 1 drivers
v00CAA618_0 .net "ccr16_out", 3 0, v010132C0_0; 1 drivers
v00CAA670_0 .net "ccr8_out", 7 0, v01014420_0; 1 drivers
v00CAA6C8_0 .alias "clk_in", 0 0, v00CAAEB0_0;
v00CAA720_0 .alias "opcode_in", 4 0, v00CAB5C0_0;
v00CAA778_0 .net "q16_mul", 15 0, v00CAA3D8_0; 1 drivers
v00CAA7D0_0 .net "q16_out", 15 0, v01013790_0; 1 drivers
v00CAA828_0 .net "q8_out", 7 0, v00CA9F60_0; 1 drivers
v00CAA880_0 .var "q_out", 15 0;
v00CAA8D8_0 .var "ra_in", 15 0;
v00CAA930_0 .var "rb_in", 15 0;
v00CAA988_0 .var "rop_in", 4 0;
v00CAA9E0_0 .alias "sz_in", 0 0, v00CAB618_0;
E_00AC3650/0 .event edge, v010116E0_0, v01013790_0, v00AF09D0_0, v010132C0_0;
E_00AC3650/1 .event edge, v00CA9F60_0, v01014420_0;
E_00AC3650 .event/or E_00AC3650/0, E_00AC3650/1;
L_00CAD278 .part v00CAB278_0, 0, 8;
L_00CAD2D0 .part v00CAB2D0_0, 0, 8;
L_00CAEBD8 .part v00CAA8D8_0, 0, 8;
L_00CAEC30 .part v00CAA930_0, 0, 8;
S_00AEA770 .scope module, "mulu" "mul8x8" 4 34, 4 604, S_00AEAA18;
v00A0A488_0 .alias "CCR", 7 0, v00A0CA90_0;
v00A0A4E0_0 .var "CCRo", 7 0;
v00A0A538_0 .net "a_in", 15 0, v00A0B278_0; 1 drivers
v00A0A5C0_0 .net "b_in", 15 0, v00A0B2D0_0; 1 drivers
v00A0A618_0 .net "ccr16_out", 3 0, v00E832C0_0; 1 drivers
v00A0A670_0 .net "ccr8_out", 7 0, v00E84420_0; 1 drivers
v00A0A6C8_0 .alias "clk_in", 0 0, v00A0AEB0_0;
v00A0A720_0 .alias "opcode_in", 4 0, v00A0B5C0_0;
v00A0A778_0 .net "q16_mul", 15 0, v00A0A3D8_0; 1 drivers
v00A0A7D0_0 .net "q16_out", 15 0, v00E83790_0; 1 drivers
v00A0A828_0 .net "q8_out", 7 0, v00A09F60_0; 1 drivers
v00A0A880_0 .var "q_out", 15 0;
v00A0A8D8_0 .var "ra_in", 15 0;
v00A0A930_0 .var "rb_in", 15 0;
v00A0A988_0 .var "rop_in", 4 0;
v00A0A9E0_0 .alias "sz_in", 0 0, v00A0B618_0;
E_00823650/0 .event edge, v00E816E0_0, v00E83790_0, v008509D0_0, v00E832C0_0;
E_00823650/1 .event edge, v00A09F60_0, v00E84420_0;
E_00823650 .event/or E_00823650/0, E_00823650/1;
L_00A0D278 .part v00A0B278_0, 0, 8;
L_00A0D2D0 .part v00A0B2D0_0, 0, 8;
L_00A0EBD8 .part v00A0A8D8_0, 0, 8;
L_00A0EC30 .part v00A0A930_0, 0, 8;
S_0084A770 .scope module, "mulu" "mul8x8" 4 34, 4 604, S_0084AA18;
.timescale -9 -9;
v00CAA278_0 .net "a", 7 0, L_00CAD278; 1 drivers
v00CAA2D0_0 .net "b", 7 0, L_00CAD2D0; 1 drivers
v00CAA328_0 .alias "clk_in", 0 0, v00CAAEB0_0;
v00CAA380_0 .var "pipe0", 15 0;
v00CAA3D8_0 .var "pipe1", 15 0;
v00CAA430_0 .alias "q", 15 0, v00CAA778_0;
S_00AEA880 .scope module, "alu8" "alu8" 4 35, 4 202, S_00AEAA18;
v00A0A278_0 .net "a", 7 0, L_00A0D278; 1 drivers
v00A0A2D0_0 .net "b", 7 0, L_00A0D2D0; 1 drivers
v00A0A328_0 .alias "clk_in", 0 0, v00A0AEB0_0;
v00A0A380_0 .var "pipe0", 15 0;
v00A0A3D8_0 .var "pipe1", 15 0;
v00A0A430_0 .alias "q", 15 0, v00A0A778_0;
S_0084A880 .scope module, "alu8" "alu8" 4 35, 4 202, S_0084AA18;
.timescale -9 -9;
L_00CAD780 .functor NOT 8, L_00CAEBD8, C4<00000000>, C4<00000000>, C4<00000000>;
L_00CAD7B8 .functor BUFZ 8, L_00CAD780, C4<00000000>, C4<00000000>, C4<00000000>;
L_00CAD908 .functor BUFZ 8, L_00CAD4E0, C4<00000000>, C4<00000000>, C4<00000000>;
L_00CAD940 .functor OR 1, L_00CADE18, L_00CADE70, C4<0>, C4<0>;
L_00CADA20 .functor OR 1, L_00CAD940, L_00CADEC8, C4<0>, C4<0>;
L_00CAD9E8 .functor OR 1, L_00CADA20, L_00CADF20, C4<0>, C4<0>;
L_00CADAC8 .functor OR 1, L_00CAD9E8, L_00CADF78, C4<0>, C4<0>;
L_00CADBE0 .functor OR 1, L_00CADAC8, L_00CADFD0, C4<0>, C4<0>;
L_00CADC88 .functor OR 1, L_00CADBE0, L_00CAE028, C4<0>, C4<0>;
L_00CADD30 .functor OR 1, L_00CADC88, L_00CAE080, C4<0>, C4<0>;
L_00CAEDF8 .functor NOT 1, L_00CAE130, C4<0>, C4<0>, C4<0>;
L_00CAEEA0 .functor AND 1, L_00CAE0D8, L_00CAEDF8, C4<1>, C4<1>;
L_00CAEE68 .functor NOT 1, L_00CAE188, C4<0>, C4<0>, C4<0>;
L_00CAEF48 .functor AND 1, L_00CAEEA0, L_00CAEE68, C4<1>, C4<1>;
L_00CAF028 .functor NOT 1, L_00CAE238, C4<0>, C4<0>, C4<0>;
L_00CAF098 .functor AND 1, L_00CAEF48, L_00CAF028, C4<1>, C4<1>;
L_00CAF140 .functor NOT 1, L_00CAE1E0, C4<0>, C4<0>, C4<0>;
L_00CAF1B0 .functor AND 1, L_00CAF098, L_00CAF140, C4<1>, C4<1>;
L_00CAF258 .functor NOT 1, L_00CAE290, C4<0>, C4<0>, C4<0>;
L_00CAF2C8 .functor AND 1, L_00CAF1B0, L_00CAF258, C4<1>, C4<1>;
L_00CAF370 .functor NOT 1, L_00CAE2E8, C4<0>, C4<0>, C4<0>;
L_00CAF3E0 .functor AND 1, L_00CAF2C8, L_00CAF370, C4<1>, C4<1>;
L_00CAF488 .functor NOT 1, L_00CAE340, C4<0>, C4<0>, C4<0>;
L_00CAF4F8 .functor AND 1, L_00CAF3E0, L_00CAF488, C4<1>, C4<1>;
L_00CAF780 .functor OR 1, L_00CAE708, L_00CAD488, C4<0>, C4<0>;
L_00CAFA20 .functor XNOR 1, L_00CAD328, C4<1>, C4<0>, C4<0>;
L_00CAFA90 .functor OR 1, L_00CAE970, L_00CAFA20, C4<0>, C4<0>;
v010143C8_0 .alias "CCR", 7 0, v00CACA90_0;
v01014420_0 .var "CCRo", 7 0;
v01014478_0 .net *"_s101", 0 0, L_00CAE340; 1 drivers
v010144D0_0 .net *"_s102", 0 0, L_00CAF488; 1 drivers
v01014528_0 .net *"_s113", 3 0, L_00CAE6B0; 1 drivers
v01014580_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v010145D8_0 .net *"_s116", 0 0, L_00CAE708; 1 drivers
v01014630_0 .net *"_s118", 0 0, L_00CAF780; 1 drivers
v01014688_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v010146E0_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v01014738_0 .net *"_s122", 7 0, L_00CAE760; 1 drivers
v01014790_0 .net *"_s130", 3 0, L_00CAE8C0; 1 drivers
v010147E8_0 .net *"_s131", 5 0, L_00CAE918; 1 drivers
v01014840_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v01014898_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v00CA85C0_0 .net *"_s137", 0 0, L_00CAE970; 1 drivers
v00CA8618_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v00CA8670_0 .net *"_s141", 0 0, L_00CAFA20; 1 drivers
v00CA86C8_0 .net *"_s143", 0 0, L_00CAFA90; 1 drivers
v00CA8778_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v00CA8720_0 .net *"_s148", 3 0, L_00CAE9C8; 1 drivers
v00CA87D0_0 .net *"_s149", 4 0, L_00CAEA20; 1 drivers
v00CA8828_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v00CA8880_0 .net *"_s153", 4 0, L_00CAEA78; 1 drivers
v00CA88D8_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v00CA8930_0 .net *"_s158", 3 0, L_00CAEAD0; 1 drivers
v00CA8988_0 .net *"_s159", 4 0, L_00CAEB28; 1 drivers
v00CA89E0_0 .net *"_s161", 4 0, L_00CAEB80; 1 drivers
v00CA8A38_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v00CA8A90_0 .net *"_s20", 0 0, L_00CAD538; 1 drivers
v00CA8AE8_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v00CA8B40_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v00CA8B98_0 .net *"_s33", 0 0, L_00CADE18; 1 drivers
v00CA8BF0_0 .net *"_s35", 0 0, L_00CADE70; 1 drivers
v00CA8C48_0 .net *"_s36", 0 0, L_00CAD940; 1 drivers
v00CA8CA0_0 .net *"_s39", 0 0, L_00CADEC8; 1 drivers
v00CA8CF8_0 .net *"_s40", 0 0, L_00CADA20; 1 drivers
v00CA8D50_0 .net *"_s43", 0 0, L_00CADF20; 1 drivers
v00CA8DA8_0 .net *"_s44", 0 0, L_00CAD9E8; 1 drivers
v00CA8E00_0 .net *"_s47", 0 0, L_00CADF78; 1 drivers
v00CA8E58_0 .net *"_s48", 0 0, L_00CADAC8; 1 drivers
v00CA8EB0_0 .net *"_s51", 0 0, L_00CADFD0; 1 drivers
v00CA8F08_0 .net *"_s52", 0 0, L_00CADBE0; 1 drivers
v00CA8F60_0 .net *"_s55", 0 0, L_00CAE028; 1 drivers
v00CA8FB8_0 .net *"_s56", 0 0, L_00CADC88; 1 drivers
v00CA9010_0 .net *"_s59", 0 0, L_00CAE080; 1 drivers
v00CA9068_0 .net *"_s63", 0 0, L_00CAE0D8; 1 drivers
v00CA90C0_0 .net *"_s65", 0 0, L_00CAE130; 1 drivers
v00CA9118_0 .net *"_s66", 0 0, L_00CAEDF8; 1 drivers
v00CA9170_0 .net *"_s68", 0 0, L_00CAEEA0; 1 drivers
v00CA91C8_0 .net *"_s71", 0 0, L_00CAE188; 1 drivers
v00CA9220_0 .net *"_s72", 0 0, L_00CAEE68; 1 drivers
v00CA9278_0 .net *"_s74", 0 0, L_00CAEF48; 1 drivers
v00CA92D0_0 .net *"_s77", 0 0, L_00CAE238; 1 drivers
v00CA9328_0 .net *"_s78", 0 0, L_00CAF028; 1 drivers
v00CA9380_0 .net *"_s80", 0 0, L_00CAF098; 1 drivers
v00CA93D8_0 .net *"_s83", 0 0, L_00CAE1E0; 1 drivers
v00CA9430_0 .net *"_s84", 0 0, L_00CAF140; 1 drivers
v00CA9488_0 .net *"_s86", 0 0, L_00CAF1B0; 1 drivers
v00CA94E0_0 .net *"_s89", 0 0, L_00CAE290; 1 drivers
v00CA9538_0 .net *"_s90", 0 0, L_00CAF258; 1 drivers
v00CA95C0_0 .net *"_s92", 0 0, L_00CAF2C8; 1 drivers
v00CA9618_0 .net *"_s95", 0 0, L_00CAE2E8; 1 drivers
v00CA9670_0 .net *"_s96", 0 0, L_00CAF370; 1 drivers
v00CA96C8_0 .net *"_s98", 0 0, L_00CAF3E0; 1 drivers
v00CA9720_0 .net "a_in", 7 0, L_00CAEBD8; 1 drivers
v00CA9778_0 .net "arith_c", 0 0, v01014058_0; 1 drivers
v00CA97D0_0 .net "arith_h", 0 0, v01014108_0; 1 drivers
v00CA9828_0 .net "arith_q", 7 0, v01014210_0; 1 drivers
v00CA9880_0 .net "arith_v", 0 0, v010141B8_0; 1 drivers
v00CA98D8_0 .net "b_in", 7 0, L_00CAEC30; 1 drivers
v00CA9930_0 .var "c8", 0 0;
v00CA9988_0 .net "c_in", 0 0, L_00CAD328; 1 drivers
v00CA99E0_0 .net "ccom8_r", 0 0, L_00CADDC0; 1 drivers
v00CA9A38_0 .net "cdaa8_r", 0 0, L_00CAE810; 1 drivers
v00CA9A90_0 .alias "clk_in", 0 0, v00CAAEB0_0;
v00CA9AE8_0 .net "cneg8_r", 0 0, L_00CADD30; 1 drivers
v00CA9B40_0 .net "com8_r", 7 0, L_00CAD7B8; 1 drivers
v00CA9B98_0 .net "com8_w", 7 0, L_00CAD780; 1 drivers
v00CA9BF0_0 .net "daa8h_r", 3 0, L_00CAE868; 1 drivers
v00CA9C48_0 .net "daa_p0_r", 7 0, L_00CAE7B8; 1 drivers
v00CA9CA0_0 .var "h8", 0 0;
v00CA9CF8_0 .net "h_in", 0 0, L_00CAD488; 1 drivers
v00CA9D50_0 .net "logic_q", 7 0, v01014370_0; 1 drivers
v00CA9DA8_0 .net "n_in", 0 0, L_00CAD380; 1 drivers
v00CA9E00_0 .net "neg8_r", 7 0, L_00CAD908; 1 drivers
v00CA9E58_0 .net "neg8_w", 7 0, L_00CAD4E0; 1 drivers
v00CA9EB0_0 .net "opcode_in", 4 0, v00CAA988_0; 1 drivers
v00CA9F08_0 .var "q8", 7 0;
v00CA9F60_0 .var "q_out", 7 0;
v00CA9FB8_0 .net "shift_c", 0 0, L_00CAE600; 1 drivers
v00CAA010_0 .net "shift_q", 7 0, v01013DF0_0; 1 drivers
v00CAA068_0 .net "shift_v", 0 0, v01013D98_0; 1 drivers
v00CAA0C0_0 .var "v8", 0 0;
v00CAA118_0 .net "v_in", 0 0, L_00CAD3D8; 1 drivers
v00CAA170_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v00CAA1C8_0 .net "vneg8_r", 0 0, L_00CAF4F8; 1 drivers
v00CAA220_0 .net "z_in", 0 0, L_00CAD430; 1 drivers
E_00AC32D0/0 .event edge, v00CA9F08_0, v00AF09D0_0, v00CA9CA0_0, v00CAA0C0_0;
E_00AC32D0/1 .event edge, v00CA9930_0;
E_00AC32D0 .event/or E_00AC32D0/0, E_00AC32D0/1;
E_00B06AE0/0 .event edge, v01013C38_0, v010140B0_0, v01013D40_0, v01013688_0;
E_00B06AE0/1 .event edge, v01013B88_0, v01014210_0, v01014058_0, v010141B8_0;
E_00B06AE0/2 .event edge, v01014108_0, v00CA9B40_0, v00CAA170_0, v00CA9E00_0;
E_00B06AE0/3 .event edge, v00CA9AE8_0, v00CAA1C8_0, v01013DF0_0, v01013C90_0;
E_00B06AE0/4 .event edge, v01013D98_0, v01014370_0, v00CA9BF0_0, v00CA9C48_0;
E_00B06AE0/5 .event edge, v00CA9A38_0;
E_00B06AE0 .event/or E_00B06AE0/0, E_00B06AE0/1, E_00B06AE0/2, E_00B06AE0/3, E_00B06AE0/4, E_00B06AE0/5;
L_00CAD328 .part L_010170B0, 0, 1;
L_00CAD380 .part L_010170B0, 3, 1;
L_00CAD3D8 .part L_010170B0, 1, 1;
L_00CAD430 .part L_010170B0, 2, 1;
L_00CAD488 .part L_010170B0, 5, 1;
L_00CAD4E0 .arith/sub 8, C4<00000000>, L_00CAEBD8;
L_00CAD538 .cmp/ne 8, L_00CAD780, C4<00000000>;
L_00CADDC0 .functor MUXZ 1, C4<0>, C4<1>, L_00CAD538, C4<>;
L_00CADE18 .part L_00CAD4E0, 7, 1;
L_00CADE70 .part L_00CAD4E0, 6, 1;
L_00CADEC8 .part L_00CAD4E0, 5, 1;
L_00CADF20 .part L_00CAD4E0, 4, 1;
L_00CADF78 .part L_00CAD4E0, 3, 1;
L_00CADFD0 .part L_00CAD4E0, 2, 1;
L_00CAE028 .part L_00CAD4E0, 1, 1;
L_00CAE080 .part L_00CAD4E0, 0, 1;
L_00CAE0D8 .part L_00CAD4E0, 7, 1;
L_00CAE130 .part L_00CAD4E0, 6, 1;
L_00CAE188 .part L_00CAD4E0, 5, 1;
L_00CAE238 .part L_00CAD4E0, 4, 1;
L_00CAE1E0 .part L_00CAD4E0, 3, 1;
L_00CAE290 .part L_00CAD4E0, 2, 1;
L_00CAE2E8 .part L_00CAD4E0, 1, 1;
L_00CAE340 .part L_00CAD4E0, 0, 1;
L_00CAE398 .part v00CAA988_0, 0, 2;
L_00CAE4A0 .part v00CAA988_0, 0, 2;
L_00CAE658 .part v00CAA988_0, 0, 3;
L_00CAE6B0 .part L_00CAEBD8, 0, 4;
L_00CAE708 .cmp/gt 4, L_00CAE6B0, C4<1001>;
L_00CAE760 .arith/sum 8, L_00CAEBD8, C4<00000110>;
L_00CAE7B8 .functor MUXZ 8, L_00CAEBD8, L_00CAE760, L_00CAF780, C4<>;
L_00CAE810 .part L_00CAEB80, 4, 1;
L_00CAE868 .part L_00CAEB80, 0, 4;
L_00CAE8C0 .part L_00CAE7B8, 4, 4;
L_00CAE918 .concat [ 4 2 0 0], L_00CAE8C0, C4<00>;
L_00CAE970 .cmp/gt 6, L_00CAE918, C4<001001>;
L_00CAE9C8 .part L_00CAE7B8, 4, 4;
L_00CAEA20 .concat [ 4 1 0 0], L_00CAE9C8, C4<0>;
L_00CAEA78 .arith/sum 5, L_00CAEA20, C4<00110>;
L_00CAEAD0 .part L_00CAE7B8, 4, 4;
L_00CAEB28 .concat [ 4 1 0 0], L_00CAEAD0, C4<0>;
L_00CAEB80 .functor MUXZ 5, L_00CAEB28, L_00CAEA78, L_00CAFA90, C4<>;
S_00AEAB28 .scope module, "l8" "logic8" 4 245, 4 66, S_00AEA880;
L_00A0D780 .functor NOT 8, L_00A0EBD8, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0D7B8 .functor BUFZ 8, L_00A0D780, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0D908 .functor BUFZ 8, L_00A0D4E0, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0D940 .functor OR 1, L_00A0DE18, L_00A0DE70, C4<0>, C4<0>;
L_00A0DA20 .functor OR 1, L_00A0D940, L_00A0DEC8, C4<0>, C4<0>;
L_00A0D9E8 .functor OR 1, L_00A0DA20, L_00A0DF20, C4<0>, C4<0>;
L_00A0DAC8 .functor OR 1, L_00A0D9E8, L_00A0DF78, C4<0>, C4<0>;
L_00A0DBE0 .functor OR 1, L_00A0DAC8, L_00A0DFD0, C4<0>, C4<0>;
L_00A0DC88 .functor OR 1, L_00A0DBE0, L_00A0E028, C4<0>, C4<0>;
L_00A0DD30 .functor OR 1, L_00A0DC88, L_00A0E080, C4<0>, C4<0>;
L_00A0EDF8 .functor NOT 1, L_00A0E130, C4<0>, C4<0>, C4<0>;
L_00A0EEA0 .functor AND 1, L_00A0E0D8, L_00A0EDF8, C4<1>, C4<1>;
L_00A0EE68 .functor NOT 1, L_00A0E188, C4<0>, C4<0>, C4<0>;
L_00A0EF48 .functor AND 1, L_00A0EEA0, L_00A0EE68, C4<1>, C4<1>;
L_00A0F028 .functor NOT 1, L_00A0E238, C4<0>, C4<0>, C4<0>;
L_00A0F098 .functor AND 1, L_00A0EF48, L_00A0F028, C4<1>, C4<1>;
L_00A0F140 .functor NOT 1, L_00A0E1E0, C4<0>, C4<0>, C4<0>;
L_00A0F1B0 .functor AND 1, L_00A0F098, L_00A0F140, C4<1>, C4<1>;
L_00A0F258 .functor NOT 1, L_00A0E290, C4<0>, C4<0>, C4<0>;
L_00A0F2C8 .functor AND 1, L_00A0F1B0, L_00A0F258, C4<1>, C4<1>;
L_00A0F370 .functor NOT 1, L_00A0E2E8, C4<0>, C4<0>, C4<0>;
L_00A0F3E0 .functor AND 1, L_00A0F2C8, L_00A0F370, C4<1>, C4<1>;
L_00A0F488 .functor NOT 1, L_00A0E340, C4<0>, C4<0>, C4<0>;
L_00A0F4F8 .functor AND 1, L_00A0F3E0, L_00A0F488, C4<1>, C4<1>;
L_00A0F780 .functor OR 1, L_00A0E708, L_00A0D488, C4<0>, C4<0>;
L_00A0FA20 .functor XNOR 1, L_00A0D328, C4<1>, C4<0>, C4<0>;
L_00A0FA90 .functor OR 1, L_00A0E970, L_00A0FA20, C4<0>, C4<0>;
v00E843C8_0 .alias "CCR", 7 0, v00A0CA90_0;
v00E84420_0 .var "CCRo", 7 0;
v00E84478_0 .net *"_s101", 0 0, L_00A0E340; 1 drivers
v00E844D0_0 .net *"_s102", 0 0, L_00A0F488; 1 drivers
v00E84528_0 .net *"_s113", 3 0, L_00A0E6B0; 1 drivers
v00E84580_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v00E845D8_0 .net *"_s116", 0 0, L_00A0E708; 1 drivers
v00E84630_0 .net *"_s118", 0 0, L_00A0F780; 1 drivers
v00E84688_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v00E846E0_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v00E84738_0 .net *"_s122", 7 0, L_00A0E760; 1 drivers
v00E84790_0 .net *"_s130", 3 0, L_00A0E8C0; 1 drivers
v00E847E8_0 .net *"_s131", 5 0, L_00A0E918; 1 drivers
v00E84840_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v00E84898_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v00A085C0_0 .net *"_s137", 0 0, L_00A0E970; 1 drivers
v00A08618_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v00A08670_0 .net *"_s141", 0 0, L_00A0FA20; 1 drivers
v00A086C8_0 .net *"_s143", 0 0, L_00A0FA90; 1 drivers
v00A08778_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v00A08720_0 .net *"_s148", 3 0, L_00A0E9C8; 1 drivers
v00A087D0_0 .net *"_s149", 4 0, L_00A0EA20; 1 drivers
v00A08828_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v00A08880_0 .net *"_s153", 4 0, L_00A0EA78; 1 drivers
v00A088D8_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v00A08930_0 .net *"_s158", 3 0, L_00A0EAD0; 1 drivers
v00A08988_0 .net *"_s159", 4 0, L_00A0EB28; 1 drivers
v00A089E0_0 .net *"_s161", 4 0, L_00A0EB80; 1 drivers
v00A08A38_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v00A08A90_0 .net *"_s20", 0 0, L_00A0D538; 1 drivers
v00A08AE8_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v00A08B40_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v00A08B98_0 .net *"_s33", 0 0, L_00A0DE18; 1 drivers
v00A08BF0_0 .net *"_s35", 0 0, L_00A0DE70; 1 drivers
v00A08C48_0 .net *"_s36", 0 0, L_00A0D940; 1 drivers
v00A08CA0_0 .net *"_s39", 0 0, L_00A0DEC8; 1 drivers
v00A08CF8_0 .net *"_s40", 0 0, L_00A0DA20; 1 drivers
v00A08D50_0 .net *"_s43", 0 0, L_00A0DF20; 1 drivers
v00A08DA8_0 .net *"_s44", 0 0, L_00A0D9E8; 1 drivers
v00A08E00_0 .net *"_s47", 0 0, L_00A0DF78; 1 drivers
v00A08E58_0 .net *"_s48", 0 0, L_00A0DAC8; 1 drivers
v00A08EB0_0 .net *"_s51", 0 0, L_00A0DFD0; 1 drivers
v00A08F08_0 .net *"_s52", 0 0, L_00A0DBE0; 1 drivers
v00A08F60_0 .net *"_s55", 0 0, L_00A0E028; 1 drivers
v00A08FB8_0 .net *"_s56", 0 0, L_00A0DC88; 1 drivers
v00A09010_0 .net *"_s59", 0 0, L_00A0E080; 1 drivers
v00A09068_0 .net *"_s63", 0 0, L_00A0E0D8; 1 drivers
v00A090C0_0 .net *"_s65", 0 0, L_00A0E130; 1 drivers
v00A09118_0 .net *"_s66", 0 0, L_00A0EDF8; 1 drivers
v00A09170_0 .net *"_s68", 0 0, L_00A0EEA0; 1 drivers
v00A091C8_0 .net *"_s71", 0 0, L_00A0E188; 1 drivers
v00A09220_0 .net *"_s72", 0 0, L_00A0EE68; 1 drivers
v00A09278_0 .net *"_s74", 0 0, L_00A0EF48; 1 drivers
v00A092D0_0 .net *"_s77", 0 0, L_00A0E238; 1 drivers
v00A09328_0 .net *"_s78", 0 0, L_00A0F028; 1 drivers
v00A09380_0 .net *"_s80", 0 0, L_00A0F098; 1 drivers
v00A093D8_0 .net *"_s83", 0 0, L_00A0E1E0; 1 drivers
v00A09430_0 .net *"_s84", 0 0, L_00A0F140; 1 drivers
v00A09488_0 .net *"_s86", 0 0, L_00A0F1B0; 1 drivers
v00A094E0_0 .net *"_s89", 0 0, L_00A0E290; 1 drivers
v00A09538_0 .net *"_s90", 0 0, L_00A0F258; 1 drivers
v00A095C0_0 .net *"_s92", 0 0, L_00A0F2C8; 1 drivers
v00A09618_0 .net *"_s95", 0 0, L_00A0E2E8; 1 drivers
v00A09670_0 .net *"_s96", 0 0, L_00A0F370; 1 drivers
v00A096C8_0 .net *"_s98", 0 0, L_00A0F3E0; 1 drivers
v00A09720_0 .net "a_in", 7 0, L_00A0EBD8; 1 drivers
v00A09778_0 .net "arith_c", 0 0, v00E84058_0; 1 drivers
v00A097D0_0 .net "arith_h", 0 0, v00E84108_0; 1 drivers
v00A09828_0 .net "arith_q", 7 0, v00E84210_0; 1 drivers
v00A09880_0 .net "arith_v", 0 0, v00E841B8_0; 1 drivers
v00A098D8_0 .net "b_in", 7 0, L_00A0EC30; 1 drivers
v00A09930_0 .var "c8", 0 0;
v00A09988_0 .net "c_in", 0 0, L_00A0D328; 1 drivers
v00A099E0_0 .net "ccom8_r", 0 0, L_00A0DDC0; 1 drivers
v00A09A38_0 .net "cdaa8_r", 0 0, L_00A0E810; 1 drivers
v00A09A90_0 .alias "clk_in", 0 0, v00A0AEB0_0;
v00A09AE8_0 .net "cneg8_r", 0 0, L_00A0DD30; 1 drivers
v00A09B40_0 .net "com8_r", 7 0, L_00A0D7B8; 1 drivers
v00A09B98_0 .net "com8_w", 7 0, L_00A0D780; 1 drivers
v00A09BF0_0 .net "daa8h_r", 3 0, L_00A0E868; 1 drivers
v00A09C48_0 .net "daa_p0_r", 7 0, L_00A0E7B8; 1 drivers
v00A09CA0_0 .var "h8", 0 0;
v00A09CF8_0 .net "h_in", 0 0, L_00A0D488; 1 drivers
v00A09D50_0 .net "logic_q", 7 0, v00E84370_0; 1 drivers
v00A09DA8_0 .net "n_in", 0 0, L_00A0D380; 1 drivers
v00A09E00_0 .net "neg8_r", 7 0, L_00A0D908; 1 drivers
v00A09E58_0 .net "neg8_w", 7 0, L_00A0D4E0; 1 drivers
v00A09EB0_0 .net "opcode_in", 4 0, v00A0A988_0; 1 drivers
v00A09F08_0 .var "q8", 7 0;
v00A09F60_0 .var "q_out", 7 0;
v00A09FB8_0 .net "shift_c", 0 0, L_00A0E600; 1 drivers
v00A0A010_0 .net "shift_q", 7 0, v00E83DF0_0; 1 drivers
v00A0A068_0 .net "shift_v", 0 0, v00E83D98_0; 1 drivers
v00A0A0C0_0 .var "v8", 0 0;
v00A0A118_0 .net "v_in", 0 0, L_00A0D3D8; 1 drivers
v00A0A170_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v00A0A1C8_0 .net "vneg8_r", 0 0, L_00A0F4F8; 1 drivers
v00A0A220_0 .net "z_in", 0 0, L_00A0D430; 1 drivers
E_008232D0/0 .event edge, v00A09F08_0, v008509D0_0, v00A09CA0_0, v00A0A0C0_0;
E_008232D0/1 .event edge, v00A09930_0;
E_008232D0 .event/or E_008232D0/0, E_008232D0/1;
E_00866AE0/0 .event edge, v00E83C38_0, v00E840B0_0, v00E83D40_0, v00E83688_0;
E_00866AE0/1 .event edge, v00E83B88_0, v00E84210_0, v00E84058_0, v00E841B8_0;
E_00866AE0/2 .event edge, v00E84108_0, v00A09B40_0, v00A0A170_0, v00A09E00_0;
E_00866AE0/3 .event edge, v00A09AE8_0, v00A0A1C8_0, v00E83DF0_0, v00E83C90_0;
E_00866AE0/4 .event edge, v00E83D98_0, v00E84370_0, v00A09BF0_0, v00A09C48_0;
E_00866AE0/5 .event edge, v00A09A38_0;
E_00866AE0 .event/or E_00866AE0/0, E_00866AE0/1, E_00866AE0/2, E_00866AE0/3, E_00866AE0/4, E_00866AE0/5;
L_00A0D328 .part L_00E870B0, 0, 1;
L_00A0D380 .part L_00E870B0, 3, 1;
L_00A0D3D8 .part L_00E870B0, 1, 1;
L_00A0D430 .part L_00E870B0, 2, 1;
L_00A0D488 .part L_00E870B0, 5, 1;
L_00A0D4E0 .arith/sub 8, C4<00000000>, L_00A0EBD8;
L_00A0D538 .cmp/ne 8, L_00A0D780, C4<00000000>;
L_00A0DDC0 .functor MUXZ 1, C4<0>, C4<1>, L_00A0D538, C4<>;
L_00A0DE18 .part L_00A0D4E0, 7, 1;
L_00A0DE70 .part L_00A0D4E0, 6, 1;
L_00A0DEC8 .part L_00A0D4E0, 5, 1;
L_00A0DF20 .part L_00A0D4E0, 4, 1;
L_00A0DF78 .part L_00A0D4E0, 3, 1;
L_00A0DFD0 .part L_00A0D4E0, 2, 1;
L_00A0E028 .part L_00A0D4E0, 1, 1;
L_00A0E080 .part L_00A0D4E0, 0, 1;
L_00A0E0D8 .part L_00A0D4E0, 7, 1;
L_00A0E130 .part L_00A0D4E0, 6, 1;
L_00A0E188 .part L_00A0D4E0, 5, 1;
L_00A0E238 .part L_00A0D4E0, 4, 1;
L_00A0E1E0 .part L_00A0D4E0, 3, 1;
L_00A0E290 .part L_00A0D4E0, 2, 1;
L_00A0E2E8 .part L_00A0D4E0, 1, 1;
L_00A0E340 .part L_00A0D4E0, 0, 1;
L_00A0E398 .part v00A0A988_0, 0, 2;
L_00A0E4A0 .part v00A0A988_0, 0, 2;
L_00A0E658 .part v00A0A988_0, 0, 3;
L_00A0E6B0 .part L_00A0EBD8, 0, 4;
L_00A0E708 .cmp/gt 4, L_00A0E6B0, C4<1001>;
L_00A0E760 .arith/sum 8, L_00A0EBD8, C4<00000110>;
L_00A0E7B8 .functor MUXZ 8, L_00A0EBD8, L_00A0E760, L_00A0F780, C4<>;
L_00A0E810 .part L_00A0EB80, 4, 1;
L_00A0E868 .part L_00A0EB80, 0, 4;
L_00A0E8C0 .part L_00A0E7B8, 4, 4;
L_00A0E918 .concat [ 4 2 0 0], L_00A0E8C0, C4<00>;
L_00A0E970 .cmp/gt 6, L_00A0E918, C4<001001>;
L_00A0E9C8 .part L_00A0E7B8, 4, 4;
L_00A0EA20 .concat [ 4 1 0 0], L_00A0E9C8, C4<0>;
L_00A0EA78 .arith/sum 5, L_00A0EA20, C4<00110>;
L_00A0EAD0 .part L_00A0E7B8, 4, 4;
L_00A0EB28 .concat [ 4 1 0 0], L_00A0EAD0, C4<0>;
L_00A0EB80 .functor MUXZ 5, L_00A0EB28, L_00A0EA78, L_00A0FA90, C4<>;
S_0084AB28 .scope module, "l8" "logic8" 4 245, 4 66, S_0084A880;
.timescale -9 -9;
v01014268_0 .alias "a_in", 7 0, v00CA9720_0;
v010142C0_0 .alias "b_in", 7 0, v00CA98D8_0;
v01014318_0 .net "opcode_in", 1 0, L_00CAE398; 1 drivers
v01014370_0 .var "q_out", 7 0;
E_00B06BC0 .event edge, v01014318_0, v01013BE0_0, v01013B88_0;
S_00AEABB0 .scope module, "a8" "arith8" 4 246, 4 89, S_00AEA880;
v00E84268_0 .alias "a_in", 7 0, v00A09720_0;
v00E842C0_0 .alias "b_in", 7 0, v00A098D8_0;
v00E84318_0 .net "opcode_in", 1 0, L_00A0E398; 1 drivers
v00E84370_0 .var "q_out", 7 0;
E_00866BC0 .event edge, v00E84318_0, v00E83BE0_0, v00E83B88_0;
S_0084ABB0 .scope module, "a8" "arith8" 4 246, 4 89, S_0084A880;
.timescale -9 -9;
v01013E48_0 .net *"_s1", 0 0, L_00CAE3F0; 1 drivers
v01013EA0_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v01013EF8_0 .alias "a_in", 7 0, v00CA9720_0;
v01013F50_0 .alias "b_in", 7 0, v00CA98D8_0;
v01013FA8_0 .net "carry", 0 0, L_00CAE448; 1 drivers
v01014000_0 .alias "carry_in", 0 0, v00CA9988_0;
v01014058_0 .var "carry_out", 0 0;
v010140B0_0 .alias "half_c_in", 0 0, v00CA9CF8_0;
v01014108_0 .var "half_c_out", 0 0;
v01014160_0 .net "opcode_in", 1 0, L_00CAE4A0; 1 drivers
v010141B8_0 .var "overflow_out", 0 0;
v01014210_0 .var "q_out", 7 0;
E_00B06A60/0 .event edge, v01014160_0, v01013B88_0, v01013BE0_0, v01014210_0;
E_00B06A60/1 .event edge, v010140B0_0;
E_00B06A60 .event/or E_00B06A60/0, E_00B06A60/1;
E_00B06A00 .event edge, v01014160_0, v01013B88_0, v01013BE0_0, v01014210_0;
E_00B06A20 .event edge, v01014160_0, v01013B88_0, v01013BE0_0, v01013FA8_0;
L_00CAE3F0 .part L_00CAE4A0, 1, 1;
L_00CAE448 .functor MUXZ 1, C4<0>, L_00CAD328, L_00CAE3F0, C4<>;
S_00AEA7F8 .scope module, "s8" "shift8" 4 247, 4 162, S_00AEA880;
v00E83E48_0 .net *"_s1", 0 0, L_00A0E3F0; 1 drivers
v00E83EA0_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v00E83EF8_0 .alias "a_in", 7 0, v00A09720_0;
v00E83F50_0 .alias "b_in", 7 0, v00A098D8_0;
v00E83FA8_0 .net "carry", 0 0, L_00A0E448; 1 drivers
v00E84000_0 .alias "carry_in", 0 0, v00A09988_0;
v00E84058_0 .var "carry_out", 0 0;
v00E840B0_0 .alias "half_c_in", 0 0, v00A09CF8_0;
v00E84108_0 .var "half_c_out", 0 0;
v00E84160_0 .net "opcode_in", 1 0, L_00A0E4A0; 1 drivers
v00E841B8_0 .var "overflow_out", 0 0;
v00E84210_0 .var "q_out", 7 0;
E_00866A60/0 .event edge, v00E84160_0, v00E83B88_0, v00E83BE0_0, v00E84210_0;
E_00866A60/1 .event edge, v00E840B0_0;
E_00866A60 .event/or E_00866A60/0, E_00866A60/1;
E_00866A00 .event edge, v00E84160_0, v00E83B88_0, v00E83BE0_0, v00E84210_0;
E_00866A20 .event edge, v00E84160_0, v00E83B88_0, v00E83BE0_0, v00E83FA8_0;
L_00A0E3F0 .part L_00A0E4A0, 1, 1;
L_00A0E448 .functor MUXZ 1, C4<0>, L_00A0D328, L_00A0E3F0, C4<>;
S_0084A7F8 .scope module, "s8" "shift8" 4 247, 4 162, S_0084A880;
.timescale -9 -9;
v01013A80_0 .net *"_s1", 0 0, L_00CAE4F8; 1 drivers
v01013AD8_0 .net *"_s3", 0 0, L_00CAE550; 1 drivers
v01013B30_0 .net *"_s5", 0 0, L_00CAE5A8; 1 drivers
v01013B88_0 .alias "a_in", 7 0, v00CA9720_0;
v01013BE0_0 .alias "b_in", 7 0, v00CA98D8_0;
v01013C38_0 .alias "carry_in", 0 0, v00CA9988_0;
v01013C90_0 .alias "carry_out", 0 0, v00CA9FB8_0;
v01013CE8_0 .net "opcode_in", 2 0, L_00CAE658; 1 drivers
v01013D40_0 .alias "overflow_in", 0 0, v00CAA118_0;
v01013D98_0 .var "overflow_out", 0 0;
v01013DF0_0 .var "q_out", 7 0;
E_00B06A80 .event edge, v01013D40_0, v01013CE8_0, v01013B88_0;
E_00B06AA0 .event edge, v01013B88_0, v01013CE8_0, v01013C38_0;
L_00CAE4F8 .part L_00CAE658, 0, 1;
L_00CAE550 .part L_00CAEBD8, 7, 1;
L_00CAE5A8 .part L_00CAEBD8, 0, 1;
L_00CAE600 .functor MUXZ 1, L_00CAE5A8, L_00CAE550, L_00CAE4F8, C4<>;
S_00AEA990 .scope module, "alu16" "alu16" 4 36, 4 329, S_00AEAA18;
v00E83A80_0 .net *"_s1", 0 0, L_00A0E4F8; 1 drivers
v00E83AD8_0 .net *"_s3", 0 0, L_00A0E550; 1 drivers
v00E83B30_0 .net *"_s5", 0 0, L_00A0E5A8; 1 drivers
v00E83B88_0 .alias "a_in", 7 0, v00A09720_0;
v00E83BE0_0 .alias "b_in", 7 0, v00A098D8_0;
v00E83C38_0 .alias "carry_in", 0 0, v00A09988_0;
v00E83C90_0 .alias "carry_out", 0 0, v00A09FB8_0;
v00E83CE8_0 .net "opcode_in", 2 0, L_00A0E658; 1 drivers
v00E83D40_0 .alias "overflow_in", 0 0, v00A0A118_0;
v00E83D98_0 .var "overflow_out", 0 0;
v00E83DF0_0 .var "q_out", 7 0;
E_00866A80 .event edge, v00E83D40_0, v00E83CE8_0, v00E83B88_0;
E_00866AA0 .event edge, v00E83B88_0, v00E83CE8_0, v00E83C38_0;
L_00A0E4F8 .part L_00A0E658, 0, 1;
L_00A0E550 .part L_00A0EBD8, 7, 1;
L_00A0E5A8 .part L_00A0EBD8, 0, 1;
L_00A0E600 .functor MUXZ 1, L_00A0E5A8, L_00A0E550, L_00A0E4F8, C4<>;
S_0084A990 .scope module, "alu16" "alu16" 4 36, 4 329, S_0084AA18;
.timescale -9 -9;
v01013268_0 .alias "CCR", 7 0, v00CACA90_0;
v010132C0_0 .var "CCRo", 3 0;
v01013318_0 .net "a_in", 15 0, v00CAA8D8_0; 1 drivers
v01013370_0 .net "arith_c", 0 0, v01013108_0; 1 drivers
v010133C8_0 .net "arith_q", 15 0, v01013210_0; 1 drivers
v01013420_0 .net "arith_v", 0 0, v010131B8_0; 1 drivers
v01013478_0 .net "b_in", 15 0, v00CAA930_0; 1 drivers
v010134D0_0 .var "c16", 0 0;
v01013528_0 .net "c_in", 0 0, L_00CAEC88; 1 drivers
v01013580_0 .alias "clk_in", 0 0, v00CAAEB0_0;
v010135D8_0 .var "n16", 0 0;
v01013630_0 .net "n_in", 0 0, L_00CAECE0; 1 drivers
v01013688_0 .alias "opcode_in", 4 0, v00CA9EB0_0;
v010136E0_0 .var "q16", 15 0;
v01013738_0 .alias "q_mul_in", 15 0, v00CAA778_0;
v01013790_0 .var "q_out", 15 0;
v010137E8_0 .var "reg_n_in", 0 0;
v01013840_0 .var "reg_z_in", 0 0;
v01013898_0 .var "regq16", 15 0;
v01013978_0 .var "v16", 0 0;
v01013920_0 .net "v_in", 0 0, L_00CAED38; 1 drivers
v010139D0_0 .var "z16", 0 0;
v01013A28_0 .net "z_in", 0 0, L_01017000; 1 drivers
E_00AC35F0/0 .event edge, v010136E0_0, v010135D8_0, v010139D0_0, v01013978_0;
E_00AC35F0/1 .event edge, v010134D0_0;
E_00AC35F0 .event/or E_00AC35F0/0, E_00AC35F0/1;
E_00AC31F0 .event edge, v010136E0_0, v01013688_0, v010137E8_0, v01013840_0;
E_00AC3210/0 .event edge, v010130B0_0, v01013920_0, v01013688_0, v01013210_0;
E_00AC3210/1 .event edge, v01013108_0, v010131B8_0, v01013738_0, v01013058_0;
E_00AC3210/2 .event edge, v01013000_0;
E_00AC3210 .event/or E_00AC3210/0, E_00AC3210/1, E_00AC3210/2;
L_00CAEC88 .part L_010170B0, 0, 1;
L_00CAECE0 .part L_010170B0, 3, 1;
L_00CAED38 .part L_010170B0, 1, 1;
L_01017000 .part L_010170B0, 2, 1;
L_01017058 .part v00CAA988_0, 0, 2;
S_00AEA908 .scope module, "a16" "arith16" 4 414, 4 133, S_00AEA990;
v00E83268_0 .alias "CCR", 7 0, v00A0CA90_0;
v00E832C0_0 .var "CCRo", 3 0;
v00E83318_0 .net "a_in", 15 0, v00A0A8D8_0; 1 drivers
v00E83370_0 .net "arith_c", 0 0, v00E83108_0; 1 drivers
v00E833C8_0 .net "arith_q", 15 0, v00E83210_0; 1 drivers
v00E83420_0 .net "arith_v", 0 0, v00E831B8_0; 1 drivers
v00E83478_0 .net "b_in", 15 0, v00A0A930_0; 1 drivers
v00E834D0_0 .var "c16", 0 0;
v00E83528_0 .net "c_in", 0 0, L_00A0EC88; 1 drivers
v00E83580_0 .alias "clk_in", 0 0, v00A0AEB0_0;
v00E835D8_0 .var "n16", 0 0;
v00E83630_0 .net "n_in", 0 0, L_00A0ECE0; 1 drivers
v00E83688_0 .alias "opcode_in", 4 0, v00A09EB0_0;
v00E836E0_0 .var "q16", 15 0;
v00E83738_0 .alias "q_mul_in", 15 0, v00A0A778_0;
v00E83790_0 .var "q_out", 15 0;
v00E837E8_0 .var "reg_n_in", 0 0;
v00E83840_0 .var "reg_z_in", 0 0;
v00E83898_0 .var "regq16", 15 0;
v00E83978_0 .var "v16", 0 0;
v00E83920_0 .net "v_in", 0 0, L_00A0ED38; 1 drivers
v00E839D0_0 .var "z16", 0 0;
v00E83A28_0 .net "z_in", 0 0, L_00E87000; 1 drivers
E_008235F0/0 .event edge, v00E836E0_0, v00E835D8_0, v00E839D0_0, v00E83978_0;
E_008235F0/1 .event edge, v00E834D0_0;
E_008235F0 .event/or E_008235F0/0, E_008235F0/1;
E_008231F0 .event edge, v00E836E0_0, v00E83688_0, v00E837E8_0, v00E83840_0;
E_00823210/0 .event edge, v00E830B0_0, v00E83920_0, v00E83688_0, v00E83210_0;
E_00823210/1 .event edge, v00E83108_0, v00E831B8_0, v00E83738_0, v00E83058_0;
E_00823210/2 .event edge, v00E83000_0;
E_00823210 .event/or E_00823210/0, E_00823210/1, E_00823210/2;
L_00A0EC88 .part L_00E870B0, 0, 1;
L_00A0ECE0 .part L_00E870B0, 3, 1;
L_00A0ED38 .part L_00E870B0, 1, 1;
L_00E87000 .part L_00E870B0, 2, 1;
L_00E87058 .part v00A0A988_0, 0, 2;
S_0084A908 .scope module, "a16" "arith16" 4 414, 4 133, S_0084A990;
.timescale -9 -9;
v01013000_0 .alias "a_in", 15 0, v01013318_0;
v01013058_0 .alias "b_in", 15 0, v01013478_0;
v010130B0_0 .alias "carry_in", 0 0, v01013528_0;
v01013108_0 .var "carry_out", 0 0;
v01013160_0 .net "opcode_in", 1 0, L_01017058; 1 drivers
v010131B8_0 .var "overflow_out", 0 0;
v01013210_0 .var "q_out", 15 0;
E_00B06CE0 .event edge, v01013160_0, v01013000_0, v01013058_0, v01013210_0;
E_00B06D00 .event edge, v01013160_0, v01013000_0, v01013058_0, v010130B0_0;
S_00AEA330 .scope module, "regs" "regblock" 3 131, 5 7, S_00AEA660;
v00E83000_0 .alias "a_in", 15 0, v00E83318_0;
v00E83058_0 .alias "b_in", 15 0, v00E83478_0;
v00E830B0_0 .alias "carry_in", 0 0, v00E83528_0;
v00E83108_0 .var "carry_out", 0 0;
v00E83160_0 .net "opcode_in", 1 0, L_00E87058; 1 drivers
v00E831B8_0 .var "overflow_out", 0 0;
v00E83210_0 .var "q_out", 15 0;
E_00866CE0 .event edge, v00E83160_0, v00E83000_0, v00E83058_0, v00E83210_0;
E_00866D00 .event edge, v00E83160_0, v00E83000_0, v00E83058_0, v00E830B0_0;
S_0084A330 .scope module, "regs" "regblock" 3 131, 5 7, S_0084A660;
.timescale -9 -9;
L_00CAFCC0 .functor BUFZ 16, v01011F50_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_010181C0 .functor BUFZ 16, v010119D0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_01018268 .functor OR 1, v00CAC930_0, v00CAC828_0, C4<0>, C4<0>;
L_01018460 .functor OR 1, v00CABF60_0, v00CAC880_0, C4<0>, C4<0>;
v01011CE8_0 .var "ACCA", 7 0;
v01011D40_0 .var "ACCB", 7 0;
v01011D98_0 .alias "CCR_in", 7 0, v00CAADA8_0;
v01011DF0_0 .alias "CCR_o", 7 0, v00CACA90_0;
v01011E48_0 .var "DP", 7 0;
v01011EA0_0 .var "IX", 15 0;
v01011EF8_0 .var "IY", 15 0;
v01011F50_0 .var "PC", 15 0;
v01011FA8_0 .var "SS", 15 0;
v01012000_0 .var "SU", 15 0;
v01012058_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v010120B0_0 .net *"_s14", 0 0, L_01018268; 1 drivers
v01012108_0 .net *"_s20", 0 0, L_01018460; 1 drivers
v01012160_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v010121B8_0 .var "cff", 0 0;
v01012210_0 .net "clear_e", 0 0, v00CABBF0_0; 1 drivers
v01012268_0 .alias "clk_in", 0 0, v00CAAEB0_0;
v010122C0_0 .net "data_w", 15 0, v00CAB328_0; 1 drivers
v01012318_0 .net "dec_su", 0 0, v00CABDA8_0; 1 drivers
v010123C8_0 .net "ea_reg_post", 15 0, v01011920_0; 1 drivers
v01012370_0 .net "eamem_addr", 15 0, v010119D0_0; 1 drivers
v01012420_0 .alias "eamem_addr_o", 15 0, v00CACB40_0;
v01012478_0 .net "eapostbyte", 7 0, v00CAC010_0; 1 drivers
v010124D0_0 .var "eflag", 0 0;
v01012528_0 .net "exg_dest_r", 3 0, L_010172C0; 1 drivers
v01012580_0 .var "fflag", 0 0;
v010125D8_0 .var "hflag", 0 0;
v01012630_0 .net "inc_pc", 0 0, v00CABF60_0; 1 drivers
v01012688_0 .net "inc_su", 0 0, v00CABFB8_0; 1 drivers
v010126E0_0 .var "intff", 0 0;
v01012738_0 .net "left", 15 0, L_010171B8; 1 drivers
v01012790_0 .net "new_pc", 15 0, v00CAC278_0; 1 drivers
v010127E8_0 .var "nff", 0 0;
v01012840_0 .net "offset16", 15 0, L_01017318; 1 drivers
v01012898_0 .net "path_left_addr", 3 0, v00CAB220_0; 1 drivers
v01012920_0 .var "path_left_data", 15 0;
v01012978_0 .alias "path_right_addr", 3 0, v00CAB538_0;
v010129D0_0 .var "path_right_data", 15 0;
v01012A28_0 .net "pc_plus_1", 15 0, L_01017160; 1 drivers
v01012A80_0 .alias "reg_dp", 7 0, v00CACAE8_0;
v01012AD8_0 .alias "reg_pc", 15 0, v00CACBF0_0;
v01012B30_0 .alias "reg_su", 15 0, v00CACCA0_0;
v01012B88_0 .net "right", 15 0, L_01017210; 1 drivers
v01012BE0_0 .net "right_reg", 3 0, L_01017268; 1 drivers
v01012C38_0 .net "set_e", 0 0, v00CAC778_0; 1 drivers
v01012C90_0 .alias "use_s", 0 0, v00CABAE8_0;
v01012CE8_0 .var "vff", 0 0;
v01012D40_0 .net "write_exg", 0 0, v00CAC828_0; 1 drivers
v01012D98_0 .net "write_flags", 0 0, L_01018658; 1 drivers
v01012DF0_0 .net "write_pc", 0 0, v00CAC880_0; 1 drivers
v01012E48_0 .net "write_post", 0 0, v00CAC8D8_0; 1 drivers
v01012EA0_0 .net "write_reg", 0 0, v00CAC7D0_0; 1 drivers
v01012EF8_0 .net "write_reg_addr", 3 0, v00CAB380_0; 1 drivers
v01012F50_0 .net "write_tfr", 0 0, v00CAC930_0; 1 drivers
v01012FA8_0 .var "zff", 0 0;
E_00AC1810/0 .event edge, v010115D8_0, v010117E8_0, v01011840_0, v01011AD8_0;
E_00AC1810/1 .event edge, v01011B30_0, v01011C90_0, v01011C38_0, v01011BE0_0;
E_00AC1810/2 .event edge, v01011E48_0, v010124D0_0, v01012580_0, v010125D8_0;
E_00AC1810/3 .event edge, v010126E0_0, v010127E8_0, v01012FA8_0, v01012CE8_0;
E_00AC1810/4 .event edge, v010121B8_0;
E_00AC1810 .event/or E_00AC1810/0, E_00AC1810/1, E_00AC1810/2, E_00AC1810/3, E_00AC1810/4;
E_00AC1F50/0 .event edge, v01012898_0, v010117E8_0, v01011840_0, v01011AD8_0;
E_00AC1F50/1 .event edge, v01011B30_0, v01011C90_0, v01011C38_0, v01011BE0_0;
E_00AC1F50/2 .event edge, v01011E48_0, v010124D0_0, v01012580_0, v010125D8_0;
E_00AC1F50/3 .event edge, v010126E0_0, v010127E8_0, v01012FA8_0, v01012CE8_0;
E_00AC1F50/4 .event edge, v010121B8_0;
E_00AC1F50 .event/or E_00AC1F50/0, E_00AC1F50/1, E_00AC1F50/2, E_00AC1F50/3, E_00AC1F50/4;
LS_010170B0_0_0 .concat [ 1 1 1 1], v010121B8_0, v01012CE8_0, v01012FA8_0, v010127E8_0;
LS_010170B0_0_4 .concat [ 1 1 1 1], v010126E0_0, v010125D8_0, v01012580_0, v010124D0_0;
L_010170B0 .concat [ 4 4 0 0], LS_010170B0_0_0, LS_010170B0_0_4;
L_01017108 .functor MUXZ 16, v01012000_0, v01011FA8_0, v01010DF0_0, C4<>;
L_01017160 .arith/sum 16, v01011F50_0, C4<0000000000000001>;
L_010171B8 .functor MUXZ 16, v00CAB328_0, v01012920_0, L_01018268, C4<>;
L_01017210 .functor MUXZ 16, v010129D0_0, L_01017160, v00CABF60_0, C4<>;
L_01017268 .functor MUXZ 4, L_010172C0, C4<0101>, L_01018460, C4<>;
S_00AEAAA0 .scope module, "ea" "calc_ea" 5 60, 5 191, S_00AEA330;
L_00A0FCC0 .functor BUFZ 16, v00E81F50_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00E881C0 .functor BUFZ 16, v00E819D0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00E88268 .functor OR 1, v00A0C930_0, v00A0C828_0, C4<0>, C4<0>;
L_00E88460 .functor OR 1, v00A0BF60_0, v00A0C880_0, C4<0>, C4<0>;
v00E81CE8_0 .var "ACCA", 7 0;
v00E81D40_0 .var "ACCB", 7 0;
v00E81D98_0 .alias "CCR_in", 7 0, v00A0ADA8_0;
v00E81DF0_0 .alias "CCR_o", 7 0, v00A0CA90_0;
v00E81E48_0 .var "DP", 7 0;
v00E81EA0_0 .var "IX", 15 0;
v00E81EF8_0 .var "IY", 15 0;
v00E81F50_0 .var "PC", 15 0;
v00E81FA8_0 .var "SS", 15 0;
v00E82000_0 .var "SU", 15 0;
v00E82058_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v00E820B0_0 .net *"_s14", 0 0, L_00E88268; 1 drivers
v00E82108_0 .net *"_s20", 0 0, L_00E88460; 1 drivers
v00E82160_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v00E821B8_0 .var "cff", 0 0;
v00E82210_0 .net "clear_e", 0 0, v00A0BBF0_0; 1 drivers
v00E82268_0 .alias "clk_in", 0 0, v00A0AEB0_0;
v00E822C0_0 .net "data_w", 15 0, v00A0B328_0; 1 drivers
v00E82318_0 .net "dec_su", 0 0, v00A0BDA8_0; 1 drivers
v00E823C8_0 .net "ea_reg_post", 15 0, v00E81920_0; 1 drivers
v00E82370_0 .net "eamem_addr", 15 0, v00E819D0_0; 1 drivers
v00E82420_0 .alias "eamem_addr_o", 15 0, v00A0CB40_0;
v00E82478_0 .net "eapostbyte", 7 0, v00A0C010_0; 1 drivers
v00E824D0_0 .var "eflag", 0 0;
v00E82528_0 .net "exg_dest_r", 3 0, L_00E872C0; 1 drivers
v00E82580_0 .var "fflag", 0 0;
v00E825D8_0 .var "hflag", 0 0;
v00E82630_0 .net "inc_pc", 0 0, v00A0BF60_0; 1 drivers
v00E82688_0 .net "inc_su", 0 0, v00A0BFB8_0; 1 drivers
v00E826E0_0 .var "intff", 0 0;
v00E82738_0 .net "left", 15 0, L_00E871B8; 1 drivers
v00E82790_0 .net "new_pc", 15 0, v00A0C278_0; 1 drivers
v00E827E8_0 .var "nff", 0 0;
v00E82840_0 .net "offset16", 15 0, L_00E87318; 1 drivers
v00E82898_0 .net "path_left_addr", 3 0, v00A0B220_0; 1 drivers
v00E82920_0 .var "path_left_data", 15 0;
v00E82978_0 .alias "path_right_addr", 3 0, v00A0B538_0;
v00E829D0_0 .var "path_right_data", 15 0;
v00E82A28_0 .net "pc_plus_1", 15 0, L_00E87160; 1 drivers
v00E82A80_0 .alias "reg_dp", 7 0, v00A0CAE8_0;
v00E82AD8_0 .alias "reg_pc", 15 0, v00A0CBF0_0;
v00E82B30_0 .alias "reg_su", 15 0, v00A0CCA0_0;
v00E82B88_0 .net "right", 15 0, L_00E87210; 1 drivers
v00E82BE0_0 .net "right_reg", 3 0, L_00E87268; 1 drivers
v00E82C38_0 .net "set_e", 0 0, v00A0C778_0; 1 drivers
v00E82C90_0 .alias "use_s", 0 0, v00A0BAE8_0;
v00E82CE8_0 .var "vff", 0 0;
v00E82D40_0 .net "write_exg", 0 0, v00A0C828_0; 1 drivers
v00E82D98_0 .net "write_flags", 0 0, L_00E88658; 1 drivers
v00E82DF0_0 .net "write_pc", 0 0, v00A0C880_0; 1 drivers
v00E82E48_0 .net "write_post", 0 0, v00A0C8D8_0; 1 drivers
v00E82EA0_0 .net "write_reg", 0 0, v00A0C7D0_0; 1 drivers
v00E82EF8_0 .net "write_reg_addr", 3 0, v00A0B380_0; 1 drivers
v00E82F50_0 .net "write_tfr", 0 0, v00A0C930_0; 1 drivers
v00E82FA8_0 .var "zff", 0 0;
E_00821810/0 .event edge, v00E815D8_0, v00E817E8_0, v00E81840_0, v00E81AD8_0;
E_00821810/1 .event edge, v00E81B30_0, v00E81C90_0, v00E81C38_0, v00E81BE0_0;
E_00821810/2 .event edge, v00E81E48_0, v00E824D0_0, v00E82580_0, v00E825D8_0;
E_00821810/3 .event edge, v00E826E0_0, v00E827E8_0, v00E82FA8_0, v00E82CE8_0;
E_00821810/4 .event edge, v00E821B8_0;
E_00821810 .event/or E_00821810/0, E_00821810/1, E_00821810/2, E_00821810/3, E_00821810/4;
E_00821F50/0 .event edge, v00E82898_0, v00E817E8_0, v00E81840_0, v00E81AD8_0;
E_00821F50/1 .event edge, v00E81B30_0, v00E81C90_0, v00E81C38_0, v00E81BE0_0;
E_00821F50/2 .event edge, v00E81E48_0, v00E824D0_0, v00E82580_0, v00E825D8_0;
E_00821F50/3 .event edge, v00E826E0_0, v00E827E8_0, v00E82FA8_0, v00E82CE8_0;
E_00821F50/4 .event edge, v00E821B8_0;
E_00821F50 .event/or E_00821F50/0, E_00821F50/1, E_00821F50/2, E_00821F50/3, E_00821F50/4;
LS_00E870B0_0_0 .concat [ 1 1 1 1], v00E821B8_0, v00E82CE8_0, v00E82FA8_0, v00E827E8_0;
LS_00E870B0_0_4 .concat [ 1 1 1 1], v00E826E0_0, v00E825D8_0, v00E82580_0, v00E824D0_0;
L_00E870B0 .concat [ 4 4 0 0], LS_00E870B0_0_0, LS_00E870B0_0_4;
L_00E87108 .functor MUXZ 16, v00E82000_0, v00E81FA8_0, v00E80DF0_0, C4<>;
L_00E87160 .arith/sum 16, v00E81F50_0, C4<0000000000000001>;
L_00E871B8 .functor MUXZ 16, v00A0B328_0, v00E82920_0, L_00E88268, C4<>;
L_00E87210 .functor MUXZ 16, v00E829D0_0, L_00E87160, v00A0BF60_0, C4<>;
L_00E87268 .functor MUXZ 4, L_00E872C0, C4<0101>, L_00E88460, C4<>;
S_0084AAA0 .scope module, "ea" "calc_ea" 5 60, 5 191, S_0084A330;
.timescale -9 -9;
v010117E8_0 .net "acca", 7 0, v01011CE8_0; 1 drivers
v01011840_0 .net "accb", 7 0, v01011D40_0; 1 drivers
v01011898_0 .var "ea_reg", 15 0;
v01011920_0 .var "ea_reg_post", 15 0;
v01011978_0 .alias "ea_reg_post_o", 15 0, v010123C8_0;
v010119D0_0 .var "eamem_addr", 15 0;
v01011A28_0 .alias "eamem_addr_o", 15 0, v01012370_0;
v01011A80_0 .alias "eapostbyte", 7 0, v01012478_0;
v01011AD8_0 .net "ix", 15 0, v01011EA0_0; 1 drivers
v01011B30_0 .net "iy", 15 0, v01011EF8_0; 1 drivers
v01011B88_0 .alias "offset16", 15 0, v01012840_0;
v01011BE0_0 .net "pc", 15 0, v01011F50_0; 1 drivers
v01011C38_0 .net "s", 15 0, v01011FA8_0; 1 drivers
v01011C90_0 .net "u", 15 0, v01012000_0; 1 drivers
E_00AC2070/0 .event edge, v01010920_0, v01011898_0, v01011920_0, v01011840_0;
E_00AC2070/1 .event edge, v010117E8_0, v01011B88_0, v01011BE0_0;
E_00AC2070 .event/or E_00AC2070/0, E_00AC2070/1;
E_00AC1F70 .event edge, v01010920_0, v01011898_0;
E_00AC1EF0/0 .event edge, v01010920_0, v01011AD8_0, v01011B30_0, v01011C90_0;
E_00AC1EF0/1 .event edge, v01011C38_0;
E_00AC1EF0 .event/or E_00AC1EF0/0, E_00AC1EF0/1;
S_00AEA2A8 .scope module, "dec_regs" "decode_regs" 3 163, 6 9, S_00AEA660;
v00E817E8_0 .net "acca", 7 0, v00E81CE8_0; 1 drivers
v00E81840_0 .net "accb", 7 0, v00E81D40_0; 1 drivers
v00E81898_0 .var "ea_reg", 15 0;
v00E81920_0 .var "ea_reg_post", 15 0;
v00E81978_0 .alias "ea_reg_post_o", 15 0, v00E823C8_0;
v00E819D0_0 .var "eamem_addr", 15 0;
v00E81A28_0 .alias "eamem_addr_o", 15 0, v00E82370_0;
v00E81A80_0 .alias "eapostbyte", 7 0, v00E82478_0;
v00E81AD8_0 .net "ix", 15 0, v00E81EA0_0; 1 drivers
v00E81B30_0 .net "iy", 15 0, v00E81EF8_0; 1 drivers
v00E81B88_0 .alias "offset16", 15 0, v00E82840_0;
v00E81BE0_0 .net "pc", 15 0, v00E81F50_0; 1 drivers
v00E81C38_0 .net "s", 15 0, v00E81FA8_0; 1 drivers
v00E81C90_0 .net "u", 15 0, v00E82000_0; 1 drivers
E_00822070/0 .event edge, v00E80920_0, v00E81898_0, v00E81920_0, v00E81840_0;
E_00822070/1 .event edge, v00E817E8_0, v00E81B88_0, v00E81BE0_0;
E_00822070 .event/or E_00822070/0, E_00822070/1;
E_00821F70 .event edge, v00E80920_0, v00E81898_0;
E_00821EF0/0 .event edge, v00E80920_0, v00E81AD8_0, v00E81B30_0, v00E81C90_0;
E_00821EF0/1 .event edge, v00E81C38_0;
E_00821EF0 .event/or E_00821EF0/0, E_00821EF0/1;
S_0084A2A8 .scope module, "dec_regs" "decode_regs" 3 163, 6 9, S_0084A660;
.timescale -9 -9;
L_01018AD8 .functor BUFZ 4, v01011478_0, C4<0000>, C4<0000>, C4<0000>;
L_01018B48 .functor BUFZ 4, v01011268_0, C4<0000>, C4<0000>, C4<0000>;
v01010E48_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v01010EA0_0 .net *"_s10", 0 0, L_010174D0; 1 drivers
v01010EF8_0 .net *"_s12", 3 0, C4<1000>; 1 drivers
v01010F50_0 .net *"_s14", 0 0, L_01017528; 1 drivers
v01010FA8_0 .net *"_s16", 3 0, C4<0111>; 1 drivers
v01011000_0 .net *"_s18", 0 0, L_01017580; 1 drivers
v01011058_0 .net/s *"_s20", 0 0, C4<1>; 1 drivers
v010110B0_0 .net/s *"_s22", 0 0, C4<0>; 1 drivers
v01011108_0 .net *"_s24", 0 0, L_010175D8; 1 drivers
v01011160_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v010111B8_0 .net *"_s8", 3 0, C4<1111>; 1 drivers
v01011210_0 .alias "cpu_clk", 0 0, v00CAAEB0_0;
v01011268_0 .var "dest_reg", 3 0;
v010112C0_0 .var "dest_reg_lo", 3 0;
v01011318_0 .alias "dest_reg_o", 3 0, v00CAB6C8_0;
v01011370_0 .net "opcode", 7 0, v00CAC3D8_0; 1 drivers
v010113C8_0 .net "page2_valid", 0 0, v00CAC430_0; 1 drivers
v01011420_0 .net "page3_valid", 0 0, v00CAC488_0; 1 drivers
v01011478_0 .var "path_left_addr", 3 0;
v01011528_0 .var "path_left_addr_lo", 3 0;
v010114D0_0 .alias "path_left_addr_o", 3 0, v00CAB8D8_0;
v01011580_0 .var "path_right_addr", 3 0;
v010115D8_0 .var "path_right_addr_lo", 3 0;
v01011630_0 .alias "path_right_addr_o", 3 0, v00CAB9E0_0;
v01011688_0 .net "postbyte0", 7 0, v00CAC4E0_0; 1 drivers
v010116E0_0 .alias "result_size", 0 0, v00CAB618_0;
v01011738_0 .alias "source_size", 0 0, v00CABA90_0;
v01011790_0 .alias "write_dest", 0 0, v00CABB40_0;
E_00AC1830 .event posedge, v01011210_0;
E_00AC1870 .event edge, v00AF1000_0, v00AF0B30_0, v00AF0B88_0, v00AF0AD8_0;
L_01017478 .cmp/ne 4, v01011268_0, C4<1111>;
L_010173C8 .cmp/gt 4, C4<1000>, v01011478_0;
L_010174D0 .cmp/eq 4, v01011268_0, C4<1111>;
L_01017528 .cmp/gt 4, C4<1000>, v01011478_0;
L_01017580 .cmp/gt 4, C4<0111>, v01011268_0;
L_010175D8 .functor MUXZ 1, C4<0>, C4<1>, L_01017580, C4<>;
L_01017630 .functor MUXZ 1, L_010175D8, L_01017528, L_010174D0, C4<>;
S_00AEA440 .scope module, "dec_op" "decode_op" 3 180, 6 155, S_00AEA660;
L_00E88AD8 .functor BUFZ 4, v00E81478_0, C4<0000>, C4<0000>, C4<0000>;
L_00E88B48 .functor BUFZ 4, v00E81268_0, C4<0000>, C4<0000>, C4<0000>;
v00E80E48_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v00E80EA0_0 .net *"_s10", 0 0, L_00E874D0; 1 drivers
v00E80EF8_0 .net *"_s12", 3 0, C4<1000>; 1 drivers
v00E80F50_0 .net *"_s14", 0 0, L_00E87528; 1 drivers
v00E80FA8_0 .net *"_s16", 3 0, C4<0111>; 1 drivers
v00E81000_0 .net *"_s18", 0 0, L_00E87580; 1 drivers
v00E81058_0 .net/s *"_s20", 0 0, C4<1>; 1 drivers
v00E810B0_0 .net/s *"_s22", 0 0, C4<0>; 1 drivers
v00E81108_0 .net *"_s24", 0 0, L_00E875D8; 1 drivers
v00E81160_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v00E811B8_0 .net *"_s8", 3 0, C4<1111>; 1 drivers
v00E81210_0 .alias "cpu_clk", 0 0, v00A0AEB0_0;
v00E81268_0 .var "dest_reg", 3 0;
v00E812C0_0 .var "dest_reg_lo", 3 0;
v00E81318_0 .alias "dest_reg_o", 3 0, v00A0B6C8_0;
v00E81370_0 .net "opcode", 7 0, v00A0C3D8_0; 1 drivers
v00E813C8_0 .net "page2_valid", 0 0, v00A0C430_0; 1 drivers
v00E81420_0 .net "page3_valid", 0 0, v00A0C488_0; 1 drivers
v00E81478_0 .var "path_left_addr", 3 0;
v00E81528_0 .var "path_left_addr_lo", 3 0;
v00E814D0_0 .alias "path_left_addr_o", 3 0, v00A0B8D8_0;
v00E81580_0 .var "path_right_addr", 3 0;
v00E815D8_0 .var "path_right_addr_lo", 3 0;
v00E81630_0 .alias "path_right_addr_o", 3 0, v00A0B9E0_0;
v00E81688_0 .net "postbyte0", 7 0, v00A0C4E0_0; 1 drivers
v00E816E0_0 .alias "result_size", 0 0, v00A0B618_0;
v00E81738_0 .alias "source_size", 0 0, v00A0BA90_0;
v00E81790_0 .alias "write_dest", 0 0, v00A0BB40_0;
E_00821830 .event posedge, v00E81210_0;
E_00821870 .event edge, v00851000_0, v00850B30_0, v00850B88_0, v00850AD8_0;
L_00E87478 .cmp/ne 4, v00E81268_0, C4<1111>;
L_00E873C8 .cmp/gt 4, C4<1000>, v00E81478_0;
L_00E874D0 .cmp/eq 4, v00E81268_0, C4<1111>;
L_00E87528 .cmp/gt 4, C4<1000>, v00E81478_0;
L_00E87580 .cmp/gt 4, C4<0111>, v00E81268_0;
L_00E875D8 .functor MUXZ 1, C4<0>, C4<1>, L_00E87580, C4<>;
L_00E87630 .functor MUXZ 1, L_00E875D8, L_00E87528, L_00E874D0, C4<>;
S_0084A440 .scope module, "dec_op" "decode_op" 3 180, 6 155, S_0084A660;
.timescale -9 -9;
v01010B30_0 .var "mode", 2 0;
v01010B88_0 .alias "opcode", 7 0, v01011370_0;
v01010BE0_0 .net "oplo", 3 0, L_01017688; 1 drivers
v01010C38_0 .var "optype", 2 0;
v01010C90_0 .alias "page2_valid", 0 0, v010113C8_0;
v01010CE8_0 .alias "page3_valid", 0 0, v01011420_0;
v01010D40_0 .alias "postbyte0", 7 0, v01011688_0;
v01010D98_0 .var "size", 0 0;
v01010DF0_0 .var "use_s", 0 0;
E_00AC2050/0 .event edge, v01010BE0_0, v00AF1000_0, v00AF0B30_0, v00AF0B88_0;
E_00AC2050/1 .event edge, v00AF0AD8_0;
E_00AC2050 .event/or E_00AC2050/0, E_00AC2050/1;
L_01017688 .part v00CAC3D8_0, 0, 4;
S_00AEA4C8 .scope module, "dec_ea" "decode_ea" 3 190, 6 286, S_00AEA660;
v00E80B30_0 .var "mode", 2 0;
v00E80B88_0 .alias "opcode", 7 0, v00E81370_0;
v00E80BE0_0 .net "oplo", 3 0, L_00E87688; 1 drivers
v00E80C38_0 .var "optype", 2 0;
v00E80C90_0 .alias "page2_valid", 0 0, v00E813C8_0;
v00E80CE8_0 .alias "page3_valid", 0 0, v00E81420_0;
v00E80D40_0 .alias "postbyte0", 7 0, v00E81688_0;
v00E80D98_0 .var "size", 0 0;
v00E80DF0_0 .var "use_s", 0 0;
E_00822050/0 .event edge, v00E80BE0_0, v00851000_0, v00850B30_0, v00850B88_0;
E_00822050/1 .event edge, v00850AD8_0;
E_00822050 .event/or E_00822050/0, E_00822050/1;
L_00E87688 .part v00A0C3D8_0, 0, 4;
S_0084A4C8 .scope module, "dec_ea" "decode_ea" 3 190, 6 286, S_0084A660;
.timescale -9 -9;
L_00AF54C8 .functor AND 1, L_010176E0, L_01017738, C4<1>, C4<1>;
v00AF10B0_0 .net *"_s1", 0 0, L_010176E0; 1 drivers
v00AF1108_0 .net *"_s3", 0 0, L_01017738; 1 drivers
v00AF1160_0 .net *"_s4", 0 0, L_00AF54C8; 1 drivers
v00AF11B8_0 .net *"_s6", 0 0, C4<1>; 1 drivers
v00AF1210_0 .net *"_s8", 0 0, C4<0>; 1 drivers
v01010920_0 .alias "eapostbyte", 7 0, v01012478_0;
v01010978_0 .alias "isind", 0 0, v00CAB720_0;
v010109D0_0 .var "noofs", 0 0;
v01010A28_0 .var "ofs16", 0 0;
v01010A80_0 .var "ofs8", 0 0;
v01010AD8_0 .var "write_post", 0 0;
E_00AC17B0 .event edge, v01010920_0;
L_010176E0 .part v00CAC010_0, 7, 1;
L_01017738 .part v00CAC010_0, 4, 1;
L_01017790 .functor MUXZ 1, C4<0>, C4<1>, L_00AF54C8, C4<>;
S_00AEA550 .scope module, "dec_alu" "decode_alu" 3 202, 6 312, S_00AEA660;
L_008554C8 .functor AND 1, L_00E876E0, L_00E87738, C4<1>, C4<1>;
v008510B0_0 .net *"_s1", 0 0, L_00E876E0; 1 drivers
v00851108_0 .net *"_s3", 0 0, L_00E87738; 1 drivers
v00851160_0 .net *"_s4", 0 0, L_008554C8; 1 drivers
v008511B8_0 .net *"_s6", 0 0, C4<1>; 1 drivers
v00851210_0 .net *"_s8", 0 0, C4<0>; 1 drivers
v00E80920_0 .alias "eapostbyte", 7 0, v00E82478_0;
v00E80978_0 .alias "isind", 0 0, v00A0B720_0;
v00E809D0_0 .var "noofs", 0 0;
v00E80A28_0 .var "ofs16", 0 0;
v00E80A80_0 .var "ofs8", 0 0;
v00E80AD8_0 .var "write_post", 0 0;
E_008217B0 .event edge, v00E80920_0;
L_00E876E0 .part v00A0C010_0, 7, 1;
L_00E87738 .part v00A0C010_0, 4, 1;
L_00E87790 .functor MUXZ 1, C4<0>, C4<1>, L_008554C8, C4<>;
S_0084A550 .scope module, "dec_alu" "decode_alu" 3 202, 6 312, S_0084A660;
.timescale -9 -9;
L_01018D40 .functor AND 1, L_010177E8, L_01017840, C4<1>, C4<1>;
L_01018E20 .functor AND 1, L_01018D40, L_01017898, C4<1>, C4<1>;
v00AF0BE0_0 .net *"_s0", 4 0, C4<00000>; 1 drivers
v00AF0C38_0 .net *"_s10", 7 0, C4<00011100>; 1 drivers
v00AF0C90_0 .net *"_s12", 0 0, L_01017898; 1 drivers
v00AF0CE8_0 .net *"_s2", 0 0, L_010177E8; 1 drivers
v00AF0D40_0 .net *"_s4", 7 0, C4<00011010>; 1 drivers
v00AF0D98_0 .net *"_s6", 0 0, L_01017840; 1 drivers
v00AF0DF0_0 .net *"_s8", 0 0, L_01018D40; 1 drivers
v00AF0E48_0 .var "alu_opcode", 4 0;
v00AF0EA0_0 .var "dec_alu_right_path_mod", 1 0;
v00AF0EF8_0 .alias "dest_flags", 0 0, v00CABB98_0;
v00AF0F50_0 .alias "opcode", 7 0, v01011370_0;
v00AF0FA8_0 .alias "page2_valid", 0 0, v010113C8_0;
v00AF1000_0 .alias "page3_valid", 0 0, v01011420_0;
v00AF1058_0 .alias "postbyte0", 7 0, v01011688_0;
E_00AC1DD0 .event edge, v00AF0AD8_0, v00AF0B30_0, v00AF0B88_0, v00AF1000_0;
L_010177E8 .cmp/ne 5, v00AF0E48_0, C4<00000>;
L_01017840 .cmp/ne 8, v00CAC3D8_0, C4<00011010>;
L_01017898 .cmp/ne 8, v00CAC3D8_0, C4<00011100>;
S_00AEA5D8 .scope module, "test_cond" "test_condition" 3 212, 6 385, S_00AEA660;
L_00E88D40 .functor AND 1, L_00E877E8, L_00E87840, C4<1>, C4<1>;
L_00E88E20 .functor AND 1, L_00E88D40, L_00E87898, C4<1>, C4<1>;
v00850BE0_0 .net *"_s0", 4 0, C4<00000>; 1 drivers
v00850C38_0 .net *"_s10", 7 0, C4<00011100>; 1 drivers
v00850C90_0 .net *"_s12", 0 0, L_00E87898; 1 drivers
v00850CE8_0 .net *"_s2", 0 0, L_00E877E8; 1 drivers
v00850D40_0 .net *"_s4", 7 0, C4<00011010>; 1 drivers
v00850D98_0 .net *"_s6", 0 0, L_00E87840; 1 drivers
v00850DF0_0 .net *"_s8", 0 0, L_00E88D40; 1 drivers
v00850E48_0 .var "alu_opcode", 4 0;
v00850EA0_0 .var "dec_alu_right_path_mod", 1 0;
v00850EF8_0 .alias "dest_flags", 0 0, v00A0BB98_0;
v00850F50_0 .alias "opcode", 7 0, v00E81370_0;
v00850FA8_0 .alias "page2_valid", 0 0, v00E813C8_0;
v00851000_0 .alias "page3_valid", 0 0, v00E81420_0;
v00851058_0 .alias "postbyte0", 7 0, v00E81688_0;
E_00821DD0 .event edge, v00850AD8_0, v00850B30_0, v00850B88_0, v00851000_0;
L_00E877E8 .cmp/ne 5, v00850E48_0, C4<00000>;
L_00E87840 .cmp/ne 8, v00A0C3D8_0, C4<00011010>;
L_00E87898 .cmp/ne 8, v00A0C3D8_0, C4<00011100>;
S_0084A5D8 .scope module, "test_cond" "test_condition" 3 212, 6 385, S_0084A660;
.timescale -9 -9;
v00AF09D0_0 .alias "CCR", 7 0, v00CACA90_0;
v00AF0A28_0 .var "cond_taken", 0 0;
v00AF0A80_0 .net "op", 7 0, L_010178F0; 1 drivers
v00AF0AD8_0 .alias "opcode", 7 0, v01011370_0;
v00AF0B30_0 .alias "page2_valid", 0 0, v010113C8_0;
v00AF0B88_0 .alias "postbyte0", 7 0, v01011688_0;
E_00AC1DB0 .event edge, v00AF0A80_0, v00AF09D0_0;
L_010178F0 .functor MUXZ 8, v00CAC3D8_0, v00CAC4E0_0, v00CAC430_0, C4<>;
S_00AEA6E8 .scope module, "imem" "memory" 2 30, 2 46, S_00AEAC38;
v008509D0_0 .alias "CCR", 7 0, v00A0CA90_0;
v00850A28_0 .var "cond_taken", 0 0;
v00850A80_0 .net "op", 7 0, L_00E878F0; 1 drivers
v00850AD8_0 .alias "opcode", 7 0, v00E81370_0;
v00850B30_0 .alias "page2_valid", 0 0, v00E813C8_0;
v00850B88_0 .alias "postbyte0", 7 0, v00E81688_0;
E_00821DB0 .event edge, v00850A80_0, v008509D0_0;
L_00E878F0 .functor MUXZ 8, v00A0C3D8_0, v00A0C4E0_0, v00A0C430_0, C4<>;
S_0084A6E8 .scope module, "imem" "memory" 2 30, 2 46, S_0084AC38;
.timescale -9 -9;
v00AF0608_0 .alias "addr", 15 0, v00CACD50_0;
v00AF0660_0 .alias "data_i", 7 0, v00CACEB0_0;
v00AF06B8_0 .alias "data_o", 7 0, v00CACE58_0;
v00AF0710_0 .var "latecheddata", 7 0;
v00AF0768 .array "mem", 0 65535, 7 0;
v00AF0768_0 .array/port v00AF0768, 0;
v00AF07C0_0 .net "mem0", 7 0, v00AF0768_0; 1 drivers
v00AF0768_1 .array/port v00AF0768, 1;
v00AF0818_0 .net "mem1", 7 0, v00AF0768_1; 1 drivers
v00AF0768_2 .array/port v00AF0768, 2;
v00AF0870_0 .net "mem2", 7 0, v00AF0768_2; 1 drivers
v00AF0768_3 .array/port v00AF0768, 3;
v00AF08C8_0 .net "mem3", 7 0, v00AF0768_3; 1 drivers
v00AF0920_0 .net "oe", 0 0, L_01017948; 1 drivers
v00AF0978_0 .net "we", 0 0, L_010179A0; 1 drivers
E_00AC1510 .event negedge, v00AF0920_0;
E_00AC0FF0 .event negedge, v00AF0978_0;
.scope S_00AEA770;
v00850608_0 .alias "addr", 15 0, v00A0CD50_0;
v00850660_0 .alias "data_i", 7 0, v00A0CEB0_0;
v008506B8_0 .alias "data_o", 7 0, v00A0CE58_0;
v00850710_0 .var "latecheddata", 7 0;
v00850768 .array "mem", 0 65535, 7 0;
v00850768_0 .array/port v00850768, 0;
v008507C0_0 .net "mem0", 7 0, v00850768_0; 1 drivers
v00850768_1 .array/port v00850768, 1;
v00850818_0 .net "mem1", 7 0, v00850768_1; 1 drivers
v00850768_2 .array/port v00850768, 2;
v00850870_0 .net "mem2", 7 0, v00850768_2; 1 drivers
v00850768_3 .array/port v00850768, 3;
v008508C8_0 .net "mem3", 7 0, v00850768_3; 1 drivers
v00850920_0 .net "oe", 0 0, L_00E87948; 1 drivers
v00850978_0 .net "we", 0 0, L_00E879A0; 1 drivers
E_00821510 .event negedge, v00850920_0;
E_00820FF0 .event negedge, v00850978_0;
.scope S_0084A770;
T_0 ;
%wait E_00AC1830;
%load/v 8, v00CAA278_0, 1; Only need 1 of 8 bits
%wait E_00821830;
%load/v 8, v00A0A278_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.0, 8;
%load/v 9, v00CAA2D0_0, 8;
%load/v 9, v00A0A2D0_0, 8;
%mov 17, 0, 8;
%jmp/1 T_0.2, 8;
T_0.0 ; End of true expr.
711,7 → 711,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_0.6, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.7;
T_0.6 ;
%mov 8, 2, 1;
719,7 → 719,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.3, 8;
%mov 25, 0, 1;
%load/v 26, v00CAA2D0_0, 8;
%load/v 26, v00A0A2D0_0, 8;
%mov 34, 0, 7;
%jmp/1 T_0.5, 8;
T_0.3 ; End of true expr.
734,7 → 734,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_0.11, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.12;
T_0.11 ;
%mov 8, 2, 1;
742,7 → 742,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.8, 8;
%mov 25, 0, 2;
%load/v 27, v00CAA2D0_0, 8;
%load/v 27, v00A0A2D0_0, 8;
%mov 35, 0, 6;
%jmp/1 T_0.10, 8;
T_0.8 ; End of true expr.
757,7 → 757,7
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_0.16, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.17;
T_0.16 ;
%mov 8, 2, 1;
765,7 → 765,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.13, 8;
%mov 25, 0, 3;
%load/v 28, v00CAA2D0_0, 8;
%load/v 28, v00A0A2D0_0, 8;
%mov 36, 0, 5;
%jmp/1 T_0.15, 8;
T_0.13 ; End of true expr.
778,11 → 778,11
T_0.15 ;
%add 9, 25, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CAA380_0, 0, 9;
%assign/v0 v00A0A380_0, 0, 9;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_0.21, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.22;
T_0.21 ;
%mov 8, 2, 1;
790,7 → 790,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.18, 8;
%mov 9, 0, 4;
%load/v 13, v00CAA2D0_0, 8;
%load/v 13, v00A0A2D0_0, 8;
%mov 21, 0, 4;
%jmp/1 T_0.20, 8;
T_0.18 ; End of true expr.
804,7 → 804,7
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_0.26, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.27;
T_0.26 ;
%mov 8, 2, 1;
812,7 → 812,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.23, 8;
%mov 25, 0, 5;
%load/v 30, v00CAA2D0_0, 8;
%load/v 30, v00A0A2D0_0, 8;
%mov 38, 0, 3;
%jmp/1 T_0.25, 8;
T_0.23 ; End of true expr.
827,7 → 827,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_0.31, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.32;
T_0.31 ;
%mov 8, 2, 1;
835,7 → 835,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.28, 8;
%mov 25, 0, 6;
%load/v 31, v00CAA2D0_0, 8;
%load/v 31, v00A0A2D0_0, 8;
%mov 39, 0, 2;
%jmp/1 T_0.30, 8;
T_0.28 ; End of true expr.
850,7 → 850,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_0.36, 4;
%load/x1p 8, v00CAA278_0, 1;
%load/x1p 8, v00A0A278_0, 1;
%jmp T_0.37;
T_0.36 ;
%mov 8, 2, 1;
858,7 → 858,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.33, 8;
%mov 25, 0, 7;
%load/v 32, v00CAA2D0_0, 8;
%load/v 32, v00A0A2D0_0, 8;
%mov 40, 0, 1;
%jmp/1 T_0.35, 8;
T_0.33 ; End of true expr.
870,16 → 870,16
%mov 25, 0, 16; Return false value
T_0.35 ;
%add 9, 25, 16;
%load/v 25, v00CAA380_0, 16;
%load/v 25, v00A0A380_0, 16;
%add 9, 25, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CAA3D8_0, 0, 9;
%assign/v0 v00A0A3D8_0, 0, 9;
%jmp T_0;
.thread T_0;
.scope S_00AEAB28;
.scope S_0084AB28;
T_1 ;
%wait E_00B06BC0;
%load/v 8, v01014318_0, 2;
%wait E_00866BC0;
%load/v 8, v00E84318_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_1.0, 6;
%cmpi/u 8, 1, 2;
890,34 → 890,34
%jmp/1 T_1.3, 6;
%jmp T_1.4;
T_1.0 ;
%load/v 8, v010142C0_0, 8;
%set/v v01014370_0, 8, 8;
%load/v 8, v00E842C0_0, 8;
%set/v v00E84370_0, 8, 8;
%jmp T_1.4;
T_1.1 ;
%load/v 8, v01014268_0, 8;
%load/v 16, v010142C0_0, 8;
%load/v 8, v00E84268_0, 8;
%load/v 16, v00E842C0_0, 8;
%and 8, 16, 8;
%set/v v01014370_0, 8, 8;
%set/v v00E84370_0, 8, 8;
%jmp T_1.4;
T_1.2 ;
%load/v 8, v01014268_0, 8;
%load/v 16, v010142C0_0, 8;
%load/v 8, v00E84268_0, 8;
%load/v 16, v00E842C0_0, 8;
%or 8, 16, 8;
%set/v v01014370_0, 8, 8;
%set/v v00E84370_0, 8, 8;
%jmp T_1.4;
T_1.3 ;
%load/v 8, v01014268_0, 8;
%load/v 16, v010142C0_0, 8;
%load/v 8, v00E84268_0, 8;
%load/v 16, v00E842C0_0, 8;
%xor 8, 16, 8;
%set/v v01014370_0, 8, 8;
%set/v v00E84370_0, 8, 8;
%jmp T_1.4;
T_1.4 ;
%jmp T_1;
.thread T_1, $push;
.scope S_00AEABB0;
.scope S_0084ABB0;
T_2 ;
%wait E_00B06A20;
%load/v 8, v01014160_0, 1; Only need 1 of 2 bits
%wait E_00866A20;
%load/v 8, v00E84160_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_2.0, 6;
925,36 → 925,36
%jmp/1 T_2.1, 6;
%jmp T_2.2;
T_2.0 ;
%load/v 8, v01013EF8_0, 8;
%load/v 8, v00E83EF8_0, 8;
%mov 16, 0, 1;
%load/v 17, v01013F50_0, 8;
%load/v 17, v00E83F50_0, 8;
%mov 25, 0, 1;
%add 8, 17, 9;
%load/v 17, v01013FA8_0, 1;
%load/v 17, v00E83FA8_0, 1;
%mov 18, 0, 8;
%add 8, 17, 9;
%set/v v01014210_0, 8, 8;
%set/v v01014058_0, 16, 1;
%set/v v00E84210_0, 8, 8;
%set/v v00E84058_0, 16, 1;
%jmp T_2.2;
T_2.1 ;
%load/v 8, v01013EF8_0, 8;
%load/v 8, v00E83EF8_0, 8;
%mov 16, 0, 1;
%load/v 17, v01013F50_0, 8;
%load/v 17, v00E83F50_0, 8;
%mov 25, 0, 1;
%sub 8, 17, 9;
%load/v 17, v01013FA8_0, 1;
%load/v 17, v00E83FA8_0, 1;
%mov 18, 0, 8;
%sub 8, 17, 9;
%set/v v01014210_0, 8, 8;
%set/v v01014058_0, 16, 1;
%set/v v00E84210_0, 8, 8;
%set/v v00E84058_0, 16, 1;
%jmp T_2.2;
T_2.2 ;
%jmp T_2;
.thread T_2, $push;
.scope S_00AEABB0;
.scope S_0084ABB0;
T_3 ;
%wait E_00B06A00;
%load/v 8, v01014160_0, 1; Only need 1 of 2 bits
%wait E_00866A00;
%load/v 8, v00E84160_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_3.0, 6;
965,7 → 965,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.3, 4;
%load/x1p 8, v01013EF8_0, 1;
%load/x1p 8, v00E83EF8_0, 1;
%jmp T_3.4;
T_3.3 ;
%mov 8, 2, 1;
974,7 → 974,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.5, 4;
%load/x1p 9, v01013F50_0, 1;
%load/x1p 9, v00E83F50_0, 1;
%jmp T_3.6;
T_3.5 ;
%mov 9, 2, 1;
984,7 → 984,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.7, 4;
%load/x1p 9, v01014210_0, 1;
%load/x1p 9, v00E84210_0, 1;
%jmp T_3.8;
T_3.7 ;
%mov 9, 2, 1;
995,7 → 995,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.9, 4;
%load/x1p 9, v01013EF8_0, 1;
%load/x1p 9, v00E83EF8_0, 1;
%jmp T_3.10;
T_3.9 ;
%mov 9, 2, 1;
1005,7 → 1005,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.11, 4;
%load/x1p 10, v01013F50_0, 1;
%load/x1p 10, v00E83F50_0, 1;
%jmp T_3.12;
T_3.11 ;
%mov 10, 2, 1;
1016,7 → 1016,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.13, 4;
%load/x1p 10, v01014210_0, 1;
%load/x1p 10, v00E84210_0, 1;
%jmp T_3.14;
T_3.13 ;
%mov 10, 2, 1;
1024,13 → 1024,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010141B8_0, 8, 1;
%set/v v00E841B8_0, 8, 1;
%jmp T_3.2;
T_3.1 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.15, 4;
%load/x1p 8, v01013EF8_0, 1;
%load/x1p 8, v00E83EF8_0, 1;
%jmp T_3.16;
T_3.15 ;
%mov 8, 2, 1;
1039,7 → 1039,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.17, 4;
%load/x1p 9, v01013F50_0, 1;
%load/x1p 9, v00E83F50_0, 1;
%jmp T_3.18;
T_3.17 ;
%mov 9, 2, 1;
1050,7 → 1050,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.19, 4;
%load/x1p 9, v01014210_0, 1;
%load/x1p 9, v00E84210_0, 1;
%jmp T_3.20;
T_3.19 ;
%mov 9, 2, 1;
1061,7 → 1061,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.21, 4;
%load/x1p 9, v01013EF8_0, 1;
%load/x1p 9, v00E83EF8_0, 1;
%jmp T_3.22;
T_3.21 ;
%mov 9, 2, 1;
1071,7 → 1071,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.23, 4;
%load/x1p 10, v01013F50_0, 1;
%load/x1p 10, v00E83F50_0, 1;
%jmp T_3.24;
T_3.23 ;
%mov 10, 2, 1;
1081,7 → 1081,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.25, 4;
%load/x1p 10, v01014210_0, 1;
%load/x1p 10, v00E84210_0, 1;
%jmp T_3.26;
T_3.25 ;
%mov 10, 2, 1;
1089,15 → 1089,15
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010141B8_0, 8, 1;
%set/v v00E841B8_0, 8, 1;
%jmp T_3.2;
T_3.2 ;
%jmp T_3;
.thread T_3, $push;
.scope S_00AEABB0;
.scope S_0084ABB0;
T_4 ;
%wait E_00B06A60;
%load/v 8, v01014160_0, 1; Only need 1 of 2 bits
%wait E_00866A60;
%load/v 8, v00E84160_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_4.0, 6;
1108,7 → 1108,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.3, 4;
%load/x1p 8, v01013EF8_0, 1;
%load/x1p 8, v00E83EF8_0, 1;
%jmp T_4.4;
T_4.3 ;
%mov 8, 2, 1;
1117,7 → 1117,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.5, 4;
%load/x1p 9, v01013F50_0, 1;
%load/x1p 9, v00E83F50_0, 1;
%jmp T_4.6;
T_4.5 ;
%mov 9, 2, 1;
1127,7 → 1127,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.7, 4;
%load/x1p 9, v01014210_0, 1;
%load/x1p 9, v00E84210_0, 1;
%jmp T_4.8;
T_4.7 ;
%mov 9, 2, 1;
1134,22 → 1134,22
T_4.8 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v01014108_0, 8, 1;
%set/v v00E84108_0, 8, 1;
%jmp T_4.2;
T_4.1 ;
%load/v 8, v010140B0_0, 1;
%set/v v01014108_0, 8, 1;
%load/v 8, v00E840B0_0, 1;
%set/v v00E84108_0, 8, 1;
%jmp T_4.2;
T_4.2 ;
%jmp T_4;
.thread T_4, $push;
.scope S_00AEA7F8;
.scope S_0084A7F8;
T_5 ;
%wait E_00B06AA0;
%wait E_00866AA0;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.0, 4;
%load/x1p 16, v01013B88_0, 7;
%load/x1p 16, v00E83B88_0, 7;
%jmp T_5.1;
T_5.0 ;
%mov 16, 2, 7;
1158,14 → 1158,14
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_5.2, 4;
%load/x1p 16, v01013B88_0, 1;
%load/x1p 16, v00E83B88_0, 1;
%jmp T_5.3;
T_5.2 ;
%mov 16, 2, 1;
T_5.3 ;
%mov 15, 16, 1; Move signal select into place
%set/v v01013DF0_0, 8, 8;
%load/v 8, v01013CE8_0, 3;
%set/v v00E83DF0_0, 8, 8;
%load/v 8, v00E83CE8_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_5.4, 6;
%cmpi/u 8, 1, 3;
1181,7 → 1181,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.10, 4;
%load/x1p 16, v01013B88_0, 7;
%load/x1p 16, v00E83B88_0, 7;
%jmp T_5.11;
T_5.10 ;
%mov 16, 2, 7;
1188,36 → 1188,36
T_5.11 ;
%mov 8, 16, 7; Move signal select into place
%mov 15, 0, 1;
%set/v v01013DF0_0, 8, 8;
%set/v v00E83DF0_0, 8, 8;
%jmp T_5.9;
T_5.5 ;
%mov 8, 0, 1;
%load/v 9, v01013B88_0, 7; Select 7 out of 8 bits
%set/v v01013DF0_0, 8, 8;
%load/v 9, v00E83B88_0, 7; Select 7 out of 8 bits
%set/v v00E83DF0_0, 8, 8;
%jmp T_5.9;
T_5.6 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.12, 4;
%load/x1p 16, v01013B88_0, 7;
%load/x1p 16, v00E83B88_0, 7;
%jmp T_5.13;
T_5.12 ;
%mov 16, 2, 7;
T_5.13 ;
%mov 8, 16, 7; Move signal select into place
%load/v 15, v01013C38_0, 1;
%set/v v01013DF0_0, 8, 8;
%load/v 15, v00E83C38_0, 1;
%set/v v00E83DF0_0, 8, 8;
%jmp T_5.9;
T_5.7 ;
%load/v 8, v01013C38_0, 1;
%load/v 9, v01013B88_0, 7; Select 7 out of 8 bits
%set/v v01013DF0_0, 8, 8;
%load/v 8, v00E83C38_0, 1;
%load/v 9, v00E83B88_0, 7; Select 7 out of 8 bits
%set/v v00E83DF0_0, 8, 8;
%jmp T_5.9;
T_5.8 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.14, 4;
%load/x1p 16, v01013B88_0, 7;
%load/x1p 16, v00E83B88_0, 7;
%jmp T_5.15;
T_5.14 ;
%mov 16, 2, 7;
1226,23 → 1226,23
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_5.16, 4;
%load/x1p 16, v01013B88_0, 1;
%load/x1p 16, v00E83B88_0, 1;
%jmp T_5.17;
T_5.16 ;
%mov 16, 2, 1;
T_5.17 ;
%mov 15, 16, 1; Move signal select into place
%set/v v01013DF0_0, 8, 8;
%set/v v00E83DF0_0, 8, 8;
%jmp T_5.9;
T_5.9 ;
%jmp T_5;
.thread T_5, $push;
.scope S_00AEA7F8;
.scope S_0084A7F8;
T_6 ;
%wait E_00B06A80;
%load/v 8, v01013D40_0, 1;
%set/v v01013D98_0, 8, 1;
%load/v 8, v01013CE8_0, 3;
%wait E_00866A80;
%load/v 8, v00E83D40_0, 1;
%set/v v00E83D98_0, 8, 1;
%load/v 8, v00E83CE8_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_6.0, 6;
%cmpi/u 8, 1, 3;
1255,14 → 1255,14
%jmp/1 T_6.4, 6;
%jmp T_6.5;
T_6.0 ;
%load/v 8, v01013D40_0, 1;
%set/v v01013D98_0, 8, 1;
%load/v 8, v00E83D40_0, 1;
%set/v v00E83D98_0, 8, 1;
%jmp T_6.5;
T_6.1 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_6.6, 4;
%load/x1p 8, v01013B88_0, 1;
%load/x1p 8, v00E83B88_0, 1;
%jmp T_6.7;
T_6.6 ;
%mov 8, 2, 1;
1271,7 → 1271,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_6.8, 4;
%load/x1p 9, v01013B88_0, 1;
%load/x1p 9, v00E83B88_0, 1;
%jmp T_6.9;
T_6.8 ;
%mov 9, 2, 1;
1278,17 → 1278,17
T_6.9 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v01013D98_0, 8, 1;
%set/v v00E83D98_0, 8, 1;
%jmp T_6.5;
T_6.2 ;
%load/v 8, v01013D40_0, 1;
%set/v v01013D98_0, 8, 1;
%load/v 8, v00E83D40_0, 1;
%set/v v00E83D98_0, 8, 1;
%jmp T_6.5;
T_6.3 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_6.10, 4;
%load/x1p 8, v01013B88_0, 1;
%load/x1p 8, v00E83B88_0, 1;
%jmp T_6.11;
T_6.10 ;
%mov 8, 2, 1;
1297,7 → 1297,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_6.12, 4;
%load/x1p 9, v01013B88_0, 1;
%load/x1p 9, v00E83B88_0, 1;
%jmp T_6.13;
T_6.12 ;
%mov 9, 2, 1;
1304,26 → 1304,26
T_6.13 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v01013D98_0, 8, 1;
%set/v v00E83D98_0, 8, 1;
%jmp T_6.5;
T_6.4 ;
%load/v 8, v01013D40_0, 1;
%set/v v01013D98_0, 8, 1;
%load/v 8, v00E83D40_0, 1;
%set/v v00E83D98_0, 8, 1;
%jmp T_6.5;
T_6.5 ;
%jmp T_6;
.thread T_6, $push;
.scope S_00AEA880;
.scope S_0084A880;
T_7 ;
%wait E_00B06AE0;
%set/v v00CA9F08_0, 0, 8;
%load/v 8, v00CA9988_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CA9CF8_0, 1;
%set/v v00CA9CA0_0, 8, 1;
%load/v 8, v00CAA118_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00CA9EB0_0, 5;
%wait E_00866AE0;
%set/v v00A09F08_0, 0, 8;
%load/v 8, v00A09988_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A09CF8_0, 1;
%set/v v00A09CA0_0, 8, 1;
%load/v 8, v00A0A118_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%load/v 8, v00A09EB0_0, 5;
%cmpi/u 8, 1, 5;
%jmp/1 T_7.0, 6;
%cmpi/u 8, 8, 5;
1369,7 → 1369,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_7.24, 4;
%load/x1p 8, v00CA9720_0, 1;
%load/x1p 8, v00A09720_0, 1;
%jmp T_7.25;
T_7.24 ;
%mov 8, 2, 1;
1386,158 → 1386,158
T_7.22 ;
%mov 9, 0, 8; Return false value
T_7.23 ;
%set/v v00CA9F08_0, 9, 8;
%set/v v00A09F08_0, 9, 8;
%jmp T_7.20;
T_7.1 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9778_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00CA97D0_0, 1;
%set/v v00CA9CA0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09778_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%load/v 8, v00A097D0_0, 1;
%set/v v00A09CA0_0, 8, 1;
%jmp T_7.20;
T_7.2 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9778_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00CA97D0_0, 1;
%set/v v00CA9CA0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09778_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%load/v 8, v00A097D0_0, 1;
%set/v v00A09CA0_0, 8, 1;
%jmp T_7.20;
T_7.3 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9778_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00CA97D0_0, 1;
%set/v v00CA9CA0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09778_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%load/v 8, v00A097D0_0, 1;
%set/v v00A09CA0_0, 8, 1;
%jmp T_7.20;
T_7.4 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9778_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00CA97D0_0, 1;
%set/v v00CA9CA0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09778_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%load/v 8, v00A097D0_0, 1;
%set/v v00A09CA0_0, 8, 1;
%jmp T_7.20;
T_7.5 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.6 ;
%load/v 8, v00CA9828_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9880_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A09828_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09880_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.7 ;
%load/v 8, v00CA9B40_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9B40_0, 8;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA170_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A09B40_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09B40_0, 8;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A170_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.8 ;
%load/v 8, v00CA9E00_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9AE8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA1C8_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A09E00_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09AE8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A1C8_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.9 ;
%load/v 8, v00CAA010_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9FB8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA068_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A0A010_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09FB8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A068_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.10 ;
%load/v 8, v00CAA010_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9FB8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA068_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A0A010_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09FB8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A068_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.11 ;
%load/v 8, v00CAA010_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9FB8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA068_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A0A010_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09FB8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A068_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.12 ;
%load/v 8, v00CAA010_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9FB8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA068_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A0A010_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09FB8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A068_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.13 ;
%load/v 8, v00CAA010_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9FB8_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00CAA068_0, 1;
%set/v v00CAA0C0_0, 8, 1;
%load/v 8, v00A0A010_0, 8;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09FB8_0, 1;
%set/v v00A09930_0, 8, 1;
%load/v 8, v00A0A068_0, 1;
%set/v v00A0A0C0_0, 8, 1;
%jmp T_7.20;
T_7.14 ;
%load/v 8, v00CA9D50_0, 8;
%set/v v00CA9F08_0, 8, 8;
%set/v v00CAA0C0_0, 0, 1;
%load/v 8, v00A09D50_0, 8;
%set/v v00A09F08_0, 8, 8;
%set/v v00A0A0C0_0, 0, 1;
%jmp T_7.20;
T_7.15 ;
%load/v 8, v00CA9D50_0, 8;
%set/v v00CA9F08_0, 8, 8;
%set/v v00CAA0C0_0, 0, 1;
%load/v 8, v00A09D50_0, 8;
%set/v v00A09F08_0, 8, 8;
%set/v v00A0A0C0_0, 0, 1;
%jmp T_7.20;
T_7.16 ;
%load/v 8, v00CA9D50_0, 8;
%set/v v00CA9F08_0, 8, 8;
%set/v v00CAA0C0_0, 0, 1;
%load/v 8, v00A09D50_0, 8;
%set/v v00A09F08_0, 8, 8;
%set/v v00A0A0C0_0, 0, 1;
%jmp T_7.20;
T_7.17 ;
%load/v 8, v00CA9D50_0, 8;
%set/v v00CA9F08_0, 8, 8;
%set/v v00CAA0C0_0, 0, 1;
%load/v 8, v00A09D50_0, 8;
%set/v v00A09F08_0, 8, 8;
%set/v v00A0A0C0_0, 0, 1;
%jmp T_7.20;
T_7.18 ;
%load/v 8, v00CA9C48_0, 4; Select 4 out of 8 bits
%load/v 12, v00CA9BF0_0, 4;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00CA9A38_0, 1;
%set/v v00CA9930_0, 8, 1;
%load/v 8, v00A09C48_0, 4; Select 4 out of 8 bits
%load/v 12, v00A09BF0_0, 4;
%set/v v00A09F08_0, 8, 8;
%load/v 8, v00A09A38_0, 1;
%set/v v00A09930_0, 8, 1;
%jmp T_7.20;
T_7.19 ;
%load/v 8, v00CA9720_0, 8;
%set/v v00CA9F08_0, 8, 8;
%load/v 8, v00A09720_0, 8;
%set/v v00A09F08_0, 8, 8;
%jmp T_7.20;
T_7.20 ;
%jmp T_7;
.thread T_7, $push;
.scope S_00AEA880;
.scope S_0084A880;
T_8 ;
%wait E_00AC32D0;
%load/v 8, v00CA9F08_0, 8;
%set/v v00CA9F60_0, 8, 8;
%load/v 8, v00CA9930_0, 1;
%load/v 9, v00CAA0C0_0, 1;
%load/v 16, v00CA9F08_0, 8;
%wait E_008232D0;
%load/v 8, v00A09F08_0, 8;
%set/v v00A09F60_0, 8, 8;
%load/v 8, v00A09930_0, 1;
%load/v 9, v00A0A0C0_0, 1;
%load/v 16, v00A09F08_0, 8;
%cmpi/u 16, 0, 8;
%mov 16, 4, 1;
%mov 10, 16, 1;
1544,7 → 1544,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_8.0, 4;
%load/x1p 16, v00CA9F08_0, 1;
%load/x1p 16, v00A09F08_0, 1;
%jmp T_8.1;
T_8.0 ;
%mov 16, 2, 1;
1553,33 → 1553,33
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_8.2, 4;
%load/x1p 16, v010143C8_0, 1;
%load/x1p 16, v00E843C8_0, 1;
%jmp T_8.3;
T_8.2 ;
%mov 16, 2, 1;
T_8.3 ;
%mov 12, 16, 1; Move signal select into place
%load/v 13, v00CA9CA0_0, 1;
%load/v 13, v00A09CA0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_8.4, 4;
%load/x1p 16, v010143C8_0, 2;
%load/x1p 16, v00E843C8_0, 2;
%jmp T_8.5;
T_8.4 ;
%mov 16, 2, 2;
T_8.5 ;
%mov 14, 16, 2; Move signal select into place
%set/v v01014420_0, 8, 8;
%set/v v00E84420_0, 8, 8;
%jmp T_8;
.thread T_8, $push;
.scope S_00AEA880;
.scope S_0084A880;
T_9 ;
%end;
.thread T_9;
.scope S_00AEA908;
.scope S_0084A908;
T_10 ;
%wait E_00B06D00;
%load/v 8, v01013160_0, 2;
%wait E_00866D00;
%load/v 8, v00E83160_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_10.0, 6;
%cmpi/u 8, 1, 2;
1590,58 → 1590,58
%jmp/1 T_10.3, 6;
%jmp T_10.4;
T_10.0 ;
%load/v 8, v01013000_0, 16;
%load/v 8, v00E83000_0, 16;
%mov 24, 0, 1;
%load/v 25, v01013058_0, 16;
%load/v 25, v00E83058_0, 16;
%mov 41, 0, 1;
%add 8, 25, 17;
%set/v v01013210_0, 8, 16;
%set/v v01013108_0, 24, 1;
%set/v v00E83210_0, 8, 16;
%set/v v00E83108_0, 24, 1;
%jmp T_10.4;
T_10.1 ;
%load/v 8, v01013000_0, 16;
%load/v 8, v00E83000_0, 16;
%mov 24, 0, 1;
%load/v 25, v01013058_0, 16;
%load/v 25, v00E83058_0, 16;
%mov 41, 0, 1;
%sub 8, 25, 17;
%set/v v01013210_0, 8, 16;
%set/v v01013108_0, 24, 1;
%set/v v00E83210_0, 8, 16;
%set/v v00E83108_0, 24, 1;
%jmp T_10.4;
T_10.2 ;
%load/v 8, v01013000_0, 16;
%load/v 8, v00E83000_0, 16;
%mov 24, 0, 1;
%load/v 25, v01013058_0, 16;
%load/v 25, v00E83058_0, 16;
%mov 41, 0, 1;
%add 8, 25, 17;
%load/v 42, v010130B0_0, 1;
%load/v 42, v00E830B0_0, 1;
%mov 43, 0, 8;
%mov 25, 42, 9;
%mov 34, 0, 8;
%add 8, 25, 17;
%set/v v01013210_0, 8, 16;
%set/v v01013108_0, 24, 1;
%set/v v00E83210_0, 8, 16;
%set/v v00E83108_0, 24, 1;
%jmp T_10.4;
T_10.3 ;
%load/v 8, v01013000_0, 16;
%load/v 8, v00E83000_0, 16;
%mov 24, 0, 1;
%load/v 25, v01013058_0, 16;
%load/v 25, v00E83058_0, 16;
%mov 41, 0, 1;
%sub 8, 25, 17;
%load/v 42, v010130B0_0, 1;
%load/v 42, v00E830B0_0, 1;
%mov 43, 0, 8;
%mov 25, 42, 9;
%mov 34, 0, 8;
%sub 8, 25, 17;
%set/v v01013210_0, 8, 16;
%set/v v01013108_0, 24, 1;
%set/v v00E83210_0, 8, 16;
%set/v v00E83108_0, 24, 1;
%jmp T_10.4;
T_10.4 ;
%jmp T_10;
.thread T_10, $push;
.scope S_00AEA908;
.scope S_0084A908;
T_11 ;
%wait E_00B06CE0;
%load/v 8, v01013160_0, 2;
%wait E_00866CE0;
%load/v 8, v00E83160_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_11.0, 6;
%cmpi/u 8, 2, 2;
1655,7 → 1655,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.5, 4;
%load/x1p 8, v01013000_0, 1;
%load/x1p 8, v00E83000_0, 1;
%jmp T_11.6;
T_11.5 ;
%mov 8, 2, 1;
1664,7 → 1664,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.7, 4;
%load/x1p 9, v01013058_0, 1;
%load/x1p 9, v00E83058_0, 1;
%jmp T_11.8;
T_11.7 ;
%mov 9, 2, 1;
1674,7 → 1674,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.9, 4;
%load/x1p 9, v01013210_0, 1;
%load/x1p 9, v00E83210_0, 1;
%jmp T_11.10;
T_11.9 ;
%mov 9, 2, 1;
1685,7 → 1685,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.11, 4;
%load/x1p 9, v01013000_0, 1;
%load/x1p 9, v00E83000_0, 1;
%jmp T_11.12;
T_11.11 ;
%mov 9, 2, 1;
1695,7 → 1695,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.13, 4;
%load/x1p 10, v01013058_0, 1;
%load/x1p 10, v00E83058_0, 1;
%jmp T_11.14;
T_11.13 ;
%mov 10, 2, 1;
1706,7 → 1706,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.15, 4;
%load/x1p 10, v01013210_0, 1;
%load/x1p 10, v00E83210_0, 1;
%jmp T_11.16;
T_11.15 ;
%mov 10, 2, 1;
1714,13 → 1714,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010131B8_0, 8, 1;
%set/v v00E831B8_0, 8, 1;
%jmp T_11.4;
T_11.1 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.17, 4;
%load/x1p 8, v01013000_0, 1;
%load/x1p 8, v00E83000_0, 1;
%jmp T_11.18;
T_11.17 ;
%mov 8, 2, 1;
1729,7 → 1729,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.19, 4;
%load/x1p 9, v01013058_0, 1;
%load/x1p 9, v00E83058_0, 1;
%jmp T_11.20;
T_11.19 ;
%mov 9, 2, 1;
1739,7 → 1739,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.21, 4;
%load/x1p 9, v01013210_0, 1;
%load/x1p 9, v00E83210_0, 1;
%jmp T_11.22;
T_11.21 ;
%mov 9, 2, 1;
1750,7 → 1750,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.23, 4;
%load/x1p 9, v01013000_0, 1;
%load/x1p 9, v00E83000_0, 1;
%jmp T_11.24;
T_11.23 ;
%mov 9, 2, 1;
1760,7 → 1760,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.25, 4;
%load/x1p 10, v01013058_0, 1;
%load/x1p 10, v00E83058_0, 1;
%jmp T_11.26;
T_11.25 ;
%mov 10, 2, 1;
1771,7 → 1771,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.27, 4;
%load/x1p 10, v01013210_0, 1;
%load/x1p 10, v00E83210_0, 1;
%jmp T_11.28;
T_11.27 ;
%mov 10, 2, 1;
1779,13 → 1779,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010131B8_0, 8, 1;
%set/v v00E831B8_0, 8, 1;
%jmp T_11.4;
T_11.2 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.29, 4;
%load/x1p 8, v01013000_0, 1;
%load/x1p 8, v00E83000_0, 1;
%jmp T_11.30;
T_11.29 ;
%mov 8, 2, 1;
1794,7 → 1794,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.31, 4;
%load/x1p 9, v01013058_0, 1;
%load/x1p 9, v00E83058_0, 1;
%jmp T_11.32;
T_11.31 ;
%mov 9, 2, 1;
1805,7 → 1805,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.33, 4;
%load/x1p 9, v01013210_0, 1;
%load/x1p 9, v00E83210_0, 1;
%jmp T_11.34;
T_11.33 ;
%mov 9, 2, 1;
1816,7 → 1816,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.35, 4;
%load/x1p 9, v01013000_0, 1;
%load/x1p 9, v00E83000_0, 1;
%jmp T_11.36;
T_11.35 ;
%mov 9, 2, 1;
1826,7 → 1826,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.37, 4;
%load/x1p 10, v01013058_0, 1;
%load/x1p 10, v00E83058_0, 1;
%jmp T_11.38;
T_11.37 ;
%mov 10, 2, 1;
1836,7 → 1836,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.39, 4;
%load/x1p 10, v01013210_0, 1;
%load/x1p 10, v00E83210_0, 1;
%jmp T_11.40;
T_11.39 ;
%mov 10, 2, 1;
1844,13 → 1844,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010131B8_0, 8, 1;
%set/v v00E831B8_0, 8, 1;
%jmp T_11.4;
T_11.3 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.41, 4;
%load/x1p 8, v01013000_0, 1;
%load/x1p 8, v00E83000_0, 1;
%jmp T_11.42;
T_11.41 ;
%mov 8, 2, 1;
1859,7 → 1859,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.43, 4;
%load/x1p 9, v01013058_0, 1;
%load/x1p 9, v00E83058_0, 1;
%jmp T_11.44;
T_11.43 ;
%mov 9, 2, 1;
1870,7 → 1870,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.45, 4;
%load/x1p 9, v01013210_0, 1;
%load/x1p 9, v00E83210_0, 1;
%jmp T_11.46;
T_11.45 ;
%mov 9, 2, 1;
1881,7 → 1881,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.47, 4;
%load/x1p 9, v01013000_0, 1;
%load/x1p 9, v00E83000_0, 1;
%jmp T_11.48;
T_11.47 ;
%mov 9, 2, 1;
1891,7 → 1891,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.49, 4;
%load/x1p 10, v01013058_0, 1;
%load/x1p 10, v00E83058_0, 1;
%jmp T_11.50;
T_11.49 ;
%mov 10, 2, 1;
1901,7 → 1901,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.51, 4;
%load/x1p 10, v01013210_0, 1;
%load/x1p 10, v00E83210_0, 1;
%jmp T_11.52;
T_11.51 ;
%mov 10, 2, 1;
1909,20 → 1909,20
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v010131B8_0, 8, 1;
%set/v v00E831B8_0, 8, 1;
%jmp T_11.4;
T_11.4 ;
%jmp T_11;
.thread T_11, $push;
.scope S_00AEA990;
.scope S_0084A990;
T_12 ;
%wait E_00AC3210;
%set/v v010136E0_0, 0, 16;
%load/v 8, v01013528_0, 1;
%set/v v010134D0_0, 8, 1;
%load/v 8, v01013920_0, 1;
%set/v v01013978_0, 8, 1;
%load/v 8, v01013688_0, 5;
%wait E_00823210;
%set/v v00E836E0_0, 0, 16;
%load/v 8, v00E83528_0, 1;
%set/v v00E834D0_0, 8, 1;
%load/v 8, v00E83920_0, 1;
%set/v v00E83978_0, 8, 1;
%load/v 8, v00E83688_0, 5;
%cmpi/u 8, 8, 5;
%jmp/1 T_12.0, 6;
%cmpi/u 8, 10, 5;
1943,66 → 1943,66
%jmp/1 T_12.8, 6;
%jmp T_12.9;
T_12.0 ;
%load/v 8, v010133C8_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v01013370_0, 1;
%set/v v010134D0_0, 8, 1;
%load/v 8, v01013420_0, 1;
%set/v v01013978_0, 8, 1;
%load/v 8, v00E833C8_0, 16;
%set/v v00E836E0_0, 8, 16;
%load/v 8, v00E83370_0, 1;
%set/v v00E834D0_0, 8, 1;
%load/v 8, v00E83420_0, 1;
%set/v v00E83978_0, 8, 1;
%jmp T_12.9;
T_12.1 ;
%load/v 8, v010133C8_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v01013370_0, 1;
%set/v v010134D0_0, 8, 1;
%load/v 8, v01013420_0, 1;
%set/v v01013978_0, 8, 1;
%load/v 8, v00E833C8_0, 16;
%set/v v00E836E0_0, 8, 16;
%load/v 8, v00E83370_0, 1;
%set/v v00E834D0_0, 8, 1;
%load/v 8, v00E83420_0, 1;
%set/v v00E83978_0, 8, 1;
%jmp T_12.9;
T_12.2 ;
%load/v 8, v010133C8_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v01013370_0, 1;
%set/v v010134D0_0, 8, 1;
%load/v 8, v01013420_0, 1;
%set/v v01013978_0, 8, 1;
%load/v 8, v00E833C8_0, 16;
%set/v v00E836E0_0, 8, 16;
%load/v 8, v00E83370_0, 1;
%set/v v00E834D0_0, 8, 1;
%load/v 8, v00E83420_0, 1;
%set/v v00E83978_0, 8, 1;
%jmp T_12.9;
T_12.3 ;
%load/v 8, v010133C8_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v01013370_0, 1;
%set/v v010134D0_0, 8, 1;
%load/v 8, v01013420_0, 1;
%set/v v01013978_0, 8, 1;
%load/v 8, v00E833C8_0, 16;
%set/v v00E836E0_0, 8, 16;
%load/v 8, v00E83370_0, 1;
%set/v v00E834D0_0, 8, 1;
%load/v 8, v00E83420_0, 1;
%set/v v00E83978_0, 8, 1;
%jmp T_12.9;
T_12.4 ;
%load/v 8, v01013738_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v00E83738_0, 16;
%set/v v00E836E0_0, 8, 16;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_12.10, 4;
%load/x1p 8, v01013738_0, 1;
%load/x1p 8, v00E83738_0, 1;
%jmp T_12.11;
T_12.10 ;
%mov 8, 2, 1;
T_12.11 ;
; Save base=8 wid=1 in lookaside.
%set/v v010134D0_0, 8, 1;
%set/v v00E834D0_0, 8, 1;
%jmp T_12.9;
T_12.5 ;
%set/v v01013978_0, 0, 1;
%load/v 8, v01013478_0, 16;
%set/v v010136E0_0, 8, 16;
%set/v v00E83978_0, 0, 1;
%load/v 8, v00E83478_0, 16;
%set/v v00E836E0_0, 8, 16;
%jmp T_12.9;
T_12.6 ;
%load/v 8, v01013318_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v00E83318_0, 16;
%set/v v00E836E0_0, 8, 16;
%jmp T_12.9;
T_12.7 ;
%load/v 8, v01013478_0, 8; Select 8 out of 16 bits
%load/v 8, v00E83478_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_12.15, 4;
%load/x1p 24, v01013478_0, 1;
%load/x1p 24, v00E83478_0, 1;
%jmp T_12.16;
T_12.15 ;
%mov 24, 2, 1;
2020,47 → 2020,47
%mov 25, 0, 8; Return false value
T_12.14 ;
%mov 16, 25, 8;
%set/v v010136E0_0, 8, 16;
%set/v v00E836E0_0, 8, 16;
%jmp T_12.9;
T_12.8 ;
%load/v 8, v01013318_0, 16;
%set/v v010136E0_0, 8, 16;
%load/v 8, v00E83318_0, 16;
%set/v v00E836E0_0, 8, 16;
%jmp T_12.9;
T_12.9 ;
%jmp T_12;
.thread T_12, $push;
.scope S_00AEA990;
.scope S_0084A990;
T_13 ;
%wait E_00AC1830;
%load/v 8, v010136E0_0, 16;
%wait E_00821830;
%load/v 8, v00E836E0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01013898_0, 0, 8;
%load/v 8, v01013630_0, 1;
%assign/v0 v00E83898_0, 0, 8;
%load/v 8, v00E83630_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v010137E8_0, 0, 8;
%load/v 8, v01013A28_0, 1;
%assign/v0 v00E837E8_0, 0, 8;
%load/v 8, v00E83A28_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v01013840_0, 0, 8;
%assign/v0 v00E83840_0, 0, 8;
%jmp T_13;
.thread T_13;
.scope S_00AEA990;
.scope S_0084A990;
T_14 ;
%wait E_00AC31F0;
%wait E_008231F0;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_14.0, 4;
%load/x1p 8, v010136E0_0, 1;
%load/x1p 8, v00E836E0_0, 1;
%jmp T_14.1;
T_14.0 ;
%mov 8, 2, 1;
T_14.1 ;
; Save base=8 wid=1 in lookaside.
%set/v v010135D8_0, 8, 1;
%load/v 8, v010136E0_0, 16;
%set/v v00E835D8_0, 8, 1;
%load/v 8, v00E836E0_0, 16;
%cmpi/u 8, 0, 16;
%mov 8, 4, 1;
%set/v v010139D0_0, 8, 1;
%load/v 8, v01013688_0, 5;
%set/v v00E839D0_0, 8, 1;
%load/v 8, v00E83688_0, 5;
%cmpi/u 8, 8, 5;
%jmp/1 T_14.2, 6;
%cmpi/u 8, 10, 5;
2129,8 → 2129,8
T_14.15 ;
%jmp T_14.21;
T_14.16 ;
%load/v 8, v010137E8_0, 1;
%set/v v010135D8_0, 8, 1;
%load/v 8, v00E837E8_0, 1;
%set/v v00E835D8_0, 8, 1;
%jmp T_14.21;
T_14.17 ;
%jmp T_14.21;
2137,79 → 2137,79
T_14.18 ;
%jmp T_14.21;
T_14.19 ;
%load/v 8, v010137E8_0, 1;
%set/v v010135D8_0, 8, 1;
%load/v 8, v01013840_0, 1;
%set/v v010139D0_0, 8, 1;
%load/v 8, v00E837E8_0, 1;
%set/v v00E835D8_0, 8, 1;
%load/v 8, v00E83840_0, 1;
%set/v v00E839D0_0, 8, 1;
%jmp T_14.21;
T_14.20 ;
%load/v 8, v010137E8_0, 1;
%set/v v010135D8_0, 8, 1;
%load/v 8, v00E837E8_0, 1;
%set/v v00E835D8_0, 8, 1;
%jmp T_14.21;
T_14.21 ;
%jmp T_14;
.thread T_14, $push;
.scope S_00AEA990;
.scope S_0084A990;
T_15 ;
%wait E_00AC35F0;
%load/v 8, v010136E0_0, 16;
%set/v v01013790_0, 8, 16;
%load/v 8, v010134D0_0, 1;
%load/v 9, v01013978_0, 1;
%load/v 10, v010139D0_0, 1;
%load/v 11, v010135D8_0, 1;
%set/v v010132C0_0, 8, 4;
%wait E_008235F0;
%load/v 8, v00E836E0_0, 16;
%set/v v00E83790_0, 8, 16;
%load/v 8, v00E834D0_0, 1;
%load/v 9, v00E83978_0, 1;
%load/v 10, v00E839D0_0, 1;
%load/v 11, v00E835D8_0, 1;
%set/v v00E832C0_0, 8, 4;
%jmp T_15;
.thread T_15, $push;
.scope S_00AEAA18;
.scope S_0084AA18;
T_16 ;
%wait E_00AC1830;
%load/v 8, v00CAA538_0, 16;
%wait E_00821830;
%load/v 8, v00A0A538_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CAA8D8_0, 0, 8;
%load/v 8, v00CAA5C0_0, 16;
%assign/v0 v00A0A8D8_0, 0, 8;
%load/v 8, v00A0A5C0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CAA930_0, 0, 8;
%load/v 8, v00CAA720_0, 5;
%assign/v0 v00A0A930_0, 0, 8;
%load/v 8, v00A0A720_0, 5;
%ix/load 0, 5, 0;
%assign/v0 v00CAA988_0, 0, 8;
%assign/v0 v00A0A988_0, 0, 8;
%jmp T_16;
.thread T_16;
.scope S_00AEAA18;
.scope S_0084AA18;
T_17 ;
%wait E_00AC3650;
%load/v 8, v00CAA9E0_0, 1;
%wait E_00823650;
%load/v 8, v00A0A9E0_0, 1;
%jmp/0xz T_17.0, 8;
%load/v 8, v00CAA7D0_0, 16;
%set/v v00CAA880_0, 8, 16;
%load/v 8, v00CAA618_0, 4;
%load/v 8, v00A0A7D0_0, 16;
%set/v v00A0A880_0, 8, 16;
%load/v 8, v00A0A618_0, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_17.2, 4;
%load/x1p 16, v00CAA488_0, 4;
%load/x1p 16, v00A0A488_0, 4;
%jmp T_17.3;
T_17.2 ;
%mov 16, 2, 4;
T_17.3 ;
%mov 12, 16, 4; Move signal select into place
%set/v v00CAA4E0_0, 8, 8;
%set/v v00A0A4E0_0, 8, 8;
%jmp T_17.1;
T_17.0 ;
%load/v 8, v00CAA828_0, 8;
%load/v 8, v00A0A828_0, 8;
%mov 16, 0, 8;
%set/v v00CAA880_0, 8, 16;
%load/v 8, v00CAA670_0, 8;
%set/v v00CAA4E0_0, 8, 8;
%set/v v00A0A880_0, 8, 16;
%load/v 8, v00A0A670_0, 8;
%set/v v00A0A4E0_0, 8, 8;
T_17.1 ;
%jmp T_17;
.thread T_17, $push;
.scope S_00AEAAA0;
.scope S_0084AAA0;
T_18 ;
%wait E_00AC1EF0;
%wait E_00821EF0;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_18.0, 4;
%load/x1p 8, v01011A80_0, 2;
%load/x1p 8, v00E81A80_0, 2;
%jmp T_18.1;
T_18.0 ;
%mov 8, 2, 2;
2225,28 → 2225,28
%jmp/1 T_18.5, 6;
%jmp T_18.6;
T_18.2 ;
%load/v 8, v01011AD8_0, 16;
%set/v v01011898_0, 8, 16;
%load/v 8, v00E81AD8_0, 16;
%set/v v00E81898_0, 8, 16;
%jmp T_18.6;
T_18.3 ;
%load/v 8, v01011B30_0, 16;
%set/v v01011898_0, 8, 16;
%load/v 8, v00E81B30_0, 16;
%set/v v00E81898_0, 8, 16;
%jmp T_18.6;
T_18.4 ;
%load/v 8, v01011C90_0, 16;
%set/v v01011898_0, 8, 16;
%load/v 8, v00E81C90_0, 16;
%set/v v00E81898_0, 8, 16;
%jmp T_18.6;
T_18.5 ;
%load/v 8, v01011C38_0, 16;
%set/v v01011898_0, 8, 16;
%load/v 8, v00E81C38_0, 16;
%set/v v00E81898_0, 8, 16;
%jmp T_18.6;
T_18.6 ;
%jmp T_18;
.thread T_18, $push;
.scope S_00AEAAA0;
.scope S_0084AAA0;
T_19 ;
%wait E_00AC1F70;
%load/v 8, v01011A80_0, 2; Only need 2 of 8 bits
%wait E_00821F70;
%load/v 8, v00E81A80_0, 2; Only need 2 of 8 bits
; Save base=8 wid=2 in lookaside.
%cmpi/u 8, 0, 2;
%jmp/1 T_19.0, 6;
2259,33 → 2259,33
%jmp T_19.4;
T_19.0 ;
%ix/load 0, 1, 0;
%load/vp0 8, v01011898_0, 16;
%set/v v01011920_0, 8, 16;
%load/vp0 8, v00E81898_0, 16;
%set/v v00E81920_0, 8, 16;
%jmp T_19.4;
T_19.1 ;
%ix/load 0, 2, 0;
%load/vp0 8, v01011898_0, 16;
%set/v v01011920_0, 8, 16;
%load/vp0 8, v00E81898_0, 16;
%set/v v00E81920_0, 8, 16;
%jmp T_19.4;
T_19.2 ;
%load/v 8, v01011898_0, 16;
%load/v 8, v00E81898_0, 16;
%subi 8, 1, 16;
%set/v v01011920_0, 8, 16;
%set/v v00E81920_0, 8, 16;
%jmp T_19.4;
T_19.3 ;
%load/v 8, v01011898_0, 16;
%load/v 8, v00E81898_0, 16;
%subi 8, 2, 16;
%set/v v01011920_0, 8, 16;
%set/v v00E81920_0, 8, 16;
%jmp T_19.4;
T_19.4 ;
%jmp T_19;
.thread T_19, $push;
.scope S_00AEAAA0;
.scope S_0084AAA0;
T_20 ;
%wait E_00AC2070;
%wait E_00822070;
%movi 8, 65261, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v01011A80_0, 8;
%set/v v00E819D0_0, 8, 16;
%load/v 8, v00E81A80_0, 8;
%mov 16, 2, 4;
%movi 20, 0, 1;
%mov 21, 2, 2;
2360,46 → 2360,46
%jmp/1 T_20.13, 4;
%jmp T_20.14;
T_20.0 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011A80_0, 4; Select 4 out of 8 bits
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81A80_0, 4; Select 4 out of 8 bits
%mov 28, 0, 12;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.1 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011A80_0, 4; Select 4 out of 8 bits
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81A80_0, 4; Select 4 out of 8 bits
%mov 28, 1, 12;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.2 ;
%load/v 8, v01011898_0, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v00E81898_0, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.3 ;
%load/v 8, v01011898_0, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v00E81898_0, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.4 ;
%load/v 8, v01011898_0, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v00E81898_0, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.5 ;
%load/v 8, v01011920_0, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v00E81920_0, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.6 ;
%load/v 8, v01011920_0, 16;
%set/v v010119D0_0, 8, 16;
%load/v 8, v00E81920_0, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.7 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011840_0, 8;
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81840_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.15, 4;
%load/x1p 48, v01011840_0, 1;
%load/x1p 48, v00E81840_0, 1;
%jmp T_20.16;
T_20.15 ;
%mov 48, 2, 1;
2414,15 → 2414,15
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.8 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v010117E8_0, 8;
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E817E8_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.17, 4;
%load/x1p 48, v010117E8_0, 1;
%load/x1p 48, v00E817E8_0, 1;
%jmp T_20.18;
T_20.17 ;
%mov 48, 2, 1;
2437,22 → 2437,22
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.9 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011840_0, 8;
%load/v 32, v010117E8_0, 8;
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81840_0, 8;
%load/v 32, v00E817E8_0, 8;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.10 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011B88_0, 8; Select 8 out of 16 bits
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81B88_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.22, 4;
%load/x1p 40, v01011B88_0, 1;
%load/x1p 40, v00E81B88_0, 1;
%jmp T_20.23;
T_20.22 ;
%mov 40, 2, 1;
2471,21 → 2471,21
T_20.21 ;
%mov 32, 41, 8;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.11 ;
%load/v 8, v01011898_0, 16;
%load/v 24, v01011B88_0, 16;
%load/v 8, v00E81898_0, 16;
%load/v 24, v00E81B88_0, 16;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.12 ;
%load/v 8, v01011BE0_0, 16;
%load/v 24, v01011B88_0, 8; Select 8 out of 16 bits
%load/v 8, v00E81BE0_0, 16;
%load/v 24, v00E81B88_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.27, 4;
%load/x1p 40, v01011B88_0, 1;
%load/x1p 40, v00E81B88_0, 1;
%jmp T_20.28;
T_20.27 ;
%mov 40, 2, 1;
2504,21 → 2504,21
T_20.26 ;
%mov 32, 41, 8;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.13 ;
%load/v 8, v01011BE0_0, 16;
%load/v 24, v01011B88_0, 16;
%load/v 8, v00E81BE0_0, 16;
%load/v 24, v00E81B88_0, 16;
%add 8, 24, 16;
%set/v v010119D0_0, 8, 16;
%set/v v00E819D0_0, 8, 16;
%jmp T_20.14;
T_20.14 ;
%jmp T_20;
.thread T_20, $push;
.scope S_00AEA330;
.scope S_0084A330;
T_21 ;
%wait E_00AC1F50;
%load/v 8, v01012898_0, 4;
%wait E_00821F50;
%load/v 8, v00E82898_0, 4;
%cmpi/u 8, 8, 4;
%jmp/1 T_21.0, 6;
%cmpi/u 8, 9, 4;
2539,76 → 2539,76
%jmp/1 T_21.8, 6;
%cmpi/u 8, 10, 4;
%jmp/1 T_21.9, 6;
%set/v v01012920_0, 1, 16;
%set/v v00E82920_0, 1, 16;
%jmp T_21.11;
T_21.0 ;
%load/v 8, v01011CE8_0, 8;
%load/v 8, v00E81CE8_0, 8;
%mov 16, 1, 8;
%set/v v01012920_0, 8, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.1 ;
%load/v 8, v01011D40_0, 8;
%load/v 8, v00E81D40_0, 8;
%mov 16, 1, 8;
%set/v v01012920_0, 8, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.2 ;
%load/v 8, v01011D40_0, 8;
%load/v 16, v01011CE8_0, 8;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81D40_0, 8;
%load/v 16, v00E81CE8_0, 8;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.3 ;
%load/v 8, v01011EA0_0, 16;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81EA0_0, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.4 ;
%load/v 8, v01011EF8_0, 16;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81EF8_0, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.5 ;
%load/v 8, v01012000_0, 16;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E82000_0, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.6 ;
%load/v 8, v01011FA8_0, 16;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81FA8_0, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.7 ;
%load/v 8, v01011F50_0, 16;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81F50_0, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.8 ;
%load/v 8, v01011E48_0, 8;
%load/v 16, v01011E48_0, 8;
%set/v v01012920_0, 8, 16;
%load/v 8, v00E81E48_0, 8;
%load/v 16, v00E81E48_0, 8;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.9 ;
%load/v 24, v010121B8_0, 1;
%load/v 25, v01012CE8_0, 1;
%load/v 26, v01012FA8_0, 1;
%load/v 27, v010127E8_0, 1;
%load/v 28, v010126E0_0, 1;
%load/v 29, v010125D8_0, 1;
%load/v 30, v01012580_0, 1;
%load/v 31, v010124D0_0, 1;
%load/v 24, v00E821B8_0, 1;
%load/v 25, v00E82CE8_0, 1;
%load/v 26, v00E82FA8_0, 1;
%load/v 27, v00E827E8_0, 1;
%load/v 28, v00E826E0_0, 1;
%load/v 29, v00E825D8_0, 1;
%load/v 30, v00E82580_0, 1;
%load/v 31, v00E824D0_0, 1;
%mov 8, 24, 8;
%load/v 24, v010121B8_0, 1;
%load/v 25, v01012CE8_0, 1;
%load/v 26, v01012FA8_0, 1;
%load/v 27, v010127E8_0, 1;
%load/v 28, v010126E0_0, 1;
%load/v 29, v010125D8_0, 1;
%load/v 30, v01012580_0, 1;
%load/v 31, v010124D0_0, 1;
%load/v 24, v00E821B8_0, 1;
%load/v 25, v00E82CE8_0, 1;
%load/v 26, v00E82FA8_0, 1;
%load/v 27, v00E827E8_0, 1;
%load/v 28, v00E826E0_0, 1;
%load/v 29, v00E825D8_0, 1;
%load/v 30, v00E82580_0, 1;
%load/v 31, v00E824D0_0, 1;
%mov 16, 24, 8;
%set/v v01012920_0, 8, 16;
%set/v v00E82920_0, 8, 16;
%jmp T_21.11;
T_21.11 ;
%jmp T_21;
.thread T_21, $push;
.scope S_00AEA330;
.scope S_0084A330;
T_22 ;
%wait E_00AC1810;
%load/v 8, v01012978_0, 4;
%wait E_00821810;
%load/v 8, v00E82978_0, 4;
%cmpi/u 8, 8, 4;
%jmp/1 T_22.0, 6;
%cmpi/u 8, 9, 4;
2629,80 → 2629,80
%jmp/1 T_22.8, 6;
%cmpi/u 8, 10, 4;
%jmp/1 T_22.9, 6;
%set/v v010129D0_0, 1, 16;
%set/v v00E829D0_0, 1, 16;
%jmp T_22.11;
T_22.0 ;
%load/v 8, v01011CE8_0, 8;
%load/v 8, v00E81CE8_0, 8;
%mov 16, 1, 8;
%set/v v010129D0_0, 8, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.1 ;
%load/v 8, v01011D40_0, 8;
%load/v 8, v00E81D40_0, 8;
%mov 16, 1, 8;
%set/v v010129D0_0, 8, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.2 ;
%load/v 8, v01011D40_0, 8;
%load/v 16, v01011CE8_0, 8;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81D40_0, 8;
%load/v 16, v00E81CE8_0, 8;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.3 ;
%load/v 8, v01011EA0_0, 16;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81EA0_0, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.4 ;
%load/v 8, v01011EF8_0, 16;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81EF8_0, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.5 ;
%load/v 8, v01012000_0, 16;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E82000_0, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.6 ;
%load/v 8, v01011FA8_0, 16;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81FA8_0, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.7 ;
%load/v 8, v01011F50_0, 16;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81F50_0, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.8 ;
%load/v 8, v01011E48_0, 8;
%load/v 16, v01011E48_0, 8;
%set/v v010129D0_0, 8, 16;
%load/v 8, v00E81E48_0, 8;
%load/v 16, v00E81E48_0, 8;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.9 ;
%load/v 24, v010121B8_0, 1;
%load/v 25, v01012CE8_0, 1;
%load/v 26, v01012FA8_0, 1;
%load/v 27, v010127E8_0, 1;
%load/v 28, v010126E0_0, 1;
%load/v 29, v010125D8_0, 1;
%load/v 30, v01012580_0, 1;
%load/v 31, v010124D0_0, 1;
%load/v 24, v00E821B8_0, 1;
%load/v 25, v00E82CE8_0, 1;
%load/v 26, v00E82FA8_0, 1;
%load/v 27, v00E827E8_0, 1;
%load/v 28, v00E826E0_0, 1;
%load/v 29, v00E825D8_0, 1;
%load/v 30, v00E82580_0, 1;
%load/v 31, v00E824D0_0, 1;
%mov 8, 24, 8;
%load/v 24, v010121B8_0, 1;
%load/v 25, v01012CE8_0, 1;
%load/v 26, v01012FA8_0, 1;
%load/v 27, v010127E8_0, 1;
%load/v 28, v010126E0_0, 1;
%load/v 29, v010125D8_0, 1;
%load/v 30, v01012580_0, 1;
%load/v 31, v010124D0_0, 1;
%load/v 24, v00E821B8_0, 1;
%load/v 25, v00E82CE8_0, 1;
%load/v 26, v00E82FA8_0, 1;
%load/v 27, v00E827E8_0, 1;
%load/v 28, v00E826E0_0, 1;
%load/v 29, v00E825D8_0, 1;
%load/v 30, v00E82580_0, 1;
%load/v 31, v00E824D0_0, 1;
%mov 16, 24, 8;
%set/v v010129D0_0, 8, 16;
%set/v v00E829D0_0, 8, 16;
%jmp T_22.11;
T_22.11 ;
%jmp T_22;
.thread T_22, $push;
.scope S_00AEA330;
.scope S_0084A330;
T_23 ;
%wait E_00AC1830;
%load/v 8, v01012D40_0, 1;
%load/v 9, v01012630_0, 1;
%wait E_00821830;
%load/v 8, v00E82D40_0, 1;
%load/v 9, v00E82630_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.0, 8;
%load/v 8, v01012BE0_0, 4;
%load/v 8, v00E82BE0_0, 4;
%cmpi/u 8, 0, 4;
%jmp/1 T_23.2, 6;
%cmpi/u 8, 1, 4;
2725,84 → 2725,84
%jmp/1 T_23.11, 6;
%jmp T_23.12;
T_23.2 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 8, 0;
%assign/v0 v01011D40_0, 0, 8;
%assign/v0 v00E81D40_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v01011CE8_0, 0, 16;
%assign/v0 v00E81CE8_0, 0, 16;
%jmp T_23.12;
T_23.3 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EA0_0, 0, 8;
%assign/v0 v00E81EA0_0, 0, 8;
%jmp T_23.12;
T_23.4 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EF8_0, 0, 8;
%assign/v0 v00E81EF8_0, 0, 8;
%jmp T_23.12;
T_23.5 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01012000_0, 0, 8;
%assign/v0 v00E82000_0, 0, 8;
%jmp T_23.12;
T_23.6 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011FA8_0, 0, 8;
%assign/v0 v00E81FA8_0, 0, 8;
%jmp T_23.12;
T_23.7 ;
%load/v 8, v01012B88_0, 16;
%load/v 8, v00E82B88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011F50_0, 0, 8;
%assign/v0 v00E81F50_0, 0, 8;
%jmp T_23.12;
T_23.8 ;
%load/v 8, v01012B88_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82B88_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011CE8_0, 0, 8;
%assign/v0 v00E81CE8_0, 0, 8;
%jmp T_23.12;
T_23.9 ;
%load/v 8, v01012B88_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82B88_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011D40_0, 0, 8;
%assign/v0 v00E81D40_0, 0, 8;
%jmp T_23.12;
T_23.10 ;
%load/v 8, v01012B88_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82B88_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 1, 0;
%assign/v0 v010121B8_0, 0, 8;
%assign/v0 v00E821B8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v01012CE8_0, 0, 9;
%assign/v0 v00E82CE8_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v01012FA8_0, 0, 10;
%assign/v0 v00E82FA8_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v010127E8_0, 0, 11;
%assign/v0 v00E827E8_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v010126E0_0, 0, 12;
%assign/v0 v00E826E0_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v010125D8_0, 0, 13;
%assign/v0 v00E825D8_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v01012580_0, 0, 14;
%assign/v0 v00E82580_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v010124D0_0, 0, 15;
%assign/v0 v00E824D0_0, 0, 15;
%jmp T_23.12;
T_23.11 ;
%load/v 8, v01012B88_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82B88_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011E48_0, 0, 8;
%assign/v0 v00E81E48_0, 0, 8;
%jmp T_23.12;
T_23.12 ;
T_23.0 ;
%load/v 8, v01012F50_0, 1;
%load/v 9, v01012D40_0, 1;
%load/v 8, v00E82F50_0, 1;
%load/v 9, v00E82D40_0, 1;
%or 8, 9, 1;
%load/v 9, v01012EA0_0, 1;
%load/v 9, v00E82EA0_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.13, 8;
%load/v 8, v01012EF8_0, 4;
%load/v 8, v00E82EF8_0, 4;
%cmpi/u 8, 0, 4;
%jmp/1 T_23.15, 6;
%cmpi/u 8, 1, 4;
2825,83 → 2825,83
%jmp/1 T_23.24, 6;
%jmp T_23.25;
T_23.15 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 8, 0;
%assign/v0 v01011D40_0, 0, 8;
%assign/v0 v00E81D40_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v01011CE8_0, 0, 16;
%assign/v0 v00E81CE8_0, 0, 16;
%jmp T_23.25;
T_23.16 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EA0_0, 0, 8;
%assign/v0 v00E81EA0_0, 0, 8;
%jmp T_23.25;
T_23.17 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EF8_0, 0, 8;
%assign/v0 v00E81EF8_0, 0, 8;
%jmp T_23.25;
T_23.18 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01012000_0, 0, 8;
%assign/v0 v00E82000_0, 0, 8;
%jmp T_23.25;
T_23.19 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011FA8_0, 0, 8;
%assign/v0 v00E81FA8_0, 0, 8;
%jmp T_23.25;
T_23.20 ;
%load/v 8, v01012738_0, 16;
%load/v 8, v00E82738_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011F50_0, 0, 8;
%assign/v0 v00E81F50_0, 0, 8;
%jmp T_23.25;
T_23.21 ;
%load/v 8, v01012738_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82738_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011CE8_0, 0, 8;
%assign/v0 v00E81CE8_0, 0, 8;
%jmp T_23.25;
T_23.22 ;
%load/v 8, v01012738_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82738_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011D40_0, 0, 8;
%assign/v0 v00E81D40_0, 0, 8;
%jmp T_23.25;
T_23.23 ;
%load/v 8, v01012738_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82738_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 1, 0;
%assign/v0 v010121B8_0, 0, 8;
%assign/v0 v00E821B8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v01012CE8_0, 0, 9;
%assign/v0 v00E82CE8_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v01012FA8_0, 0, 10;
%assign/v0 v00E82FA8_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v010127E8_0, 0, 11;
%assign/v0 v00E827E8_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v010126E0_0, 0, 12;
%assign/v0 v00E826E0_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v010125D8_0, 0, 13;
%assign/v0 v00E825D8_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v01012580_0, 0, 14;
%assign/v0 v00E82580_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v010124D0_0, 0, 15;
%assign/v0 v00E824D0_0, 0, 15;
%jmp T_23.25;
T_23.24 ;
%load/v 8, v01012738_0, 8; Only need 8 of 16 bits
%load/v 8, v00E82738_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v01011E48_0, 0, 8;
%assign/v0 v00E81E48_0, 0, 8;
%jmp T_23.25;
T_23.25 ;
T_23.13 ;
%load/v 8, v01012E48_0, 1;
%load/v 8, v00E82E48_0, 1;
%jmp/0xz T_23.26, 8;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_23.28, 4;
%load/x1p 8, v01012478_0, 2;
%load/x1p 8, v00E82478_0, 2;
%jmp T_23.29;
T_23.28 ;
%mov 8, 2, 2;
2917,126 → 2917,126
%jmp/1 T_23.33, 6;
%jmp T_23.34;
T_23.30 ;
%load/v 8, v010123C8_0, 16;
%load/v 8, v00E823C8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EA0_0, 0, 8;
%assign/v0 v00E81EA0_0, 0, 8;
%jmp T_23.34;
T_23.31 ;
%load/v 8, v010123C8_0, 16;
%load/v 8, v00E823C8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011EF8_0, 0, 8;
%assign/v0 v00E81EF8_0, 0, 8;
%jmp T_23.34;
T_23.32 ;
%load/v 8, v010123C8_0, 16;
%load/v 8, v00E823C8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01012000_0, 0, 8;
%assign/v0 v00E82000_0, 0, 8;
%jmp T_23.34;
T_23.33 ;
%load/v 8, v010123C8_0, 16;
%load/v 8, v00E823C8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011FA8_0, 0, 8;
%assign/v0 v00E81FA8_0, 0, 8;
%jmp T_23.34;
T_23.34 ;
T_23.26 ;
%load/v 8, v01012D98_0, 1;
%load/v 8, v00E82D98_0, 1;
%jmp/0xz T_23.35, 8;
%load/v 8, v01011D98_0, 8;
%load/v 8, v00E81D98_0, 8;
%ix/load 0, 1, 0;
%assign/v0 v010121B8_0, 0, 8;
%assign/v0 v00E821B8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v01012CE8_0, 0, 9;
%assign/v0 v00E82CE8_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v01012FA8_0, 0, 10;
%assign/v0 v00E82FA8_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v010127E8_0, 0, 11;
%assign/v0 v00E827E8_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v010126E0_0, 0, 12;
%assign/v0 v00E826E0_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v010125D8_0, 0, 13;
%assign/v0 v00E825D8_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v01012580_0, 0, 14;
%assign/v0 v00E82580_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v010124D0_0, 0, 15;
%assign/v0 v00E824D0_0, 0, 15;
T_23.35 ;
%load/v 8, v01012C38_0, 1;
%load/v 9, v01012210_0, 1;
%load/v 8, v00E82C38_0, 1;
%load/v 9, v00E82210_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.37, 8;
%load/v 8, v01012C38_0, 1;
%load/v 8, v00E82C38_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v010124D0_0, 0, 8;
%assign/v0 v00E824D0_0, 0, 8;
T_23.37 ;
%load/v 8, v01012DF0_0, 1;
%load/v 8, v00E82DF0_0, 1;
%jmp/0xz T_23.39, 8;
%load/v 8, v01012790_0, 16;
%load/v 8, v00E82790_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011F50_0, 0, 8;
%assign/v0 v00E81F50_0, 0, 8;
T_23.39 ;
%load/v 8, v01012688_0, 1;
%load/v 8, v00E82688_0, 1;
%jmp/0xz T_23.41, 8;
%load/v 8, v01012C90_0, 1;
%load/v 8, v00E82C90_0, 1;
%jmp/0xz T_23.43, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v01011FA8_0, 16;
%load/vp0 8, v00E81FA8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011FA8_0, 0, 8;
%assign/v0 v00E81FA8_0, 0, 8;
%jmp T_23.44;
T_23.43 ;
%ix/load 0, 1, 0;
%load/vp0 8, v01012000_0, 16;
%load/vp0 8, v00E82000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v01012000_0, 0, 8;
%assign/v0 v00E82000_0, 0, 8;
T_23.44 ;
T_23.41 ;
%load/v 8, v01012318_0, 1;
%load/v 8, v00E82318_0, 1;
%jmp/0xz T_23.45, 8;
%load/v 8, v01012C90_0, 1;
%load/v 8, v00E82C90_0, 1;
%jmp/0xz T_23.47, 8;
%load/v 8, v01011FA8_0, 16;
%load/v 8, v00E81FA8_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v01011FA8_0, 0, 8;
%assign/v0 v00E81FA8_0, 0, 8;
%jmp T_23.48;
T_23.47 ;
%load/v 8, v01012000_0, 16;
%load/v 8, v00E82000_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v01012000_0, 0, 8;
%assign/v0 v00E82000_0, 0, 8;
T_23.48 ;
T_23.45 ;
%jmp T_23;
.thread T_23;
.scope S_00AEA330;
.scope S_0084A330;
T_24 ;
%movi 8, 65534, 16;
%set/v v01011F50_0, 8, 16;
%set/v v01011E48_0, 0, 8;
%set/v v01011EA0_0, 0, 16;
%set/v v010121B8_0, 0, 1;
%set/v v01012CE8_0, 0, 1;
%set/v v01012FA8_0, 0, 1;
%set/v v010127E8_0, 0, 1;
%set/v v010126E0_0, 0, 1;
%set/v v010125D8_0, 0, 1;
%set/v v01012580_0, 0, 1;
%set/v v010124D0_0, 0, 1;
%set/v v00E81F50_0, 8, 16;
%set/v v00E81E48_0, 0, 8;
%set/v v00E81EA0_0, 0, 16;
%set/v v00E821B8_0, 0, 1;
%set/v v00E82CE8_0, 0, 1;
%set/v v00E82FA8_0, 0, 1;
%set/v v00E827E8_0, 0, 1;
%set/v v00E826E0_0, 0, 1;
%set/v v00E825D8_0, 0, 1;
%set/v v00E82580_0, 0, 1;
%set/v v00E824D0_0, 0, 1;
%movi 8, 42330, 16;
%set/v v01011EF8_0, 8, 16;
%set/v v00E81EF8_0, 8, 16;
%movi 8, 3840, 16;
%set/v v01011FA8_0, 8, 16;
%set/v v00E81FA8_0, 8, 16;
%movi 8, 3584, 16;
%set/v v01012000_0, 8, 16;
%set/v v00E82000_0, 8, 16;
%end;
.thread T_24;
.scope S_00AEA2A8;
.scope S_0084A2A8;
T_25 ;
%wait E_00AC1870;
%set/v v01011478_0, 1, 4;
%set/v v01011580_0, 1, 4;
%set/v v01011268_0, 1, 4;
%load/v 8, v010113C8_0, 1;
%wait E_00821870;
%set/v v00E81478_0, 1, 4;
%set/v v00E81580_0, 1, 4;
%set/v v00E81268_0, 1, 4;
%load/v 8, v00E813C8_0, 1;
%jmp/0xz T_25.0, 8;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.2, 4;
3095,79 → 3095,79
%jmp/1 T_25.20, 4;
%jmp T_25.21;
T_25.2 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.21;
T_25.3 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.21;
T_25.4 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.21;
T_25.5 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.21;
T_25.6 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.7 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.8 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.9 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.10 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.11 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.12 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.13 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.14 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.15 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.16 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.17 ;
%movi 8, 2, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.18 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.19 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.20 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.21;
T_25.21 ;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.22, 4;
3228,82 → 3228,82
%jmp T_25.41;
T_25.22 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.23 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.24 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.25 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.26 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.27 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.28 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.29 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.30 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.31 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.32 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.33 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.34 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.35 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.36 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.37 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.38 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.39 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.40 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.41;
T_25.41 ;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.42, 4;
3388,65 → 3388,65
%jmp T_25.64;
T_25.50 ;
%movi 8, 2, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.51 ;
%movi 8, 2, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.52 ;
%movi 8, 2, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.53 ;
%movi 8, 2, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.54 ;
%movi 8, 4, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.55 ;
%movi 8, 4, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.56 ;
%movi 8, 4, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.57 ;
%movi 8, 4, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.58 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.59 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.60 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.61 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.62 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.63 ;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.64;
T_25.64 ;
T_25.0 ;
%load/v 8, v01011420_0, 1;
%load/v 8, v00E81420_0, 1;
%jmp/0xz T_25.65, 8;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.67, 4;
3474,38 → 3474,38
%jmp T_25.75;
T_25.67 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.68 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.69 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.70 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.71 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.72 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.73 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.74 ;
%movi 8, 4, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.75;
T_25.75 ;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.76, 4;
3533,38 → 3533,38
%jmp T_25.84;
T_25.76 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.77 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.78 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.79 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.80 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.81 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.82 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.83 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.84;
T_25.84 ;
%load/v 8, v01011688_0, 8;
%load/v 8, v00E81688_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.85, 4;
3608,7 → 3608,7
%jmp T_25.93;
T_25.93 ;
T_25.65 ;
%load/v 8, v01011370_0, 8;
%load/v 8, v00E81370_0, 8;
%movi 16, 26, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.94, 4;
3700,109 → 3700,109
%jmp T_25.119;
T_25.94 ;
%movi 8, 10, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%movi 8, 10, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.95 ;
%movi 8, 10, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%movi 8, 10, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.96 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.97 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.98 ;
%load/v 8, v01011688_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81688_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_25.120, 4;
%load/x1p 8, v01011688_0, 4;
%load/x1p 8, v00E81688_0, 4;
%jmp T_25.121;
T_25.120 ;
%mov 8, 2, 4;
T_25.121 ;
; Save base=8 wid=4 in lookaside.
%set/v v01011478_0, 8, 4;
%load/v 8, v01011688_0, 4; Only need 4 of 8 bits
%set/v v00E81478_0, 8, 4;
%load/v 8, v00E81688_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.119;
T_25.99 ;
%load/v 8, v01011688_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81688_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_25.122, 4;
%load/x1p 8, v01011688_0, 4;
%load/x1p 8, v00E81688_0, 4;
%jmp T_25.123;
T_25.122 ;
%mov 8, 2, 4;
T_25.123 ;
; Save base=8 wid=4 in lookaside.
%set/v v01011478_0, 8, 4;
%load/v 8, v01011688_0, 4; Only need 4 of 8 bits
%set/v v00E81478_0, 8, 4;
%load/v 8, v00E81688_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.119;
T_25.100 ;
%movi 8, 1, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.101 ;
%movi 8, 2, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.102 ;
%movi 8, 4, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.103 ;
%movi 8, 3, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.104 ;
%movi 8, 5, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.105 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011580_0, 8, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81580_0, 8, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.119;
T_25.106 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.107 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.119;
T_25.108 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.124, 6;
3809,20 → 3809,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.125, 6;
%movi 8, 12, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.127;
T_25.124 ;
%jmp T_25.127;
T_25.125 ;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.127;
T_25.127 ;
%jmp T_25.119;
T_25.109 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.128, 6;
3829,20 → 3829,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.129, 6;
%movi 8, 12, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.131;
T_25.128 ;
%jmp T_25.131;
T_25.129 ;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.131;
T_25.131 ;
%jmp T_25.119;
T_25.110 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.132, 6;
3849,20 → 3849,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.133, 6;
%movi 8, 12, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.135;
T_25.132 ;
%jmp T_25.135;
T_25.133 ;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.135;
T_25.135 ;
%jmp T_25.119;
T_25.111 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.136, 6;
3881,50 → 3881,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.143, 6;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.145;
T_25.136 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.145;
T_25.137 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.145;
T_25.138 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.145;
T_25.139 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.145;
T_25.140 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.145;
T_25.141 ;
%jmp T_25.145;
T_25.142 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 1, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.145;
T_25.143 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.145;
T_25.145 ;
%jmp T_25.119;
T_25.112 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.146, 6;
3943,50 → 3943,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.153, 6;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.155;
T_25.146 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.155;
T_25.147 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.155;
T_25.148 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.155;
T_25.149 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.155;
T_25.150 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.155;
T_25.151 ;
%jmp T_25.155;
T_25.152 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 1, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.155;
T_25.153 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.155;
T_25.155 ;
%jmp T_25.119;
T_25.113 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.156, 6;
4005,50 → 4005,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.163, 6;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.165;
T_25.156 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.165;
T_25.157 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.165;
T_25.158 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.165;
T_25.159 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.165;
T_25.160 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.165;
T_25.161 ;
%jmp T_25.165;
T_25.162 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 1, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.165;
T_25.163 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.165;
T_25.165 ;
%jmp T_25.119;
T_25.114 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.166, 6;
4067,50 → 4067,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.173, 6;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 8, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.175;
T_25.166 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.175;
T_25.167 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.175;
T_25.168 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.175;
T_25.169 ;
%movi 8, 8, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.175;
T_25.170 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.175;
T_25.171 ;
%jmp T_25.175;
T_25.172 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 1, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.175;
T_25.173 ;
%movi 8, 1, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.175;
T_25.175 ;
%jmp T_25.119;
T_25.115 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.176, 6;
4129,51 → 4129,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.183, 6;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.185;
T_25.176 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.185;
T_25.177 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.185;
T_25.178 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.185;
T_25.179 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.185;
T_25.180 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.185;
T_25.181 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.185;
T_25.182 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 3, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.185;
T_25.183 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.185;
T_25.185 ;
%jmp T_25.119;
T_25.116 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.186, 6;
4192,51 → 4192,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.193, 6;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.195;
T_25.186 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.195;
T_25.187 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.195;
T_25.188 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.195;
T_25.189 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.195;
T_25.190 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.195;
T_25.191 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.195;
T_25.192 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 3, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.195;
T_25.193 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.195;
T_25.195 ;
%jmp T_25.119;
T_25.117 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.196, 6;
4255,51 → 4255,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.203, 6;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.205;
T_25.196 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.205;
T_25.197 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.205;
T_25.198 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.205;
T_25.199 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.205;
T_25.200 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.205;
T_25.201 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.205;
T_25.202 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 3, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.205;
T_25.203 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.205;
T_25.205 ;
%jmp T_25.119;
T_25.118 ;
%load/v 8, v01011370_0, 4; Only need 4 of 8 bits
%load/v 8, v00E81370_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.206, 6;
4318,51 → 4318,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.213, 6;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 9, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.215;
T_25.206 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.215;
T_25.207 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%jmp T_25.215;
T_25.208 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.215;
T_25.209 ;
%set/v v01011478_0, 0, 4;
%set/v v01011268_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%set/v v00E81268_0, 0, 4;
%jmp T_25.215;
T_25.210 ;
%movi 8, 9, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 12, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.215;
T_25.211 ;
%set/v v01011478_0, 0, 4;
%set/v v00E81478_0, 0, 4;
%jmp T_25.215;
T_25.212 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 3, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.215;
T_25.213 ;
%movi 8, 3, 4;
%set/v v01011478_0, 8, 4;
%set/v v00E81478_0, 8, 4;
%movi 8, 6, 4;
%set/v v01011268_0, 8, 4;
%set/v v00E81268_0, 8, 4;
%jmp T_25.215;
T_25.215 ;
%jmp T_25.119;
T_25.119 ;
%load/v 8, v01011370_0, 8;
%load/v 8, v00E81370_0, 8;
%mov 16, 2, 1;
%movi 17, 0, 5;
%mov 22, 2, 1;
4500,113 → 4500,113
%jmp T_25.237;
T_25.216 ;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.217 ;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.218 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.219 ;
%movi 8, 7, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.220 ;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.221 ;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.222 ;
%movi 8, 13, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.223 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.224 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.225 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.226 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.227 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.228 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.229 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.230 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.231 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.232 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.233 ;
%movi 8, 6, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.234 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.235 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.236 ;
%movi 8, 12, 4;
%set/v v01011580_0, 8, 4;
%set/v v00E81580_0, 8, 4;
%jmp T_25.237;
T_25.237 ;
%jmp T_25;
.thread T_25, $push;
.scope S_00AEA2A8;
.scope S_0084A2A8;
T_26 ;
%wait E_00AC1830;
%load/v 8, v01011580_0, 4;
%wait E_00821830;
%load/v 8, v00E81580_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v010115D8_0, 0, 8;
%load/v 8, v01011478_0, 4;
%assign/v0 v00E815D8_0, 0, 8;
%load/v 8, v00E81478_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v01011528_0, 0, 8;
%load/v 8, v01011268_0, 4;
%assign/v0 v00E81528_0, 0, 8;
%load/v 8, v00E81268_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v010112C0_0, 0, 8;
%assign/v0 v00E812C0_0, 0, 8;
%jmp T_26;
.thread T_26;
.scope S_00AEA440;
.scope S_0084A440;
T_27 ;
%wait E_00AC2050;
%set/v v01010C38_0, 0, 3;
%set/v v01010DF0_0, 1, 1;
%set/v v01010B30_0, 0, 3;
%set/v v01010D98_0, 0, 1;
%load/v 8, v01010B88_0, 8;
%wait E_00822050;
%set/v v00E80C38_0, 0, 3;
%set/v v00E80DF0_0, 1, 1;
%set/v v00E80B30_0, 0, 3;
%set/v v00E80D98_0, 0, 1;
%load/v 8, v00E80B88_0, 8;
%mov 16, 2, 4;
%movi 20, 0, 4;
%cmp/x 8, 16, 8;
4756,156 → 4756,156
%jmp T_27.44;
T_27.0 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.1 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.2 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.3 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.4 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.5 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.6 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.7 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.8 ;
%set/v v01010B30_0, 1, 3;
%set/v v00E80B30_0, 1, 3;
%jmp T_27.44;
T_27.9 ;
%set/v v01010B30_0, 1, 3;
%set/v v00E80B30_0, 1, 3;
%movi 8, 4, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.44;
T_27.10 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.11 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.12 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.13 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.14 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.15 ;
%movi 8, 6, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.16 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010C38_0, 1, 3;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80C38_0, 1, 3;
%jmp T_27.44;
T_27.17 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010C38_0, 1, 3;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80C38_0, 1, 3;
%jmp T_27.44;
T_27.18 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010C38_0, 1, 3;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80C38_0, 1, 3;
%jmp T_27.44;
T_27.19 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010C38_0, 1, 3;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80C38_0, 1, 3;
%jmp T_27.44;
T_27.20 ;
%movi 8, 1, 3;
%set/v v01010C38_0, 8, 3;
%set/v v01010B30_0, 0, 3;
%set/v v00E80C38_0, 8, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.21 ;
%movi 8, 2, 3;
%set/v v01010C38_0, 8, 3;
%set/v v01010B30_0, 0, 3;
%set/v v00E80C38_0, 8, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.22 ;
%movi 8, 1, 3;
%set/v v01010C38_0, 8, 3;
%set/v v01010B30_0, 0, 3;
%set/v v01010DF0_0, 0, 1;
%set/v v00E80C38_0, 8, 3;
%set/v v00E80B30_0, 0, 3;
%set/v v00E80DF0_0, 0, 1;
%jmp T_27.44;
T_27.23 ;
%movi 8, 2, 3;
%set/v v01010C38_0, 8, 3;
%set/v v01010B30_0, 0, 3;
%set/v v01010DF0_0, 0, 1;
%set/v v00E80C38_0, 8, 3;
%set/v v00E80B30_0, 0, 3;
%set/v v00E80DF0_0, 0, 1;
%jmp T_27.44;
T_27.24 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.25 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%jmp T_27.44;
T_27.26 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%movi 8, 3, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.44;
T_27.27 ;
%set/v v01010B30_0, 0, 3;
%set/v v00E80B30_0, 0, 3;
%movi 8, 3, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.44;
T_27.28 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.29 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.30 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.31 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.32 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.33 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.34 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.35 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.36 ;
%load/v 8, v01010BE0_0, 4;
%load/v 8, v00E80BE0_0, 4;
%cmpi/u 8, 3, 4;
%jmp/1 T_27.45, 6;
%cmpi/u 8, 12, 4;
4915,31 → 4915,31
%cmpi/u 8, 13, 4;
%jmp/1 T_27.48, 6;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.50;
T_27.45 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.50;
T_27.46 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.50;
T_27.47 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.50;
T_27.48 ;
%movi 8, 6, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.50;
T_27.50 ;
%jmp T_27.44;
T_27.37 ;
%load/v 8, v01010BE0_0, 4;
%load/v 8, v00E80BE0_0, 4;
%cmpi/u 8, 3, 4;
%jmp/1 T_27.51, 6;
%cmpi/u 8, 12, 4;
4947,51 → 4947,51
%cmpi/u 8, 14, 4;
%jmp/1 T_27.53, 6;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.55;
T_27.51 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.55;
T_27.52 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.55;
T_27.53 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.55;
T_27.55 ;
%jmp T_27.44;
T_27.38 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.39 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.40 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.41 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.42 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.43 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.44;
T_27.44 ;
%load/v 8, v01010B88_0, 8;
%load/v 8, v00E80B88_0, 8;
%movi 16, 6, 4;
%mov 20, 2, 3;
%movi 23, 1, 1;
5024,36 → 5024,36
%jmp T_27.63;
T_27.56 ;
%movi 8, 6, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.57 ;
%movi 8, 5, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.58 ;
%movi 8, 5, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.59 ;
%movi 8, 5, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.60 ;
%movi 8, 6, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.61 ;
%movi 8, 4, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.62 ;
%movi 8, 6, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.63;
T_27.63 ;
%load/v 8, v01010C90_0, 1;
%load/v 8, v00E80C90_0, 1;
%jmp/0xz T_27.64, 8;
%load/v 8, v01010D40_0, 8;
%load/v 8, v00E80D40_0, 8;
%mov 16, 2, 4;
%movi 20, 2, 4;
%cmp/x 8, 16, 8;
5075,34 → 5075,34
%jmp/1 T_27.71, 4;
%jmp T_27.72;
T_27.66 ;
%set/v v01010B30_0, 1, 3;
%set/v v00E80B30_0, 1, 3;
%jmp T_27.72;
T_27.67 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.72;
T_27.68 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.72;
T_27.69 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.72;
T_27.70 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.72;
T_27.71 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.72;
T_27.72 ;
%load/v 8, v01010D40_0, 8;
%load/v 8, v00E80D40_0, 8;
%mov 16, 2, 4;
%movi 20, 9, 4;
%cmp/x 8, 16, 8;
5130,30 → 5130,30
%jmp T_27.79;
T_27.73 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.74 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.75 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.76 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.77 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.78 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.79;
T_27.79 ;
%load/v 8, v01010D40_0, 8;
%load/v 8, v00E80D40_0, 8;
%movi 16, 14, 4;
%mov 20, 2, 3;
%movi 23, 1, 1;
5162,13 → 5162,13
%jmp T_27.81;
T_27.80 ;
%movi 8, 6, 3;
%set/v v01010C38_0, 8, 3;
%set/v v00E80C38_0, 8, 3;
%jmp T_27.81;
T_27.81 ;
T_27.64 ;
%load/v 8, v01010CE8_0, 1;
%load/v 8, v00E80CE8_0, 1;
%jmp/0xz T_27.82, 8;
%load/v 8, v01010D40_0, 8;
%load/v 8, v00E80D40_0, 8;
%movi 16, 63, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_27.84, 4;
5187,30 → 5187,30
%jmp T_27.89;
T_27.84 ;
%movi 8, 2, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.89;
T_27.85 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.89;
T_27.86 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.89;
T_27.87 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.89;
T_27.88 ;
%movi 8, 1, 3;
%set/v v01010B30_0, 8, 3;
%set/v v01010D98_0, 1, 1;
%set/v v00E80B30_0, 8, 3;
%set/v v00E80D98_0, 1, 1;
%jmp T_27.89;
T_27.89 ;
%load/v 8, v01010D40_0, 8;
%load/v 8, v00E80D40_0, 8;
%mov 16, 2, 4;
%movi 20, 9, 4;
%cmp/x 8, 16, 8;
5238,40 → 5238,40
%jmp T_27.96;
T_27.90 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.91 ;
%movi 8, 3, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.92 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.93 ;
%movi 8, 4, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.94 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.95 ;
%movi 8, 5, 3;
%set/v v01010B30_0, 8, 3;
%set/v v00E80B30_0, 8, 3;
%jmp T_27.96;
T_27.96 ;
T_27.82 ;
%jmp T_27;
.thread T_27, $push;
.scope S_00AEA4C8;
.scope S_0084A4C8;
T_28 ;
%wait E_00AC17B0;
%set/v v010109D0_0, 0, 1;
%set/v v01010A80_0, 0, 1;
%set/v v01010A28_0, 0, 1;
%set/v v01010AD8_0, 0, 1;
%load/v 8, v01010920_0, 8;
%wait E_008217B0;
%set/v v00E809D0_0, 0, 1;
%set/v v00E80A80_0, 0, 1;
%set/v v00E80A28_0, 0, 1;
%set/v v00E80AD8_0, 0, 1;
%load/v 8, v00E80920_0, 8;
%mov 16, 2, 7;
%movi 23, 0, 1;
%cmp/x 8, 16, 8;
5314,38 → 5314,38
%jmp/1 T_28.7, 4;
%jmp T_28.8;
T_28.0 ;
%set/v v010109D0_0, 1, 1;
%set/v v00E809D0_0, 1, 1;
%jmp T_28.8;
T_28.1 ;
%set/v v010109D0_0, 1, 1;
%set/v v00E809D0_0, 1, 1;
%jmp T_28.8;
T_28.2 ;
%set/v v01010A80_0, 1, 1;
%set/v v00E80A80_0, 1, 1;
%jmp T_28.8;
T_28.3 ;
%set/v v01010A80_0, 1, 1;
%set/v v00E80A80_0, 1, 1;
%jmp T_28.8;
T_28.4 ;
%set/v v01010A28_0, 1, 1;
%set/v v00E80A28_0, 1, 1;
%jmp T_28.8;
T_28.5 ;
%set/v v01010A28_0, 1, 1;
%set/v v00E80A28_0, 1, 1;
%jmp T_28.8;
T_28.6 ;
%set/v v01010A28_0, 1, 1;
%set/v v00E80A28_0, 1, 1;
%jmp T_28.8;
T_28.7 ;
%set/v v01010AD8_0, 1, 1;
%set/v v00E80AD8_0, 1, 1;
%jmp T_28.8;
T_28.8 ;
%jmp T_28;
.thread T_28, $push;
.scope S_00AEA550;
.scope S_0084A550;
T_29 ;
%wait E_00AC1DD0;
%set/v v00AF0E48_0, 0, 5;
%set/v v00AF0EA0_0, 0, 2;
%load/v 8, v00AF0F50_0, 8;
%wait E_00821DD0;
%set/v v00850E48_0, 0, 5;
%set/v v00850EA0_0, 0, 2;
%load/v 8, v00850F50_0, 8;
%movi 16, 0, 4;
%mov 20, 2, 3;
%movi 23, 1, 1;
5545,204 → 5545,204
%jmp T_29.46;
T_29.0 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.1 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.2 ;
%movi 8, 11, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.3 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.4 ;
%movi 8, 8, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.5 ;
%movi 8, 5, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.6 ;
%movi 8, 5, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.7 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.8 ;
%movi 8, 2, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.9 ;
%movi 8, 7, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.10 ;
%movi 8, 10, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.11 ;
%movi 8, 6, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.12 ;
%movi 8, 8, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.13 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.14 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.15 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.16 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.17 ;
%movi 8, 2, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.18 ;
%movi 8, 21, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.19 ;
%movi 8, 21, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.20 ;
%movi 8, 22, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.21 ;
%movi 8, 22, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.22 ;
%movi 8, 16, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.23 ;
%movi 8, 16, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.24 ;
%movi 8, 18, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.25 ;
%movi 8, 18, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.26 ;
%movi 8, 20, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.27 ;
%movi 8, 20, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.28 ;
%movi 8, 17, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.29 ;
%movi 8, 17, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.30 ;
%movi 8, 19, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.31 ;
%movi 8, 19, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.32 ;
%movi 8, 25, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 1, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.33 ;
%movi 8, 25, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 1, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.34 ;
%movi 8, 24, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 1, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.35 ;
%movi 8, 24, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 1, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.36 ;
%movi 8, 5, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.37 ;
%movi 8, 5, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.38 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 2, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.39 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%movi 8, 2, 2;
%set/v v00AF0EA0_0, 8, 2;
%set/v v00850EA0_0, 8, 2;
%jmp T_29.46;
T_29.40 ;
%movi 8, 26, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.41 ;
%movi 8, 6, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.42 ;
%movi 8, 5, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.43 ;
%movi 8, 1, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.44 ;
%movi 8, 28, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.45 ;
%movi 8, 27, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.46;
T_29.46 ;
%load/v 8, v00AF0FA8_0, 1;
%load/v 8, v00850FA8_0, 1;
%jmp/0xz T_29.47, 8;
%load/v 8, v00AF1058_0, 8;
%load/v 8, v00851058_0, 8;
%movi 16, 3, 4;
%mov 20, 2, 2;
%movi 22, 2, 2;
5766,25 → 5766,25
%jmp T_29.53;
T_29.49 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.53;
T_29.50 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.53;
T_29.51 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.53;
T_29.52 ;
%movi 8, 2, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.53;
T_29.53 ;
T_29.47 ;
%load/v 8, v00AF1000_0, 1;
%load/v 8, v00851000_0, 1;
%jmp/0xz T_29.54, 8;
%load/v 8, v00AF1058_0, 8;
%load/v 8, v00851058_0, 8;
%movi 16, 3, 4;
%mov 20, 2, 2;
%movi 22, 2, 2;
5808,53 → 5808,53
%jmp T_29.60;
T_29.56 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.60;
T_29.57 ;
%movi 8, 9, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.60;
T_29.58 ;
%movi 8, 4, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.60;
T_29.59 ;
%movi 8, 2, 5;
%set/v v00AF0E48_0, 8, 5;
%set/v v00850E48_0, 8, 5;
%jmp T_29.60;
T_29.60 ;
T_29.54 ;
%jmp T_29;
.thread T_29, $push;
.scope S_00AEA5D8;
.scope S_0084A5D8;
T_30 ;
%wait E_00AC1DB0;
%set/v v00AF0A28_0, 0, 1;
%load/v 8, v00AF0A80_0, 8;
%wait E_00821DB0;
%set/v v00850A28_0, 0, 1;
%load/v 8, v00850A80_0, 8;
%cmpi/u 8, 22, 8;
%mov 8, 4, 1;
%load/v 9, v00AF0A80_0, 8;
%load/v 9, v00850A80_0, 8;
%cmpi/u 9, 23, 8;
%or 8, 4, 1;
%load/v 9, v00AF0A80_0, 8;
%load/v 9, v00850A80_0, 8;
%cmpi/u 9, 141, 8;
%or 8, 4, 1;
%load/v 9, v00AF0A80_0, 8;
%load/v 9, v00850A80_0, 8;
%cmpi/u 9, 14, 8;
%or 8, 4, 1;
%load/v 9, v00AF0A80_0, 8;
%load/v 9, v00850A80_0, 8;
%cmpi/u 9, 110, 8;
%or 8, 4, 1;
%load/v 9, v00AF0A80_0, 8;
%load/v 9, v00850A80_0, 8;
%cmpi/u 9, 126, 8;
%or 8, 4, 1;
%jmp/0xz T_30.0, 8;
%set/v v00AF0A28_0, 1, 1;
%set/v v00850A28_0, 1, 1;
T_30.0 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_30.2, 4;
%load/x1p 8, v00AF0A80_0, 4;
%load/x1p 8, v00850A80_0, 4;
%jmp T_30.3;
T_30.2 ;
%mov 8, 2, 4;
5862,7 → 5862,7
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 2, 4;
%jmp/0xz T_30.4, 4;
%load/v 8, v00AF0A80_0, 4; Only need 4 of 8 bits
%load/v 8, v00850A80_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 0, 4;
%jmp/1 T_30.6, 6;
5898,18 → 5898,18
%jmp/1 T_30.21, 6;
%jmp T_30.22;
T_30.6 ;
%set/v v00AF0A28_0, 1, 1;
%set/v v00850A28_0, 1, 1;
%jmp T_30.22;
T_30.7 ;
%set/v v00AF0A28_0, 0, 1;
%set/v v00850A28_0, 0, 1;
%jmp T_30.22;
T_30.8 ;
%load/v 8, v00AF09D0_0, 1; Only need 1 of 8 bits
%load/v 8, v008509D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.23, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.24;
T_30.23 ;
%mov 9, 2, 1;
5917,15 → 5917,15
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%inv 8, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.9 ;
%load/v 8, v00AF09D0_0, 1; Only need 1 of 8 bits
%load/v 8, v008509D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.25, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.26;
T_30.25 ;
%mov 9, 2, 1;
5932,24 → 5932,24
T_30.26 ;
; Save base=9 wid=1 in lookaside.
%or 8, 9, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.10 ;
%load/v 8, v00AF09D0_0, 1; Only need 1 of 8 bits
%load/v 8, v008509D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.11 ;
%load/v 8, v00AF09D0_0, 1; Only need 1 of 8 bits
%load/v 8, v008509D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.12 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.27, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.28;
T_30.27 ;
%mov 8, 2, 1;
5956,25 → 5956,25
T_30.28 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.13 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.29, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.30;
T_30.29 ;
%mov 8, 2, 1;
T_30.30 ;
; Save base=8 wid=1 in lookaside.
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.14 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.31, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.32;
T_30.31 ;
%mov 8, 2, 1;
5981,25 → 5981,25
T_30.32 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.15 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.33, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.34;
T_30.33 ;
%mov 8, 2, 1;
T_30.34 ;
; Save base=8 wid=1 in lookaside.
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.16 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.35, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.36;
T_30.35 ;
%mov 8, 2, 1;
6006,25 → 6006,25
T_30.36 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.17 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.37, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.38;
T_30.37 ;
%mov 8, 2, 1;
T_30.38 ;
; Save base=8 wid=1 in lookaside.
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.18 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.39, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.40;
T_30.39 ;
%mov 8, 2, 1;
6033,7 → 6033,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.41, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.42;
T_30.41 ;
%mov 9, 2, 1;
6041,13 → 6041,13
; Save base=9 wid=1 in lookaside.
%cmp/u 8, 9, 1;
%mov 8, 4, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.19 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.43, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.44;
T_30.43 ;
%mov 8, 2, 1;
6056,7 → 6056,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.45, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.46;
T_30.45 ;
%mov 9, 2, 1;
6065,13 → 6065,13
%cmp/u 8, 9, 1;
%inv 4, 1;
%mov 8, 4, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.20 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.47, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.48;
T_30.47 ;
%mov 8, 2, 1;
6080,7 → 6080,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.49, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.50;
T_30.49 ;
%mov 9, 2, 1;
6091,7 → 6091,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.51, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.52;
T_30.51 ;
%mov 9, 2, 1;
6099,13 → 6099,13
; Save base=9 wid=1 in lookaside.
%inv 9, 1;
%and 8, 9, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.21 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.53, 4;
%load/x1p 8, v00AF09D0_0, 1;
%load/x1p 8, v008509D0_0, 1;
%jmp T_30.54;
T_30.53 ;
%mov 8, 2, 1;
6114,7 → 6114,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.55, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.56;
T_30.55 ;
%mov 9, 2, 1;
6126,7 → 6126,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.57, 4;
%load/x1p 9, v00AF09D0_0, 1;
%load/x1p 9, v008509D0_0, 1;
%jmp T_30.58;
T_30.57 ;
%mov 9, 2, 1;
6133,50 → 6133,50
T_30.58 ;
; Save base=9 wid=1 in lookaside.
%or 8, 9, 1;
%set/v v00AF0A28_0, 8, 1;
%set/v v00850A28_0, 8, 1;
%jmp T_30.22;
T_30.22 ;
T_30.4 ;
%jmp T_30;
.thread T_30, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_31 ;
%wait E_00AC2DB0;
%load/v 8, v00CAC538_0, 4;
%wait E_00822DB0;
%load/v 8, v00A0C538_0, 4;
%cmpi/u 8, 15, 4;
%inv 4, 1;
%jmp/0xz T_31.0, 4;
%load/v 8, v00CAC538_0, 4;
%set/v v00CAB220_0, 8, 4;
%load/v 8, v00A0C538_0, 4;
%set/v v00A0B220_0, 8, 4;
%jmp T_31.1;
T_31.0 ;
%load/v 8, v00CAB4E0_0, 4;
%set/v v00CAB220_0, 8, 4;
%load/v 8, v00A0B4E0_0, 4;
%set/v v00A0B220_0, 8, 4;
T_31.1 ;
%jmp T_31;
.thread T_31, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_32 ;
%wait E_00AC0AD0;
%load/v 8, v00CAC538_0, 4;
%wait E_00820AD0;
%load/v 8, v00A0C538_0, 4;
%cmpi/u 8, 15, 4;
%inv 4, 1;
%jmp/0xz T_32.0, 4;
%load/v 8, v00CAC538_0, 4;
%set/v v00CAB380_0, 8, 4;
%load/v 8, v00A0C538_0, 4;
%set/v v00A0B380_0, 8, 4;
%jmp T_32.1;
T_32.0 ;
%load/v 8, v00CAB488_0, 4;
%set/v v00CAB380_0, 8, 4;
%load/v 8, v00A0B488_0, 4;
%set/v v00A0B380_0, 8, 4;
T_32.1 ;
%jmp T_32;
.thread T_32, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_33 ;
%wait E_00AC2D90;
%load/v 8, v00CAAE00_0, 16;
%set/v v00CAB328_0, 8, 16;
%load/v 8, v00CAB988_0, 3;
%wait E_00822D90;
%load/v 8, v00A0AE00_0, 16;
%set/v v00A0B328_0, 8, 16;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 2, 3;
%jmp/1 T_33.0, 6;
%cmpi/u 8, 3, 3;
6185,57 → 6185,57
%jmp/1 T_33.2, 6;
%jmp T_33.3;
T_33.0 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB328_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B328_0, 8, 16;
%jmp T_33.3;
T_33.1 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB328_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B328_0, 8, 16;
%jmp T_33.3;
T_33.2 ;
%load/v 8, v00CAB720_0, 1;
%load/v 8, v00A0B720_0, 1;
%jmp/0xz T_33.4, 8;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB328_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B328_0, 8, 16;
%jmp T_33.5;
T_33.4 ;
%load/v 8, v00CACB40_0, 16;
%set/v v00CAB328_0, 8, 16;
%load/v 8, v00A0CB40_0, 16;
%set/v v00A0B328_0, 8, 16;
T_33.5 ;
%jmp T_33.3;
T_33.3 ;
%jmp T_33;
.thread T_33, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_34 ;
%wait E_00AC2E10;
%load/v 8, v00CAB4E0_0, 4;
%wait E_00822E10;
%load/v 8, v00A0B4E0_0, 4;
%cmpi/u 8, 12, 4;
%jmp/0xz T_34.0, 4;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB278_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B278_0, 8, 16;
%jmp T_34.1;
T_34.0 ;
%load/v 8, v00CAB988_0, 3;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 7, 3;
%jmp/1 T_34.2, 6;
%load/v 8, v00CACB98_0, 16;
%set/v v00CAB278_0, 8, 16;
%load/v 8, v00A0CB98_0, 16;
%set/v v00A0B278_0, 8, 16;
%jmp T_34.4;
T_34.2 ;
%load/v 8, v00CAB720_0, 1;
%load/v 8, v00A0B720_0, 1;
%jmp/0xz T_34.5, 8;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB278_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B278_0, 8, 16;
%jmp T_34.6;
T_34.5 ;
%load/v 8, v00CACB40_0, 16;
%set/v v00CAB278_0, 8, 16;
%load/v 8, v00A0CB40_0, 16;
%set/v v00A0B278_0, 8, 16;
T_34.6 ;
%jmp T_34.4;
T_34.4 ;
6242,17 → 6242,17
T_34.1 ;
%jmp T_34;
.thread T_34, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_35 ;
%wait E_00AC2DF0;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAC278_0, 8, 16;
%load/v 8, v00CAC118_0, 2;
%wait E_00822DF0;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0C278_0, 8, 16;
%load/v 8, v00A0C118_0, 2;
%cmpi/u 8, 0, 2;
%inv 4, 1;
%jmp/0xz T_35.0, 4;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 7, 3;
%jmp/1 T_35.2, 6;
%cmpi/u 8, 6, 3;
6265,19 → 6265,19
%jmp/1 T_35.6, 6;
%jmp T_35.7;
T_35.2 ;
%load/v 8, v00CACBF0_0, 16;
%load/v 24, v00CAC1C8_0, 8;
%load/v 32, v00CAC170_0, 8;
%load/v 8, v00A0CBF0_0, 16;
%load/v 24, v00A0C1C8_0, 8;
%load/v 32, v00A0C170_0, 8;
%add 8, 24, 16;
%set/v v00CAC278_0, 8, 16;
%set/v v00A0C278_0, 8, 16;
%jmp T_35.7;
T_35.3 ;
%load/v 8, v00CACBF0_0, 16;
%load/v 24, v00CAC1C8_0, 8;
%load/v 8, v00A0CBF0_0, 16;
%load/v 24, v00A0C1C8_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_35.8, 4;
%load/x1p 48, v00CAC1C8_0, 1;
%load/x1p 48, v00A0C1C8_0, 1;
%jmp T_35.9;
T_35.8 ;
%mov 48, 2, 1;
6292,28 → 6292,28
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v00CAC278_0, 8, 16;
%set/v v00A0C278_0, 8, 16;
%jmp T_35.7;
T_35.4 ;
%load/v 8, v00CABE58_0, 8;
%load/v 16, v00CABE00_0, 8;
%set/v v00CAC278_0, 8, 16;
%load/v 8, v00A0BE58_0, 8;
%load/v 16, v00A0BE00_0, 8;
%set/v v00A0C278_0, 8, 16;
%jmp T_35.7;
T_35.5 ;
%load/v 8, v00CABE58_0, 8;
%load/v 16, v00CACAE8_0, 8;
%set/v v00CAC278_0, 8, 16;
%load/v 8, v00A0BE58_0, 8;
%load/v 16, v00A0CAE8_0, 8;
%set/v v00A0C278_0, 8, 16;
%jmp T_35.7;
T_35.6 ;
%load/v 8, v00CAB720_0, 1;
%load/v 8, v00A0B720_0, 1;
%jmp/0xz T_35.10, 8;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAC278_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0C278_0, 8, 16;
%jmp T_35.11;
T_35.10 ;
%load/v 8, v00CACB40_0, 16;
%set/v v00CAC278_0, 8, 16;
%load/v 8, v00A0CB40_0, 16;
%set/v v00A0C278_0, 8, 16;
T_35.11 ;
%jmp T_35.7;
T_35.7 ;
6320,10 → 6320,10
T_35.0 ;
%jmp T_35;
.thread T_35, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_36 ;
%wait E_00AC2B50;
%load/v 8, v00CAB538_0, 4;
%wait E_00822B50;
%load/v 8, v00A0B538_0, 4;
%cmpi/u 8, 12, 4;
%jmp/1 T_36.0, 6;
%cmpi/u 8, 6, 4;
6332,7 → 6332,7
%jmp/1 T_36.2, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_36.3, 6;
%load/v 8, v00CABA38_0, 2;
%load/v 8, v00A0BA38_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_36.6, 6;
%cmpi/u 8, 1, 2;
6343,63 → 6343,63
%jmp/1 T_36.9, 6;
%jmp T_36.10;
T_36.6 ;
%load/v 8, v00CACC48_0, 16;
%set/v v00CAB2D0_0, 8, 16;
%load/v 8, v00A0CC48_0, 16;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.10;
T_36.7 ;
%movi 8, 1, 16;
%set/v v00CAB2D0_0, 8, 16;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.10;
T_36.8 ;
%set/v v00CAB2D0_0, 0, 16;
%set/v v00A0B2D0_0, 0, 16;
%jmp T_36.10;
T_36.9 ;
%set/v v00CAB2D0_0, 1, 16;
%set/v v00A0B2D0_0, 1, 16;
%jmp T_36.10;
T_36.10 ;
%jmp T_36.5;
T_36.0 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 8, v00A0C1C8_0, 8;
%mov 16, 0, 8;
%set/v v00CAB2D0_0, 8, 16;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.5;
T_36.1 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB2D0_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.5;
T_36.2 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 8, v00A0C1C8_0, 8;
%mov 16, 0, 8;
%set/v v00CAB2D0_0, 8, 16;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.5;
T_36.3 ;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%set/v v00CAB2D0_0, 8, 16;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%set/v v00A0B2D0_0, 8, 16;
%jmp T_36.5;
T_36.5 ;
%jmp T_36;
.thread T_36, $push;
.scope S_00AEA660;
.scope S_0084A660;
T_37 ;
%wait E_00AC3110;
%load/v 8, v00CAC720_0, 1;
%wait E_00823110;
%load/v 8, v00A0C720_0, 1;
%jmp/0xz T_37.0, 8;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 0;
%assign/v0 v00A0CCF8_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00CAC6C8_0, 0, 0;
%assign/v0 v00A0C6C8_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00CAC618_0, 0, 0;
%assign/v0 v00A0C618_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00CAC670_0, 0, 0;
%assign/v0 v00A0C670_0, 0, 0;
%jmp T_37.1;
T_37.0 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.2, 4;
%load/x1p 8, v00CAC6C8_0, 1;
%load/x1p 8, v00A0C6C8_0, 1;
%jmp T_37.3;
T_37.2 ;
%mov 8, 2, 1;
6407,15 → 6407,15
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.4, 8;
%load/v 8, v00CAB068_0, 1;
%load/v 9, v00CAC6C8_0, 2; Select 2 out of 3 bits
%load/v 8, v00A0B068_0, 1;
%load/v 9, v00A0C6C8_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00CAC6C8_0, 0, 8;
%assign/v0 v00A0C6C8_0, 0, 8;
T_37.4 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.6, 4;
%load/x1p 8, v00CAC670_0, 1;
%load/x1p 8, v00A0C670_0, 1;
%jmp T_37.7;
T_37.6 ;
%mov 8, 2, 1;
6423,15 → 6423,15
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.8, 8;
%load/v 8, v00CAB010_0, 1;
%load/v 9, v00CAC670_0, 2; Select 2 out of 3 bits
%load/v 8, v00A0B010_0, 1;
%load/v 9, v00A0C670_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00CAC670_0, 0, 8;
%assign/v0 v00A0C670_0, 0, 8;
T_37.8 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.10, 4;
%load/x1p 8, v00CAC618_0, 1;
%load/x1p 8, v00A0C618_0, 1;
%jmp T_37.11;
T_37.10 ;
%mov 8, 2, 1;
6439,72 → 6439,72
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.12, 8;
%load/v 8, v00CAAFB8_0, 1;
%load/v 9, v00CAC618_0, 2; Select 2 out of 3 bits
%load/v 8, v00A0AFB8_0, 1;
%load/v 9, v00A0C618_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00CAC618_0, 0, 8;
%assign/v0 v00A0C618_0, 0, 8;
T_37.12 ;
%load/v 8, v00CABF60_0, 1;
%load/v 8, v00A0BF60_0, 1;
%jmp/0xz T_37.14, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 0;
%assign/v0 v00A0BF60_0, 0, 0;
T_37.14 ;
%load/v 8, v00CAC880_0, 1;
%load/v 8, v00A0C880_0, 1;
%jmp/0xz T_37.16, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC880_0, 0, 0;
%assign/v0 v00A0C880_0, 0, 0;
T_37.16 ;
%load/v 8, v00CABD50_0, 1;
%load/v 8, v00A0BD50_0, 1;
%jmp/0xz T_37.18, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABD50_0, 0, 0;
%assign/v0 v00A0BD50_0, 0, 0;
T_37.18 ;
%load/v 8, v00CABCF8_0, 1;
%load/v 8, v00A0BCF8_0, 1;
%jmp/0xz T_37.20, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 0;
%assign/v0 v00A0BCF8_0, 0, 0;
T_37.20 ;
%load/v 8, v00CAC8D8_0, 1;
%load/v 8, v00A0C8D8_0, 1;
%jmp/0xz T_37.22, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC8D8_0, 0, 0;
%assign/v0 v00A0C8D8_0, 0, 0;
T_37.22 ;
%load/v 8, v00CABDA8_0, 1;
%load/v 8, v00A0BDA8_0, 1;
%jmp/0xz T_37.24, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABDA8_0, 0, 0;
%assign/v0 v00A0BDA8_0, 0, 0;
T_37.24 ;
%load/v 8, v00CABFB8_0, 1;
%load/v 8, v00A0BFB8_0, 1;
%jmp/0xz T_37.26, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABFB8_0, 0, 0;
%assign/v0 v00A0BFB8_0, 0, 0;
T_37.26 ;
%load/v 8, v00CAC778_0, 1;
%load/v 8, v00A0C778_0, 1;
%jmp/0xz T_37.28, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 0;
%assign/v0 v00A0C778_0, 0, 0;
T_37.28 ;
%load/v 8, v00CABBF0_0, 1;
%load/v 8, v00A0BBF0_0, 1;
%jmp/0xz T_37.30, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABBF0_0, 0, 0;
%assign/v0 v00A0BBF0_0, 0, 0;
T_37.30 ;
%load/v 8, v00CAC7D0_0, 1;
%load/v 8, v00A0C7D0_0, 1;
%jmp/0xz T_37.32, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC7D0_0, 0, 0;
%assign/v0 v00A0C7D0_0, 0, 0;
T_37.32 ;
%load/v 8, v00CAC828_0, 1;
%load/v 8, v00A0C828_0, 1;
%jmp/0xz T_37.34, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC828_0, 0, 0;
%assign/v0 v00A0C828_0, 0, 0;
T_37.34 ;
%load/v 8, v00CAC930_0, 1;
%load/v 8, v00A0C930_0, 1;
%jmp/0xz T_37.36, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC930_0, 0, 0;
%assign/v0 v00A0C930_0, 0, 0;
T_37.36 ;
%load/v 8, v00CACCF8_0, 6;
%load/v 8, v00A0CCF8_0, 6;
%cmpi/u 8, 0, 6;
%jmp/1 T_37.38, 6;
%cmpi/u 8, 1, 6;
6612,213 → 6612,213
%jmp T_37.90;
T_37.38 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 1;
%assign/v0 v00A0BE00_0, 0, 1;
%movi 8, 254, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%movi 8, 8, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 0;
%assign/v0 v00A0C118_0, 0, 0;
%jmp T_37.90;
T_37.39 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00CAC6C8_0, 0, 0;
%assign/v0 v00A0C6C8_0, 0, 0;
%movi 8, 65532, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.40 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 65530, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%jmp T_37.90;
T_37.41 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00CAC670_0, 0, 0;
%assign/v0 v00A0C670_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 65528, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.42 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00CAC618_0, 0, 0;
%assign/v0 v00A0C618_0, 0, 0;
%movi 8, 65526, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%movi 8, 129, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 8;
%assign/v0 v00A0C5C0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABBF0_0, 0, 1;
%assign/v0 v00A0BBF0_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.43 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 65524, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.44 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 65522, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.45 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 65520, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 16;
%assign/v0 v00A0BE00_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.90;
T_37.46 ;
%vpi_call 3 457 "$display", "cpu_data_i %02x %t", v00CAAF08_0, $time;
%vpi_call 3 457 "$display", "cpu_data_i %02x %t", v00A0AF08_0, $time;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%assign/v0 v00A0C118_0, 0, 8;
%jmp T_37.90;
T_37.47 ;
%load/v 8, v00CAC2D0_0, 1;
%load/v 8, v00A0C2D0_0, 1;
%jmp/0xz T_37.91, 8;
%movi 8, 1, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.92;
T_37.91 ;
%load/v 8, v00CABEB0_0, 1;
%load/v 8, v00A0BEB0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.93, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.94;
T_37.93 ;
%mov 9, 2, 1;
6828,14 → 6828,14
%jmp/0xz T_37.95, 8;
%movi 8, 4, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.96;
T_37.95 ;
%load/v 8, v00CAC0C0_0, 1;
%load/v 8, v00A0C0C0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.97, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.98;
T_37.97 ;
%mov 9, 2, 1;
6845,15 → 6845,15
%jmp/0xz T_37.99, 8;
%movi 8, 3, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.100;
T_37.99 ;
%movi 8, 10, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CACBF0_0, 16;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
T_37.100 ;
T_37.96 ;
T_37.92 ;
6860,24 → 6860,24
%jmp T_37.90;
T_37.48 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 1;
%assign/v0 v00A0BF60_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC430_0, 0, 0;
%assign/v0 v00A0C430_0, 0, 0;
%ix/load 0, 1, 0;
%assign/v0 v00CAC488_0, 0, 0;
%assign/v0 v00A0C488_0, 0, 0;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 1;
%assign/v0 v00A0C538_0, 0, 1;
%movi 8, 11, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.49 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC3D8_0, 0, 8;
%load/v 8, v00CAAF08_0, 8;
%assign/v0 v00A0C3D8_0, 0, 8;
%load/v 8, v00A0AF08_0, 8;
%cmpi/u 8, 16, 8;
%jmp/1 T_37.101, 6;
%cmpi/u 8, 17, 8;
6888,31 → 6888,31
%jmp/1 T_37.104, 6;
%movi 8, 15, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.106;
T_37.101 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC430_0, 0, 1;
%assign/v0 v00A0C430_0, 0, 1;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.106;
T_37.102 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC488_0, 0, 1;
%assign/v0 v00A0C488_0, 0, 1;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.106;
T_37.103 ;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.106;
T_37.104 ;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.106;
T_37.106 ;
%jmp T_37.90;
6919,30 → 6919,30
T_37.50 ;
%movi 8, 13, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CACBF0_0, 16;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.90;
T_37.51 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 14, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.52 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC4E0_0, 0, 8;
%assign/v0 v00A0C4E0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 1;
%assign/v0 v00A0BF60_0, 0, 1;
%movi 8, 16, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.53 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.107, 6;
%cmpi/u 8, 1, 3;
6961,7 → 6961,7
%jmp/1 T_37.114, 6;
%jmp T_37.115;
T_37.107 ;
%load/v 8, v00CAC3D8_0, 8;
%load/v 8, v00A0C3D8_0, 8;
%movi 16, 19, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_37.116, 4;
6983,67 → 6983,67
%jmp/1 T_37.120, 4;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.122;
T_37.116 ;
%movi 8, 38, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.122;
T_37.117 ;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 128, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 8;
%assign/v0 v00A0C5C0_0, 0, 8;
%jmp T_37.122;
T_37.118 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%jmp T_37.122;
T_37.119 ;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.122;
T_37.120 ;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%jmp T_37.122;
T_37.122 ;
%jmp T_37.115;
T_37.108 ;
%load/v 8, v00CAB618_0, 1;
%load/v 8, v00A0B618_0, 1;
%jmp/0xz T_37.123, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.124;
T_37.123 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.124 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.115;
T_37.109 ;
%load/v 8, v00CAC3D8_0, 8;
%load/v 8, v00A0C3D8_0, 8;
%cmpi/u 8, 61, 8;
%jmp/1 T_37.125, 6;
%cmpi/u 8, 63, 8;
7050,19 → 7050,19
%jmp/1 T_37.126, 6;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.128;
T_37.125 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC220_0, 0, 1;
%assign/v0 v00A0C220_0, 0, 1;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.128;
T_37.126 ;
%movi 8, 2, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.128;
T_37.128 ;
%jmp T_37.115;
7069,8 → 7069,8
T_37.110 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB988_0, 3;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.129, 6;
%cmpi/u 8, 5, 3;
7077,42 → 7077,42
%jmp/1 T_37.130, 6;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%load/v 8, v00CAB9E0_0, 4;
%assign/v0 v00A0C118_0, 0, 8;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.133, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.134;
T_37.133 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.134 ;
%load/v 8, v00CACAE8_0, 8;
%load/v 8, v00A0CAE8_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 8;
%assign/v0 v00A0BE00_0, 0, 8;
%jmp T_37.132;
T_37.129 ;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.132;
T_37.130 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.132;
T_37.132 ;
%jmp T_37.115;
7119,13 → 7119,13
T_37.111 ;
%movi 8, 22, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.115;
T_37.112 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB988_0, 3;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.135, 6;
%cmpi/u 8, 5, 3;
7132,39 → 7132,39
%jmp/1 T_37.136, 6;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%load/v 8, v00CAB9E0_0, 4;
%assign/v0 v00A0C118_0, 0, 8;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.139, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.140;
T_37.139 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.140 ;
%jmp T_37.138;
T_37.135 ;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.138;
T_37.136 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.138;
T_37.138 ;
%jmp T_37.115;
7171,41 → 7171,41
T_37.113 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB988_0, 3;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/0xz T_37.141, 4;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.142;
T_37.141 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.142 ;
%jmp T_37.115;
T_37.114 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB988_0, 3;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/0xz T_37.143, 4;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.144;
T_37.143 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.144 ;
%jmp T_37.115;
T_37.115 ;
%jmp T_37.90;
T_37.54 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.145, 6;
%cmpi/u 8, 1, 3;
7224,10 → 7224,10
T_37.145 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.152;
T_37.146 ;
%load/v 8, v00CAC3D8_0, 8;
%load/v 8, v00A0C3D8_0, 8;
%cmpi/u 8, 30, 8;
%jmp/1 T_37.153, 6;
%cmpi/u 8, 31, 8;
7234,54 → 7234,54
%jmp/1 T_37.154, 6;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%load/v 8, v00CAB618_0, 1;
%assign/v0 v00A0CA38_0, 0, 8;
%load/v 8, v00A0B618_0, 1;
%jmp/0xz T_37.157, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.158;
T_37.157 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.158 ;
%jmp T_37.156;
T_37.153 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC828_0, 0, 1;
%assign/v0 v00A0C828_0, 0, 1;
%movi 8, 21, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.156;
T_37.154 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC930_0, 0, 1;
%assign/v0 v00A0C930_0, 0, 1;
%movi 8, 21, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.156;
T_37.156 ;
%jmp T_37.152;
T_37.147 ;
%load/v 8, v00CAC3D8_0, 8;
%load/v 8, v00A0C3D8_0, 8;
%cmpi/u 8, 63, 8;
%jmp/1 T_37.159, 6;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.161;
T_37.159 ;
%load/v 8, v00CAC430_0, 1;
%load/v 8, v00A0C430_0, 1;
%jmp/0xz T_37.162, 8;
%movi 8, 5, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.163;
T_37.162 ;
%movi 8, 6, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.163 ;
%jmp T_37.161;
T_37.161 ;
7289,103 → 7289,103
T_37.148 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%load/v 8, v00CAB9E0_0, 4;
%assign/v0 v00A0C118_0, 0, 8;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.164, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.165;
T_37.164 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.165 ;
%load/v 8, v00CACAE8_0, 8;
%load/v 8, v00A0CAE8_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 8;
%assign/v0 v00A0BE00_0, 0, 8;
%jmp T_37.152;
T_37.149 ;
%movi 8, 22, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.152;
T_37.150 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%load/v 8, v00CAB9E0_0, 4;
%assign/v0 v00A0C118_0, 0, 8;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.166, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.167;
T_37.166 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
T_37.167 ;
%jmp T_37.152;
T_37.151 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.152;
T_37.152 ;
%jmp T_37.90;
T_37.55 ;
%load/v 8, v00CAC220_0, 1;
%load/v 8, v00A0C220_0, 1;
%inv 8, 1;
%jmp/0xz T_37.168, 8;
%movi 8, 18, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC7D0_0, 0, 1;
%assign/v0 v00A0C7D0_0, 0, 1;
T_37.168 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC220_0, 0, 0;
%assign/v0 v00A0C220_0, 0, 0;
%jmp T_37.90;
T_37.56 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%load/v 8, v00CAC3D8_0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%load/v 8, v00A0C3D8_0, 8;
%movi 16, 60, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_37.170, 4;
%load/v 8, v00CAB6C8_0, 4;
%load/v 8, v00A0B6C8_0, 4;
%cmpi/u 8, 12, 4;
%jmp/1 T_37.173, 6;
%cmpi/u 8, 6, 4;
7392,24 → 7392,24
%jmp/1 T_37.174, 6;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB880_0, 1;
%load/v 9, v00CAB930_0, 3;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B880_0, 1;
%load/v 9, v00A0B930_0, 3;
%cmpi/u 9, 4, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC8D8_0, 0, 8;
%assign/v0 v00A0C8D8_0, 0, 8;
%jmp T_37.176;
T_37.173 ;
%movi 8, 62, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.176;
T_37.174 ;
%movi 8, 60, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.176;
T_37.176 ;
%jmp T_37.172;
7416,51 → 7416,51
T_37.170 ;
%movi 8, 19, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.172;
T_37.172 ;
%jmp T_37.90;
T_37.57 ;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 1;
%assign/v0 v00A0C5C0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC778_0, 0, 1;
%assign/v0 v00A0C778_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 20, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC9E0_0, 0, 8;
%assign/v0 v00A0C9E0_0, 0, 8;
%jmp T_37.90;
T_37.58 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 1;
%assign/v0 v00A0BE00_0, 0, 1;
%ix/load 0, 4, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00CABE58_0, 0, 1;
%load/v 8, v00CAC2D0_0, 1;
%assign/v0/x1 v00A0BE58_0, 0, 1;
%load/v 8, v00A0C2D0_0, 1;
%jmp/0xz T_37.177, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC6C8_0, 0, 0;
%assign/v0 v00A0C6C8_0, 0, 0;
%movi 8, 12, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00CABE58_0, 0, 8;
%assign/v0/x1 v00A0BE58_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.178;
T_37.177 ;
%load/v 8, v00CABEB0_0, 1;
%load/v 8, v00A0BEB0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.179, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.180;
T_37.179 ;
%mov 9, 2, 1;
7469,21 → 7469,21
%and 8, 9, 1;
%jmp/0xz T_37.181, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC618_0, 0, 0;
%assign/v0 v00A0C618_0, 0, 0;
%movi 8, 6, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00CABE58_0, 0, 8;
%assign/v0/x1 v00A0BE58_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.182;
T_37.181 ;
%load/v 8, v00CAC0C0_0, 1;
%load/v 8, v00A0C0C0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.183, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.184;
T_37.183 ;
%mov 9, 2, 1;
7492,42 → 7492,42
%and 8, 9, 1;
%jmp/0xz T_37.185, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC670_0, 0, 0;
%assign/v0 v00A0C670_0, 0, 0;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00CABE58_0, 0, 8;
%assign/v0/x1 v00A0BE58_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.185 ;
T_37.182 ;
T_37.178 ;
%jmp T_37.90;
T_37.59 ;
%load/v 8, v00CAC2D0_0, 1;
%load/v 8, v00A0C2D0_0, 1;
%jmp/0xz T_37.187, 8;
%load/v 8, v00CAC6C8_0, 3;
%load/v 8, v00A0C6C8_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.189, 4;
%movi 8, 1, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.190;
T_37.189 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC6C8_0, 0, 0;
%assign/v0 v00A0C6C8_0, 0, 0;
T_37.190 ;
%jmp T_37.188;
T_37.187 ;
%load/v 8, v00CABEB0_0, 1;
%load/v 8, v00A0BEB0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.191, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.192;
T_37.191 ;
%mov 9, 2, 1;
7535,27 → 7535,27
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%jmp/0xz T_37.193, 8;
%load/v 8, v00CAC618_0, 3;
%load/v 8, v00A0C618_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.195, 4;
%movi 8, 4, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.196;
T_37.195 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC618_0, 0, 0;
%assign/v0 v00A0C618_0, 0, 0;
T_37.196 ;
%jmp T_37.194;
T_37.193 ;
%load/v 8, v00CAC0C0_0, 1;
%load/v 8, v00A0C0C0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.197, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.198;
T_37.197 ;
%mov 9, 2, 1;
7563,28 → 7563,28
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%jmp/0xz T_37.199, 8;
%load/v 8, v00CAC670_0, 3;
%load/v 8, v00A0C670_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.201, 4;
%movi 8, 3, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.202;
T_37.201 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00CAC670_0, 0, 0;
%assign/v0 v00A0C670_0, 0, 0;
T_37.202 ;
%jmp T_37.200;
T_37.199 ;
%movi 8, 10, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CACBF0_0, 16;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
T_37.200 ;
T_37.194 ;
T_37.188 ;
7592,93 → 7592,93
T_37.60 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.61 ;
%load/v 8, v00CACBF0_0, 16;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%movi 8, 23, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 1;
%assign/v0 v00A0BF60_0, 0, 1;
%jmp T_37.90;
T_37.62 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 24, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.63 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC010_0, 0, 8;
%assign/v0 v00A0C010_0, 0, 8;
%movi 8, 25, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.64 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC068_0, 0, 0;
%load/v 8, v00CAB828_0, 1;
%assign/v0 v00A0C068_0, 0, 0;
%load/v 8, v00A0B828_0, 1;
%jmp/0xz T_37.203, 8;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 26, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.204;
T_37.203 ;
%load/v 8, v00CAB7D0_0, 1;
%load/v 8, v00A0B7D0_0, 1;
%jmp/0xz T_37.205, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 26, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.206;
T_37.205 ;
%load/v 8, v00CAB988_0, 3;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/0xz T_37.207, 4;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.208;
T_37.207 ;
%load/v 8, v00CAB9E0_0, 4;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.209, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%assign/v0 v00A0C118_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB720_0, 1;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B720_0, 1;
%jmp/0xz T_37.211, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
T_37.211 ;
%jmp T_37.210;
T_37.209 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.210 ;
T_37.208 ;
T_37.206 ;
7685,43 → 7685,43
T_37.204 ;
%jmp T_37.90;
T_37.65 ;
%load/v 8, v00CAB988_0, 3;
%load/v 8, v00A0B988_0, 3;
%cmpi/u 8, 4, 3;
%jmp/0xz T_37.213, 4;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.214;
T_37.213 ;
%load/v 8, v00CAB9E0_0, 4;
%load/v 8, v00A0B9E0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00CAB9E0_0, 4;
%load/v 9, v00A0B9E0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00CAB8D8_0, 4;
%load/v 9, v00A0B8D8_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.215, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%assign/v0 v00A0C118_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%load/v 8, v00CAB720_0, 1;
%assign/v0 v00A0CCF8_0, 0, 8;
%load/v 8, v00A0B720_0, 1;
%jmp/0xz T_37.217, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 1;
%assign/v0 v00A0BF08_0, 0, 1;
T_37.217 ;
%jmp T_37.216;
T_37.215 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.216 ;
T_37.214 ;
%jmp T_37.90;
7728,40 → 7728,40
T_37.66 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.67 ;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%movi 8, 34, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%jmp T_37.90;
T_37.68 ;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACA38_0, 0, 8;
%load/v 8, v00CAC5C0_0, 8;
%assign/v0 v00A0CA38_0, 0, 8;
%load/v 8, v00A0C5C0_0, 8;
%mov 16, 0, 1;
%cmp/u 0, 8, 9;
%jmp/0xz T_37.219, 5;
%movi 8, 34, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.220;
T_37.219 ;
%load/v 8, v00CAC9E0_0, 6;
%load/v 8, v00A0C9E0_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.220 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.221, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.222;
T_37.221 ;
%mov 8, 2, 1;
7770,16 → 7770,16
%jmp/0xz T_37.223, 8;
%ix/load 0, 1, 0;
%ix/load 1, 7, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.224;
T_37.223 ;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.225, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.226;
T_37.225 ;
%mov 8, 2, 1;
7788,8 → 7788,8
%jmp/0xz T_37.227, 8;
%ix/load 0, 1, 0;
%ix/load 1, 6, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%load/v 8, v00CABAE8_0, 1;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%load/v 8, v00A0BAE8_0, 1;
%jmp/0 T_37.229, 8;
%movi 9, 3, 4;
%jmp/1 T_37.231, 8;
7803,13 → 7803,13
%mov 9, 13, 4; Return false value
T_37.231 ;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 9;
%assign/v0 v00A0C538_0, 0, 9;
%jmp T_37.228;
T_37.227 ;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.232, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.233;
T_37.232 ;
%mov 8, 2, 1;
7818,16 → 7818,16
%jmp/0xz T_37.234, 8;
%ix/load 0, 1, 0;
%ix/load 1, 5, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 2, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.235;
T_37.234 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_37.236, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.237;
T_37.236 ;
%mov 8, 2, 1;
7836,16 → 7836,16
%jmp/0xz T_37.238, 8;
%ix/load 0, 1, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 1, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.239;
T_37.238 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_37.240, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.241;
T_37.240 ;
%mov 8, 2, 1;
7854,16 → 7854,16
%jmp/0xz T_37.242, 8;
%ix/load 0, 1, 0;
%ix/load 1, 3, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 11, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.243;
T_37.242 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.244, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.245;
T_37.244 ;
%mov 8, 2, 1;
7872,16 → 7872,16
%jmp/0xz T_37.246, 8;
%ix/load 0, 1, 0;
%ix/load 1, 2, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 9, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.247;
T_37.246 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_37.248, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.249;
T_37.248 ;
%mov 8, 2, 1;
7890,21 → 7890,21
%jmp/0xz T_37.250, 8;
%ix/load 0, 1, 0;
%ix/load 1, 1, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%jmp T_37.251;
T_37.250 ;
%load/v 8, v00CAC5C0_0, 1; Only need 1 of 8 bits
%load/v 8, v00A0C5C0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.252, 8;
%ix/load 0, 1, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 10, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
T_37.252 ;
T_37.251 ;
T_37.247 ;
7915,43 → 7915,43
T_37.224 ;
%jmp T_37.90;
T_37.69 ;
%load/v 8, v00CAC5C0_0, 8;
%load/v 8, v00A0C5C0_0, 8;
%cmpi/u 8, 0, 8;
%inv 4, 1;
%jmp/0xz T_37.254, 4;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00CAC118_0, 0, 8;
%assign/v0 v00A0C118_0, 0, 8;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CAC988_0, 0, 8;
%assign/v0 v00A0C988_0, 0, 8;
%jmp T_37.255;
T_37.254 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.255 ;
%load/v 8, v00CAC5C0_0, 1; Only need 1 of 8 bits
%load/v 8, v00A0C5C0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.256, 8;
%movi 8, 10, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.257;
T_37.256 ;
%load/v 8, v00CAC3D8_0, 8;
%load/v 8, v00A0C3D8_0, 8;
%cmpi/u 8, 59, 8;
%mov 8, 4, 1;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.258, 4;
%load/x1p 9, v00CACA90_0, 1;
%load/x1p 9, v00A0CA90_0, 1;
%jmp T_37.259;
T_37.258 ;
%mov 9, 2, 1;
7962,18 → 7962,18
%jmp/0xz T_37.260, 8;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 0;
%assign/v0 v00A0C5C0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.261;
T_37.260 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_37.262, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.263;
T_37.262 ;
%mov 8, 2, 1;
7982,19 → 7982,19
%jmp/0xz T_37.264, 8;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 1, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.265;
T_37.264 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.266, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.267;
T_37.266 ;
%mov 8, 2, 1;
8003,19 → 8003,19
%jmp/0xz T_37.268, 8;
%movi 8, 9, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 2, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.269;
T_37.268 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_37.270, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.271;
T_37.270 ;
%mov 8, 2, 1;
8024,19 → 8024,19
%jmp/0xz T_37.272, 8;
%movi 8, 11, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 3, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.273;
T_37.272 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_37.274, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.275;
T_37.274 ;
%mov 8, 2, 1;
8045,19 → 8045,19
%jmp/0xz T_37.276, 8;
%movi 8, 1, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.277;
T_37.276 ;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.278, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.279;
T_37.278 ;
%mov 8, 2, 1;
8066,19 → 8066,19
%jmp/0xz T_37.280, 8;
%movi 8, 2, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 5, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.281;
T_37.280 ;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.282, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.283;
T_37.282 ;
%mov 8, 2, 1;
8085,7 → 8085,7
T_37.283 ;
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.284, 8;
%load/v 8, v00CABAE8_0, 1;
%load/v 8, v00A0BAE8_0, 1;
%jmp/0 T_37.286, 8;
%movi 9, 3, 4;
%jmp/1 T_37.288, 8;
8099,19 → 8099,19
%mov 9, 13, 4; Return false value
T_37.288 ;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 9;
%assign/v0 v00A0C538_0, 0, 9;
%ix/load 0, 1, 0;
%ix/load 1, 6, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.285;
T_37.284 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.289, 4;
%load/x1p 8, v00CAC5C0_0, 1;
%load/x1p 8, v00A0C5C0_0, 1;
%jmp T_37.290;
T_37.289 ;
%mov 8, 2, 1;
8120,13 → 8120,13
%jmp/0xz T_37.291, 8;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00CAC538_0, 0, 8;
%assign/v0 v00A0C538_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 7, 0;
%assign/v0/x1 v00CAC5C0_0, 0, 0;
%assign/v0/x1 v00A0C5C0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.291 ;
T_37.285 ;
T_37.281 ;
8138,55 → 8138,55
T_37.257 ;
%jmp T_37.90;
T_37.70 ;
%load/v 8, v00CACB98_0, 8; Only need 8 of 16 bits
%load/v 8, v00A0CB98_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00CABCA0_0, 0, 8;
%assign/v0 v00A0BCA0_0, 0, 8;
%movi 8, 35, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABD50_0, 0, 1;
%load/v 8, v00CACCA0_0, 16;
%assign/v0 v00A0BD50_0, 0, 1;
%load/v 8, v00A0CCA0_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABDA8_0, 0, 1;
%assign/v0 v00A0BDA8_0, 0, 1;
%jmp T_37.90;
T_37.71 ;
%load/v 8, v00CAC538_0, 4;
%load/v 8, v00A0C538_0, 4;
%cmpi/u 8, 8, 4;
%jmp/0xz T_37.293, 5;
%movi 8, 36, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.294;
T_37.293 ;
%load/v 8, v00CAC5C0_0, 4; Select 4 out of 8 bits
%load/v 8, v00A0C5C0_0, 4; Select 4 out of 8 bits
%mov 12, 0, 1;
%cmp/u 0, 8, 5;
%jmp/0xz T_37.295, 5;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.296;
T_37.295 ;
%load/v 8, v00CAC9E0_0, 6;
%load/v 8, v00A0C9E0_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.296 ;
T_37.294 ;
%load/v 8, v00CABC48_0, 16;
%load/v 8, v00A0BC48_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.90;
T_37.72 ;
%ix/load 1, 8, 0;
%mov 4, 0, 1;
%jmp/1 T_37.297, 4;
%load/x1p 8, v00CACB98_0, 8;
%load/x1p 8, v00A0CB98_0, 8;
%jmp T_37.298;
T_37.297 ;
%mov 8, 2, 8;
8193,55 → 8193,55
T_37.298 ;
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00CABCA0_0, 0, 8;
%assign/v0 v00A0BCA0_0, 0, 8;
%movi 8, 37, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABD50_0, 0, 1;
%assign/v0 v00A0BD50_0, 0, 1;
%movi 8, 8, 4;
%load/v 12, v00CAC538_0, 4;
%load/v 12, v00A0C538_0, 4;
%cmp/u 8, 12, 4;
%or 5, 4, 1;
%jmp/0xz T_37.299, 5;
%load/v 8, v00CACCA0_0, 16;
%load/v 8, v00A0CCA0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
T_37.299 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABDA8_0, 0, 1;
%assign/v0 v00A0BDA8_0, 0, 1;
%jmp T_37.90;
T_37.73 ;
%load/v 8, v00CACA38_0, 6;
%load/v 8, v00A0CA38_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 27, 9;
%jmp/0xz T_37.301, 4;
%ix/load 0, 1, 0;
%assign/v0 v00CAC880_0, 0, 1;
%assign/v0 v00A0C880_0, 0, 1;
T_37.301 ;
%load/v 8, v00CACA38_0, 6;
%load/v 8, v00A0CA38_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.74 ;
%load/v 8, v00CACBF0_0, 16;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%movi 8, 49, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 1;
%assign/v0 v00A0BF60_0, 0, 1;
%jmp T_37.90;
T_37.75 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 50, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.76 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 7, 3;
%jmp/1 T_37.303, 6;
%cmpi/u 8, 1, 3;
8252,49 → 8252,49
%jmp/1 T_37.306, 6;
%jmp T_37.307;
T_37.303 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC170_0, 0, 8;
%assign/v0 v00A0C170_0, 0, 8;
%jmp T_37.307;
T_37.304 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC170_0, 0, 8;
%assign/v0 v00A0C170_0, 0, 8;
%jmp T_37.307;
T_37.305 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 8;
%assign/v0 v00A0BE00_0, 0, 8;
%jmp T_37.307;
T_37.306 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC328_0, 0, 8;
%assign/v0 v00A0C328_0, 0, 8;
%jmp T_37.307;
T_37.307 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.77 ;
%load/v 8, v00CACBF0_0, 16;
%load/v 8, v00A0CBF0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%movi 8, 52, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABF60_0, 0, 1;
%assign/v0 v00A0BF60_0, 0, 1;
%jmp T_37.90;
T_37.78 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 53, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.79 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.308, 6;
%cmpi/u 8, 6, 3;
8311,92 → 8311,92
%jmp/1 T_37.314, 6;
%jmp T_37.315;
T_37.308 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC5C0_0, 0, 8;
%assign/v0 v00A0C5C0_0, 0, 8;
%jmp T_37.315;
T_37.309 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC1C8_0, 0, 8;
%assign/v0 v00A0C1C8_0, 0, 8;
%jmp T_37.315;
T_37.310 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC1C8_0, 0, 8;
%assign/v0 v00A0C1C8_0, 0, 8;
%jmp T_37.315;
T_37.311 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC1C8_0, 0, 8;
%assign/v0 v00A0C1C8_0, 0, 8;
%jmp T_37.315;
T_37.312 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%jmp T_37.315;
T_37.313 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%jmp T_37.315;
T_37.314 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC380_0, 0, 8;
%assign/v0 v00A0C380_0, 0, 8;
%jmp T_37.315;
T_37.315 ;
%load/v 8, v00CACA38_0, 6;
%load/v 8, v00A0CA38_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 27, 9;
%mov 8, 4, 1;
%load/v 9, v00CAB670_0, 1;
%load/v 9, v00A0B670_0, 1;
%and 8, 9, 1;
%jmp/0xz T_37.316, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC880_0, 0, 1;
%assign/v0 v00A0C880_0, 0, 1;
T_37.316 ;
%load/v 8, v00CACA38_0, 6;
%load/v 8, v00A0CA38_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.80 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.318, 6;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.319, 6;
%load/v 8, v00CABE58_0, 8;
%load/v 16, v00CABE00_0, 8;
%load/v 8, v00A0BE58_0, 8;
%load/v 16, v00A0BE00_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.321;
T_37.318 ;
%load/v 8, v00CACCA0_0, 16;
%load/v 8, v00A0CCA0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABFB8_0, 0, 1;
%assign/v0 v00A0BFB8_0, 0, 1;
%jmp T_37.321;
T_37.319 ;
%load/v 8, v00CAC068_0, 1;
%load/v 8, v00A0C068_0, 1;
%jmp/0xz T_37.322, 8;
%load/v 8, v00CAC1C8_0, 8;
%load/v 16, v00CAC170_0, 8;
%load/v 8, v00A0C1C8_0, 8;
%load/v 16, v00A0C170_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.323;
T_37.322 ;
%load/v 8, v00CACB40_0, 16;
%load/v 8, v00A0CB40_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
T_37.323 ;
%jmp T_37.321;
T_37.321 ;
%load/v 8, v00CABF08_0, 1;
%load/v 9, v00CABA90_0, 1;
%load/v 8, v00A0BF08_0, 1;
%load/v 9, v00A0BA90_0, 1;
%or 8, 9, 1;
%load/v 9, v00CAC538_0, 4;
%load/v 9, v00A0C538_0, 4;
%cmpi/u 9, 8, 4;
%mov 9, 5, 1;
%or 8, 9, 1;
8403,25 → 8403,25
%jmp/0xz T_37.324, 8;
%movi 8, 55, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.325;
T_37.324 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
T_37.325 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABF08_0, 0, 0;
%assign/v0 v00A0BF08_0, 0, 0;
%jmp T_37.90;
T_37.81 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 56, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.82 ;
%load/v 8, v00CAC118_0, 2;
%load/v 8, v00A0C118_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_37.326, 6;
%cmpi/u 8, 1, 2;
8430,64 → 8430,64
%jmp/1 T_37.328, 6;
%jmp T_37.329;
T_37.326 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC170_0, 0, 8;
%assign/v0 v00A0C170_0, 0, 8;
%jmp T_37.329;
T_37.327 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC170_0, 0, 8;
%assign/v0 v00A0C170_0, 0, 8;
%jmp T_37.329;
T_37.328 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE00_0, 0, 8;
%assign/v0 v00A0BE00_0, 0, 8;
%jmp T_37.329;
T_37.329 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v00CABC48_0, 16;
%load/vp0 8, v00A0BC48_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%load/v 8, v00CAB930_0, 3;
%assign/v0 v00A0BC48_0, 0, 8;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.330, 6;
%jmp T_37.331;
T_37.330 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABFB8_0, 0, 1;
%assign/v0 v00A0BFB8_0, 0, 1;
%jmp T_37.331;
T_37.331 ;
%jmp T_37.90;
T_37.83 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.332, 6;
%jmp T_37.333;
T_37.332 ;
%load/v 8, v00CACCA0_0, 16;
%load/v 8, v00A0CCA0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABFB8_0, 0, 1;
%assign/v0 v00A0BFB8_0, 0, 1;
%jmp T_37.333;
T_37.333 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.84 ;
%ix/load 0, 1, 0;
%assign/v0 v00CABCF8_0, 0, 1;
%assign/v0 v00A0BCF8_0, 0, 1;
%movi 8, 59, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.85 ;
%load/v 8, v00CAC118_0, 2;
%load/v 8, v00A0C118_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_37.334, 6;
%cmpi/u 8, 1, 2;
8496,22 → 8496,22
%jmp/1 T_37.336, 6;
%jmp T_37.337;
T_37.334 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC1C8_0, 0, 8;
%assign/v0 v00A0C1C8_0, 0, 8;
%jmp T_37.337;
T_37.335 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CAC1C8_0, 0, 8;
%assign/v0 v00A0C1C8_0, 0, 8;
%jmp T_37.337;
T_37.336 ;
%load/v 8, v00CAAF08_0, 8;
%load/v 8, v00A0AF08_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00CABE58_0, 0, 8;
%assign/v0 v00A0BE58_0, 0, 8;
%jmp T_37.337;
T_37.337 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.338, 6;
%cmpi/u 8, 2, 3;
8519,66 → 8519,66
%jmp T_37.340;
T_37.338 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC7D0_0, 0, 1;
%assign/v0 v00A0C7D0_0, 0, 1;
%jmp T_37.340;
T_37.339 ;
%ix/load 0, 1, 0;
%assign/v0 v00CAC7D0_0, 0, 1;
%assign/v0 v00A0C7D0_0, 0, 1;
%jmp T_37.340;
T_37.340 ;
%load/v 8, v00CAC988_0, 6;
%load/v 8, v00A0C988_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 8, 9;
%jmp/0xz T_37.341, 4;
%ix/load 0, 1, 0;
%assign/v0 v00CAC880_0, 0, 1;
%assign/v0 v00A0C880_0, 0, 1;
T_37.341 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.343, 6;
%load/v 8, v00CAC988_0, 6;
%load/v 8, v00A0C988_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.345;
T_37.343 ;
%load/v 8, v00CAC068_0, 1;
%load/v 9, v00CAB720_0, 1;
%load/v 8, v00A0C068_0, 1;
%load/v 9, v00A0B720_0, 1;
%inv 9, 1;
%or 8, 9, 1;
%jmp/0xz T_37.346, 8;
%load/v 8, v00CAC988_0, 6;
%load/v 8, v00A0C988_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.347;
T_37.346 ;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CAC068_0, 0, 1;
%assign/v0 v00A0C068_0, 0, 1;
T_37.347 ;
%jmp T_37.345;
T_37.345 ;
%jmp T_37.90;
T_37.86 ;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.348, 6;
%load/v 8, v00CABE58_0, 8;
%load/v 16, v00CABE00_0, 8;
%load/v 8, v00A0BE58_0, 8;
%load/v 16, v00A0BE00_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.350;
T_37.348 ;
%load/v 8, v00CACB40_0, 16;
%load/v 8, v00A0CB40_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.350;
T_37.350 ;
%ix/load 1, 8, 0;
%mov 4, 0, 1;
%jmp/1 T_37.351, 4;
%load/x1p 8, v00CAB328_0, 8;
%load/x1p 8, v00A0B328_0, 8;
%jmp T_37.352;
T_37.351 ;
%mov 8, 2, 8;
8585,131 → 8585,131
T_37.352 ;
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00CABCA0_0, 0, 8;
%assign/v0 v00A0BCA0_0, 0, 8;
%movi 8, 61, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00CABD50_0, 0, 1;
%assign/v0 v00A0BD50_0, 0, 1;
%jmp T_37.90;
T_37.87 ;
%movi 8, 62, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v00CABC48_0, 16;
%load/vp0 8, v00A0BC48_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.90;
T_37.88 ;
%load/v 8, v00CAB618_0, 1;
%load/v 8, v00A0B618_0, 1;
%inv 8, 1;
%jmp/0xz T_37.353, 8;
%load/v 8, v00CAB930_0, 3;
%load/v 8, v00A0B930_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.355, 6;
%load/v 8, v00CABE58_0, 8;
%load/v 16, v00CABE00_0, 8;
%load/v 8, v00A0BE58_0, 8;
%load/v 16, v00A0BE00_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.357;
T_37.355 ;
%load/v 8, v00CACB40_0, 16;
%load/v 8, v00A0CB40_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00CABC48_0, 0, 8;
%assign/v0 v00A0BC48_0, 0, 8;
%jmp T_37.357;
T_37.357 ;
T_37.353 ;
%load/v 8, v00CAB328_0, 8; Only need 8 of 16 bits
%load/v 8, v00A0B328_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00CABCA0_0, 0, 8;
%assign/v0 v00A0BCA0_0, 0, 8;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 1;
%assign/v0 v00A0CCF8_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CABD50_0, 0, 1;
%assign/v0 v00A0BD50_0, 0, 1;
%jmp T_37.90;
T_37.89 ;
%load/v 8, v00CAB880_0, 1;
%load/v 8, v00A0B880_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00CAC8D8_0, 0, 8;
%load/v 8, v00CAC988_0, 6;
%assign/v0 v00A0C8D8_0, 0, 8;
%load/v 8, v00A0C988_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00CACCF8_0, 0, 8;
%assign/v0 v00A0CCF8_0, 0, 8;
%jmp T_37.90;
T_37.90 ;
T_37.1 ;
%jmp T_37;
.thread T_37;
.scope S_00AEA660;
.scope S_0084A660;
T_38 ;
%set/v v00CABCF8_0, 0, 1;
%set/v v00CABD50_0, 0, 1;
%set/v v00CAC118_0, 0, 2;
%set/v v00CAC278_0, 1, 16;
%set/v v00CAC930_0, 0, 1;
%set/v v00CAC828_0, 0, 1;
%set/v v00CAC220_0, 0, 1;
%set/v v00CAC7D0_0, 0, 1;
%set/v v00CAC068_0, 0, 1;
%set/v v00A0BCF8_0, 0, 1;
%set/v v00A0BD50_0, 0, 1;
%set/v v00A0C118_0, 0, 2;
%set/v v00A0C278_0, 1, 16;
%set/v v00A0C930_0, 0, 1;
%set/v v00A0C828_0, 0, 1;
%set/v v00A0C220_0, 0, 1;
%set/v v00A0C7D0_0, 0, 1;
%set/v v00A0C068_0, 0, 1;
%end;
.thread T_38;
.scope S_00AEA6E8;
.scope S_0084A6E8;
T_39 ;
%wait E_00AC1510;
%ix/getv 3, v00AF0608_0;
%load/av 8, v00AF0768, 8;
%wait E_00821510;
%ix/getv 3, v00850608_0;
%load/av 8, v00850768, 8;
%ix/load 0, 8, 0;
%assign/v0 v00AF0710_0, 0, 8;
%assign/v0 v00850710_0, 0, 8;
%jmp T_39;
.thread T_39;
.scope S_00AEA6E8;
.scope S_0084A6E8;
T_40 ;
%wait E_00AC0FF0;
%load/v 8, v00AF0660_0, 8;
%ix/getv 3, v00AF0608_0;
%wait E_00820FF0;
%load/v 8, v00850660_0, 8;
%ix/getv 3, v00850608_0;
%jmp/1 t_0, 4;
%ix/load 0, 8, 0; word width
%ix/load 1, 0, 0; part off
%assign/av v00AF0768, 0, 8;
%assign/av v00850768, 0, 8;
t_0 ;
%vpi_call 2 70 "$display", "W %04x = %02x %t", v00AF0608_0, v00AF0660_0, $time;
%vpi_call 2 70 "$display", "W %04x = %02x %t", v00850608_0, v00850660_0, $time;
%jmp T_40;
.thread T_40;
.scope S_00AEA6E8;
.scope S_0084A6E8;
T_41 ;
%wait E_00AC1510;
%vpi_call 2 75 "$display", "R %04x = %02x %t", v00AF0608_0, &A<v00AF0768, v00AF0608_0 >, $time;
%wait E_00821510;
%vpi_call 2 75 "$display", "R %04x = %02x %t", v00850608_0, &A<v00850768, v00850608_0 >, $time;
%jmp T_41;
.thread T_41;
.scope S_00AEA6E8;
.scope S_0084A6E8;
T_42 ;
%vpi_call 2 82 "$readmemh", "test09.hex", v00AF0768;
%vpi_call 2 82 "$readmemh", "test09.hex", v00850768;
%vpi_call 2 83 "$display", "instructions_test.hex read";
%ix/load 1, 0, 0;
%ix/load 3, 65534, 0;
%set/av v00AF0768, 0, 8;
%set/av v00850768, 0, 8;
%ix/load 1, 0, 0;
%ix/load 3, 65535, 0;
%set/av v00AF0768, 0, 8;
%set/av v00850768, 0, 8;
%end;
.thread T_42;
.scope S_00AEAC38;
.scope S_0084AC38;
T_43 ;
%delay 5, 0;
%load/v 8, v00CACE00_0, 1;
%load/v 8, v00A0CE00_0, 1;
%inv 8, 1;
%set/v v00CACE00_0, 8, 1;
%set/v v00A0CE00_0, 8, 1;
%jmp T_43;
.thread T_43;
.scope S_00AEAC38;
.scope S_0084AC38;
T_44 ;
%vpi_call 2 34 "$dumpvars";
%set/v v00CACE00_0, 0, 1;
%set/v v00CACFB8_0, 1, 1;
%set/v v00A0CE00_0, 0, 1;
%set/v v00A0CFB8_0, 1, 1;
%delay 0, 0;
%delay 46, 0;
%set/v v00CACFB8_0, 0, 1;
%set/v v00A0CFB8_0, 0, 1;
%delay 111500, 0;
%vpi_call 2 41 "$finish";
%end;
/trunk/syn/lattice/P68091.sty
9,6 → 9,7
<Property name="PROP_BD_ParSearchPath" value="" time="0"/>
<Property name="PROP_BIT_AddressBitGen" value="Increment" time="0"/>
<Property name="PROP_BIT_AllowReadBitGen" value="Disable" time="0"/>
<Property name="PROP_BIT_ByteWideBitMirror" value="Disable" time="0"/>
<Property name="PROP_BIT_CapReadBitGen" value="Disable" time="0"/>
<Property name="PROP_BIT_ConModBitGen" value="Disable" time="0"/>
<Property name="PROP_BIT_CreateBitFile" value="True" time="0"/>
67,6 → 68,7
<Property name="PROP_LST_ResolvedMixedDrivers" value="False" time="0"/>
<Property name="PROP_LST_ResourceShare" value="True" time="0"/>
<Property name="PROP_LST_UseIOReg" value="True" time="0"/>
<Property name="PROP_LST_VHDL2008" value="False" time="0"/>
<Property name="PROP_MAPSTA_AnalysisOption" value="Standard Setup and Hold Analysis" time="0"/>
<Property name="PROP_MAPSTA_AutoTiming" value="True" time="0"/>
<Property name="PROP_MAPSTA_CheckUnconstrainedConns" value="False" time="0"/>
/trunk/syn/lattice/P6809/hdla_gen_hierarchy.html
18,16 → 18,16
(VERI-1482) Analyzing Verilog file C:/02_Elektronik/020_V6809/trunk/syn/lattice/textmem4k.v
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/CC3_top.v(10,8-10,15) (VERI-1018) compiling module CC3_top
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/CC3_top.v(10,1-175,10) (VERI-9000) elaborating module 'CC3_top'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/MC6809_cpu.v(10,1-1115,10) (VERI-9000) elaborating module 'MC6809_cpu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/MC6809_cpu.v(10,1-1137,10) (VERI-9000) elaborating module 'MC6809_cpu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/bios2k.v(8,1-171,10) (VERI-9000) elaborating module 'bios2k_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/vgatext.v(2,1-192,10) (VERI-9000) elaborating module 'vgatext_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(15,1-57,10) (VERI-9000) elaborating module 'alu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(15,1-61,10) (VERI-9000) elaborating module 'alu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/regblock.v(7,1-188,10) (VERI-9000) elaborating module 'regblock_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(9,1-144,10) (VERI-9000) elaborating module 'decode_regs_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(147,1-270,10) (VERI-9000) elaborating module 'decode_op_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(275,1-299,10) (VERI-9000) elaborating module 'decode_ea_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(301,1-372,10) (VERI-9000) elaborating module 'decode_alu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(374,1-410,10) (VERI-9000) elaborating module 'test_condition_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(9,1-152,10) (VERI-9000) elaborating module 'decode_regs_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(155,1-281,10) (VERI-9000) elaborating module 'decode_op_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(286,1-310,10) (VERI-9000) elaborating module 'decode_ea_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(312,1-383,10) (VERI-9000) elaborating module 'decode_alu_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/decoders.v(385,1-422,10) (VERI-9000) elaborating module 'test_condition_uniq_1'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1291,1-1358,10) (VERI-9000) elaborating module 'DP8KC_uniq_1'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1291,1-1358,10) (VERI-9000) elaborating module 'DP8KC_uniq_2'
34,8 → 34,9
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/fontrom.v(8,1-295,10) (VERI-9000) elaborating module 'fontrom_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/textmem4k.v(8,1-305,10) (VERI-9000) elaborating module 'textmem4k_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(198,1-323,10) (VERI-9000) elaborating module 'alu8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(326,1-602,10) (VERI-9000) elaborating module 'alu16_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(604,1-628,10) (VERI-9000) elaborating module 'mul8x8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(202,1-326,10) (VERI-9000) elaborating module 'alu8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(329,1-602,10) (VERI-9000) elaborating module 'alu16_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/regblock.v(191,1-285,10) (VERI-9000) elaborating module 'calc_ea_uniq_1'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_2'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_3'
49,11 → 50,10
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1291,1-1358,10) (VERI-9000) elaborating module 'DP8KC_uniq_10'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_2'
INFO - C:/lscc/diamond/3.1_x64/cae_library/synthesis/verilog/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_3'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(62,1-79,10) (VERI-9000) elaborating module 'logic8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(85,1-123,10) (VERI-9000) elaborating module 'arith8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(158,1-195,10) (VERI-9000) elaborating module 'shift8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(604,1-628,10) (VERI-9000) elaborating module 'mul8x8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(129,1-156,10) (VERI-9000) elaborating module 'arith16_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(66,1-83,10) (VERI-9000) elaborating module 'logic8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(89,1-127,10) (VERI-9000) elaborating module 'arith8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(162,1-199,10) (VERI-9000) elaborating module 'shift8_uniq_1'
INFO - C:/02_Elektronik/020_V6809/trunk/syn/lattice/../../rtl/verilog/alu16.v(133,1-160,10) (VERI-9000) elaborating module 'arith16_uniq_1'
Done: design load finished with (0) errors, and (0) warnings
 
</PRE></BODY></HTML>
/trunk/syn/lattice/P6809/P6809_P6809_summary.html
146,7 → 146,7
</TR>
<TR>
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Updated:</SPAN></TD>
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2014/07/06 07:47:16</SPAN></TD>
<TD align='left' BGCOLOR='#FFFFFF' COLSPAN='3'><SPAN style="COLOR: #000000">2014/07/06 13:38:36</SPAN></TD>
</TR>
<TR>
<TD align='left' BGCOLOR='#DEE8F4' COLSPAN='1'><SPAN style="COLOR: #000000">Implementation Location:</SPAN></TD>
/trunk/syn/lattice/CC3_top.v
155,7 → 155,7
.QB()
);
 
 
/* 80x38 VGA Controller, using 40 MHz clock, 800x600@60 Hz timing */
vgatext textctrl(
.CLK(clk40_i),
.RESET(cpu_reset),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.