OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/perl_gui/lib/perl
    from Rev 22 to Rev 23
    Reverse comparison

Rev 22 → Rev 23

/mpsoc_gen.pl
795,7 → 795,7
if($router_type eq '"VC_BASED"'){
#VC number per port
my $v=$mpsoc->mpsoc_get_param('V');
$mpsoc->mpsoc_add_param('V',2) if($v eq 1);
if(defined $v){ $mpsoc->mpsoc_add_param('V',2) if($v eq 1);}
$label='VC number per port';
$param='V';
$default='2';
/soc_gen.pl
935,12 → 935,19
}
# Write main.c file if not exist
my $n="$target_dir/sw/main.c";
if (!(-f "$n")) {
# Write main.c
open(FILE, ">$n") || die "Can not open: $!";
print FILE main_c_template($name);
close(FILE) || die "Error closing file: $!";
}
message_dialog("SoC \"$name\" has been created successfully at $target_dir/ " );
exec($^X, $0, @ARGV);# reset ProNoC to apply changes
953,11 → 960,43
}
 
 
sub main_c_template{
my $hdr=shift;
my $text="
#include \"$hdr.h\"
 
 
// a simple delay function
void delay ( unsigned int num ){
while (num>0){
num--;
asm volatile (\"nop\");
}
return;
 
}
 
int main(){
while(1){
 
}
 
return 0;
}
 
";
 
return $text;
 
 
}
 
 
 
 
sub get_wb_address {
my ($soc,$instance_id,$addr,$width)=@_;
my ($base,$end);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.