OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/mpsoc/perl_gui/lib/interface/wb_master.ITC
12,29 → 12,29
'err_o' => {
'outport_type' => 'concatenate',
'connect_name' => 'err_i',
'name' => 'err_o',
'range' => '',
'connect_type' => 'input',
'range' => '',
'name' => 'err_o',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'output'
},
'stb_i' => {
'cyc_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'stb_o',
'connect_name' => 'cyc_o',
'name' => 'cyc_i',
'range' => '',
'connect_type' => 'output',
'range' => '',
'name' => 'stb_i',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'input'
},
'cyc_i' => {
'stb_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'cyc_o',
'connect_name' => 'stb_o',
'name' => 'stb_i',
'range' => '',
'connect_type' => 'output',
'range' => '',
'name' => 'cyc_i',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'input'
42,9 → 42,9
'dat_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'dat_o',
'name' => 'dat_i',
'range' => 'Dw-1:0',
'connect_type' => 'output',
'range' => 'Dw-1:0',
'name' => 'dat_i',
'connect_range' => 'Dw-1:0',
'default_out' => 'Active low',
'type' => 'input'
52,9 → 52,9
'ack_o' => {
'outport_type' => 'concatenate',
'connect_name' => 'ack_i',
'name' => 'ack_o',
'range' => '',
'connect_type' => 'input',
'range' => '',
'name' => 'ack_o',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'output'
62,19 → 62,29
'rty_o' => {
'outport_type' => 'concatenate',
'connect_name' => 'rty_i',
'name' => 'rty_o',
'range' => '',
'connect_type' => 'input',
'range' => '',
'name' => 'rty_o',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'output'
},
'tag_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'tag_o',
'name' => 'tag_i',
'range' => 'TAGw-1:0',
'connect_type' => 'output',
'connect_range' => 'TAGw-1:0',
'default_out' => 'Active low',
'type' => 'input'
},
'adr_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'adr_o',
'name' => 'adr_i',
'range' => 'Aw-1:0',
'connect_type' => 'output',
'range' => 'Aw-1:0',
'name' => 'adr_i',
'connect_range' => 'Aw-1:0',
'default_out' => 'Active low',
'type' => 'input'
82,29 → 92,19
'dat_o' => {
'outport_type' => 'concatenate',
'connect_name' => 'dat_i',
'name' => 'dat_o',
'range' => 'Dw-1:0',
'connect_type' => 'input',
'range' => 'Dw-1:0',
'name' => 'dat_o',
'connect_range' => 'Dw-1:0',
'default_out' => 'Active low',
'type' => 'output'
},
'tag_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'tag_o',
'connect_type' => 'output',
'range' => 'TAGw-1:0',
'name' => 'tag_i',
'connect_range' => 'TAGw-1:0',
'default_out' => 'Active low',
'type' => 'input'
},
'cti_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'cti_o',
'name' => 'cti_i',
'range' => 'CTIw-1:0',
'connect_type' => 'output',
'range' => 'CTIw-1:0',
'name' => 'cti_i',
'connect_range' => 'CTIw-1:0',
'default_out' => 'Active low',
'type' => 'input'
112,30 → 112,30
'we_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'we_o',
'name' => 'we_i',
'range' => '',
'connect_type' => 'output',
'range' => '',
'name' => 'we_i',
'connect_range' => '',
'default_out' => 'Active low',
'type' => 'input'
},
'sel_i' => {
'bte_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'sel_o',
'connect_name' => 'bte_o',
'name' => 'bte_i',
'range' => 'BTEw-1:0',
'connect_type' => 'output',
'range' => 'SELw-1:0',
'name' => 'sel_i',
'connect_range' => 'SELw-1:0',
'connect_range' => 'BTEw-1:0',
'default_out' => 'Active low',
'type' => 'input'
},
'bte_i' => {
'sel_i' => {
'outport_type' => 'concatenate',
'connect_name' => 'bte_o',
'connect_name' => 'sel_o',
'name' => 'sel_i',
'range' => 'SELw-1:0',
'connect_type' => 'output',
'range' => 'BTEw-1:0',
'name' => 'bte_i',
'connect_range' => 'BTEw-1:0',
'connect_range' => 'SELw-1:0',
'default_out' => 'Active low',
'type' => 'input'
}
/mpsoc/perl_gui/lib/mpsoc/mpsoc.MPSOC
1,1702 → 1,840
$mpsoc = bless( {
'socs' => {
'test' => {
'top' => bless( {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => ' 1',
'Altera_single_port_ram0_Aw' => '10',
'aeMB0_AEMB_MUL' => ' 1'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'lm32_tile' => {
'top' => bless( {
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => 12,
'led_PORT_WIDTH' => ' 1'
},
'ports' => {
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ss_clk_in' => {
'intfc_name' => 'plug:clk[0]',
'intfc_port' => 'clk_i',
'range' => '',
'type' => 'output'
'instance_name' => 'clk_source0',
'type' => 'input'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
'led_port_o' => {
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'type' => 'output'
},
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
}
},
'interface' => {
'IO' => {
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'type' => 'output'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_current_x' => {
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'tiles' => {
'1' => {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => '1',
'Altera_single_port_ram0_Aw' => 15,
'aeMB0_AEMB_MUL' => ' 1'
}
}
}
},
'0' => {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => '1',
'Altera_single_port_ram0_Aw' => 13,
'aeMB0_AEMB_MUL' => ' 1'
}
}
},
'instance_ids' => {
'gpi0' => {
'parameters' => {
'gpi_PORT_WIDTH' => {
'info' => 'Input port width ',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
'instance_ids' => {
'clk_source0' => {
'ports' => {
'ss_clk_in' => {
'intfc_name' => 'plug:clk[0]',
'intfc_port' => 'clk_i',
'range' => '',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'intfc_port' => 'reset_i',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'lm320' => {
'module_name' => 'lm32',
'category' => 'Processor',
'instance' => 'lm32',
'module' => 'lm32'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'type' => 'Spin-button',
'redefine_param' => 1
}
},
'ports' => {
'led_port_o' => {
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
},
'module_name' => 'gpi',
'category' => 'GPI',
'instance' => 'gpi',
'module' => 'gpi'
},
'aeMB0' => {
'parameters' => {
'aeMB0_AEMB_BSF' => {
'info' => '1: aeMB with hardware barrel shifter
0:aeMB without haedware barrel shifter',
'deafult' => ' 1',
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'ni0' => {
'parameters' => {
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'aeMB0_AEMB_MUL' => {
'info' => '1: aeMB with hardware multiplier
0: aeMB without harware multiplier',
'deafult' => ' 1',
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_ROUTE_TYPE' => {
'info' => undef,
'deafult' => '"DETERMINISTIC"',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_P' => {
'info' => undef,
'deafult' => ' 5',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
}
},
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
}
},
'ports' => {
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB0',
'module' => 'aeMB'
},
'clk_source0' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'clk_source0',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => ' "MESH"',
'global_param' => 1,
'content' => '"MESH", "TORUS"',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr',
'range' => '',
'type' => 'output'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => ' 1',
'global_param' => 1,
'content' => '0,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY" ',
'global_param' => 1,
'content' => ' "XY" ,"WEST_FIRST","NORTH_LAST" , "NEGETIVE_FIRST", "DUATO"',
'redefine_param' => 1,
'type' => 'Fixed'
}
'ni_credit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'Altera_single_port_ram0' => {
'parameters' => {
'Altera_single_port_ram0_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'Altera_single_port_ram0_Aw' => {
'info' => undef,
'deafult' => '10',
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' ),
'tile_nums' => [
0,
1,
2
]
},
'test1' => {
'top' => bless( {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => ' 1',
'Altera_single_port_ram0_Aw' => '10',
'aeMB0_AEMB_MUL' => ' 1'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'type' => 'Spin-button',
'redefine_param' => 1
},
'ram_Aw' => {
'info' => undef,
'deafult' => 12,
'global_param' => 1,
'content' => '4,31,1',
'type' => 'Spin-button',
'redefine_param' => 1
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' ),
'tile_nums' => [
2,
3
]
},
'aemb_tile' => {
'top' => bless( {
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => 12,
'led_PORT_WIDTH' => ' 1'
},
'ports' => {
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ss_clk_in' => {
'intfc_name' => 'plug:clk[0]',
'intfc_port' => 'clk_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'aeMB_sys_ena_i' => {
'intfc_name' => 'plug:enable[0]',
'intfc_port' => 'enable_i',
'range' => '',
'instance_name' => 'aeMB0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
'led_port_o' => {
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'IO' => {
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
'ni_credit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'plug:reset[0]' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
}
},
'instance_ids' => {
'gpi0' => {
'parameters' => {
'gpi_PORT_WIDTH' => {
'info' => 'Input port width ',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
},
'module_name' => 'gpi',
'category' => 'GPI',
'instance' => 'gpi',
'module' => 'gpi'
},
'aeMB0' => {
'parameters' => {
'aeMB0_AEMB_BSF' => {
'info' => '1: aeMB with hardware barrel shifter
0:aeMB without haedware barrel shifter',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
},
'aeMB0_AEMB_MUL' => {
'info' => '1: aeMB with hardware multiplier
0: aeMB without harware multiplier',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
}
},
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB0',
'module' => 'aeMB'
},
'clk_source0' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'range' => '',
'instance_name' => 'aeMB0',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'clk_source0',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => ' "MESH"',
'global_param' => 1,
'content' => '"MESH", "TORUS"',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => ' 1',
'global_param' => 1,
'content' => '0,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY" ',
'global_param' => 1,
'content' => ' "XY" ,"WEST_FIRST","NORTH_LAST" , "NEGETIVE_FIRST", "DUATO"',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
}
},
'IO' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
'led_port_o' => {
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'type' => 'output'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
}
},
'Altera_single_port_ram0' => {
'parameters' => {
'Altera_single_port_ram0_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'Altera_single_port_ram0_Aw' => {
'info' => undef,
'deafult' => '10',
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' ),
'tile_nums' => [
4,
5,
6
]
},
'test3' => {
'top' => bless( {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => ' 1',
'Altera_single_port_ram0_Aw' => '10',
'aeMB0_AEMB_MUL' => ' 1'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'socket:ni[0]' => {
'ports' => {
'ni_current_x' => {
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'aeMB_sys_ena_i' => {
'intfc_name' => 'plug:enable[0]',
'intfc_port' => 'enable_i',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB',
'module' => 'aeMB'
},
'IO' => {
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'type' => 'Spin-button',
'redefine_param' => 1
}
},
'ports' => {
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'led_port_o' => {
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'int_ctrl0' => {
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'instance' => 'int_ctrl',
'module' => 'int_ctrl'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'type' => 'Spin-button',
'redefine_param' => 1
},
'ram_Aw' => {
'info' => undef,
'deafult' => 12,
'global_param' => 1,
'content' => '4,31,1',
'type' => 'Spin-button',
'redefine_param' => 1
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'clk_source0' => {
'ports' => {
'ss_clk_in' => {
'intfc_name' => 'plug:clk[0]',
'intfc_port' => 'clk_i',
'range' => '',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'intfc_port' => 'reset_i',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'gpi0' => {
'parameters' => {
'gpi_PORT_WIDTH' => {
'info' => 'Input port width ',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
},
'module_name' => 'gpi',
'category' => 'GPI',
'instance' => 'gpi',
'module' => 'gpi'
},
'aeMB0' => {
'parameters' => {
'aeMB0_AEMB_BSF' => {
'info' => '1: aeMB with hardware barrel shifter
0:aeMB without haedware barrel shifter',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
},
'aeMB0_AEMB_MUL' => {
'info' => '1: aeMB with hardware multiplier
0: aeMB without harware multiplier',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
}
},
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB0',
'module' => 'aeMB'
},
'clk_source0' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'clk_source0',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'ni0' => {
'parameters' => {
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' "MESH"',
'deafult' => ' 32',
'global_param' => 1,
'content' => '"MESH", "TORUS"',
'redefine_param' => 1,
'type' => 'Fixed'
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => ' 1',
'global_param' => 1,
'content' => '0,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY" ',
'global_param' => 1,
'content' => ' "XY" ,"WEST_FIRST","NORTH_LAST" , "NEGETIVE_FIRST", "DUATO"',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
'ni_ROUTE_TYPE' => {
'info' => undef,
'deafult' => '"DETERMINISTIC"',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_P' => {
'info' => undef,
'deafult' => ' 5',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'Altera_single_port_ram0' => {
'parameters' => {
'Altera_single_port_ram0_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'Altera_single_port_ram0_Aw' => {
'info' => undef,
'deafult' => '10',
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' ),
'tile_nums' => [
7
]
},
'test2' => {
'top' => bless( {
'parameters' => {
'aeMB0_AEMB_BSF' => ' 1',
'Altera_single_port_ram0_Dw' => '32',
'gpi_PORT_WIDTH' => ' 1',
'Altera_single_port_ram0_Aw' => '10',
'aeMB0_AEMB_MUL' => ' 1'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
}
},
'ports' => {
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr',
'range' => '',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'instance_name' => 'gpi0',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'gpi0' => {
'parameters' => {
'gpi_PORT_WIDTH' => {
'info' => 'Input port width ',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'gpi_port_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpi_PORT_WIDTH-1 : 0',
'type' => 'input'
}
},
'module_name' => 'gpi',
'category' => 'GPI',
'instance' => 'gpi',
'module' => 'gpi'
},
'aeMB0' => {
'parameters' => {
'aeMB0_AEMB_BSF' => {
'info' => '1: aeMB with hardware barrel shifter
0:aeMB without haedware barrel shifter',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
},
'aeMB0_AEMB_MUL' => {
'info' => '1: aeMB with hardware multiplier
0: aeMB without harware multiplier',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,0',
'redefine_param' => 1,
'type' => 'Combo-box'
}
},
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB0',
'module' => 'aeMB'
},
'clk_source0' => {
'ports' => {
'clk_source0_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'clk_source0_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'clk_source0',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => ' "MESH"',
'global_param' => 1,
'content' => '"MESH", "TORUS"',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => ' 1',
'global_param' => 1,
'content' => '0,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '2,16,1',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY" ',
'global_param' => 1,
'content' => ' "XY" ,"WEST_FIRST","NORTH_LAST" , "NEGETIVE_FIRST", "DUATO"',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'Altera_single_port_ram0' => {
'parameters' => {
'Altera_single_port_ram0_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'Altera_single_port_ram0_Aw' => {
'info' => undef,
'deafult' => '10',
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' ),
'tile_nums' => [
3,
8
]
}
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' ),
'tile_nums' => [
0,
1
]
}
},
'setting' => {
'show_adv_setting' => 0,
1705,21 → 843,10
'show_tile_setting' => 1
},
'tile' => {
'6' => {},
'1' => {},
'3' => {},
'7' => {
'param_setting' => 'Default'
},
'2' => {},
'8' => {},
'1' => {
'param_setting' => 'Custom'
},
'4' => {},
'0' => {
'param_setting' => 'Custom'
},
'5' => {}
'0' => {},
'2' => {}
},
'mpsoc_name' => 'mpsoc',
'parameters_order' => [
1748,14 → 875,14
'noc_param' => {
'ADD_PIPREG_BEFORE_CROSSBAR' => '1\'b0',
'COMBINATION_TYPE' => '"COMB_SPEC1"',
'NY' => 3,
'DEBUG_EN' => '0',
'NX' => 3,
'NY' => ' 2',
'VC_REALLOCATION_TYPE' => '"NONATOMIC"',
'NX' => ' 2',
'V' => '2',
'CONGESTION_INDEX' => 3,
'ROUTE_SUBFUNC' => '"XY"',
'ADD_PIPREG_AFTER_CROSSBAR' => '1\'b0',
'ROUTE_SUBFUNC' => '"XY"',
'C' => 0,
'ROUTE_NAME' => '"XY"',
'Fpay' => '32',
/mpsoc/perl_gui/lib/perl/ip.pm
19,6 → 19,7
my $self;
$self = {};
my $dir = Cwd::getcwd();
$dir =~ s/ /\\ /g;
my @files = glob "$dir/lib/ip/*.IP";
for my $p (@files){
/mpsoc/perl_gui/lib/perl/mpsoc_gen.pl
172,7 → 172,8
my $mpsoc=shift;
 
my $path=$mpsoc->mpsoc_get_setting('soc_path');
my @socs;
$path =~ s/ /\\ /g;
my @socs;
my @files = glob "$path/*.SOC";
for my $p (@files){
1083,7 → 1084,8
my ($mpsoc,$info)=@_;
 
my $path=$mpsoc->mpsoc_get_setting('soc_path');
my @socs;
$path=~ s/ /\\ /g;
my @socs;
my @files = glob "$path/*.SOC";
my @soc_list=$mpsoc-> mpsoc_get_soc_list();
my @used_socs;
1148,8 → 1150,9
#copy hdl codes in src_verilog
my ($hdl_ref,$warnings)= get_all_files_list($soc);
my ($hdl_ref,$warnings)= get_all_files_list($soc,"hdl_files");
foreach my $f(@{$hdl_ref}){
my $n="$project_dir$f";
if (-f "$n") {
copy ("$n","$target_dir/src_verilog/lib");
1175,7 → 1178,7
#}
copy ("$dir/lib/verilog/$soc_name.v","$target_dir/src_verilog/tiles/");
move ("$dir/lib/verilog/$soc_name.v","$target_dir/src_verilog/tiles/");
copy_noc_files($project_dir,"$target_dir/src_verilog/lib");
1187,10 → 1190,10
copy ("$dir/lib/verilog/$soc_name.h","$target_dir/sw/");
move ("$dir/lib/verilog/$soc_name.h","$target_dir/sw/");
use File::Copy::Recursive qw(dircopy);
dircopy("$dir/../src_processor/aeMB/compiler","$target_dir/sw/") or die("$!\n");
#use File::Copy::Recursive qw(dircopy);
#dircopy("$dir/../src_processor/aeMB/compiler","$target_dir/sw/") or die("$!\n");
my $msg="SoC \"$soc_name\" has been created successfully at $target_dir/ ";
1236,7 → 1239,7
gen_socs($mpsoc,$info);
copy ("$dir/lib/verilog/$name.v","$target_dir/src_verilog/");
move ("$dir/lib/verilog/$name.v","$target_dir/src_verilog/");
/mpsoc/perl_gui/lib/perl/soc_gen.pl
901,7 → 901,7
#}
copy ("$dir/lib/verilog/$name.v","$target_dir/src_verilog/");
move ("$dir/lib/verilog/$name.v","$target_dir/src_verilog/");
910,7 → 910,7
open(FILE, ">lib/verilog/$name.h") || die "Can not open: $!";
print FILE $file_h;
close(FILE) || die "Error closing file: $!";
copy ("$dir/lib/verilog/$name.h","$target_dir/sw/");
move ("$dir/lib/verilog/$name.h","$target_dir/sw/");
# Write Software files
($file_ref,$warnings)= get_all_files_list($soc,"sw_files");
/mpsoc/perl_gui/lib/perl/interface_gen.pl
374,25 → 374,11
}
 
sub port_width_repeat{
my ($range,$value)=@_;
$range=remove_all_white_spaces($range);
my ($h,$l)=split(':',$range);
return "$value" if(!defined $h ) ; # port width is 1
return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
$h=$l if($h eq "0" && "$l" ne "0");
if($h =~ /-1$/){ # the address ranged is endup with -1
$h =~ s/-1$//; # remove -1
return "\{$h\{$value\}\}" if($h =~ /\)$/);
return "\{($h)\{$value\}\}" if($h =~ /[\*\.\+\-\^\%\&]/);
return "\{$h\{$value\}\}";
}
return "\{($h+1){$value}}";
}
 
 
 
 
 
sub port_select{
my ($infc_gen,$soc_state,$info,$table,$row)=@_;
my(%types,%ranges,%names,%connect_types,%connect_ranges,%connect_names,%outport_types,%default_outs);
/mpsoc/perl_gui/lib/perl/verilog_gen.pl
436,7 → 436,8
 
sub port_width_repeat{
my ($range,$value)=@_;
$range=remove_all_white_spaces($range);
return "$value" if (!defined $range);
$range= remove_all_white_spaces($range);
my ($h,$l)=split(':',$range);
return "$value" if(!defined $h ) ; # port width is 1
return "$value" if($h eq "0" && "$l" eq "0"); # port width is 1
474,7 → 475,7
($default_out eq 'Don\'t care')? port_width_repeat($new_range,"1\'bx"): $default_out;
$unused_wire_v= "$unused_wire_v \tassign ${p} = $default;\n";
$unused_wire_v= (defined $unused_wire_v)? "$unused_wire_v \tassign ${p} = $default;\n" : "\tassign ${p} = $default;\n";
}
/mpsoc/perl_gui/lib/perl/interface.pm
33,6 → 33,7
my $dir = Cwd::getcwd();
$dir =~ s/ /\\ /g;
my @files = glob "$dir/lib/interface/*.ITC";
for my $p (@files){
#print "$p\n";
/mpsoc/perl_gui/lib/perl/ip_gen.pl
664,6 → 664,7
$add_remove->signal_connect (clicked => sub{
if($p eq "#new#"){ #add new parameter
my $param= $param_name->get_text();
$param=remove_all_white_spaces($param);
if( length($param) ){
my $deafult=$deafult_entry->get_text();
/mpsoc/perl_gui/lib/soc/lm32_test.SOC
142,6 → 142,65
}
}, 'ip_gen' ),
'instances' => {
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'reset',
'connect_socket' => undef
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'clk',
'connect_socket' => undef
}
}
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'reset'
}
}
},
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'clk'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'source',
'module' => 'clk_source'
},
'lm320' => {
'instance_name' => 'lm320',
'plugs' => {
148,56 → 207,56
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'nums' => {
'1' => {
'connect_socket_num' => '1',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'name' => 'dwb',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'iwb',
'connect_socket' => 'wb_master'
}
},
'type' => 'num'
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
}
}
}
},
'parameters' => {
'CFG_PL_BARREL_SHIFT' => {
'value' => '"ENABLED"'
},
'CFG_SIGN_EXTEND' => {
'value' => '"ENABLED"'
},
'CFG_PL_BARREL_SHIFT' => {
'value' => '"ENABLED"'
},
'CFG_PL_MULTIPLY' => {
'value' => '"ENABLED"'
},
220,12 → 279,12
'interrupt_peripheral' => {
'connection_num' => 'single connection',
'value' => 'INTR_NUM',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
},
'type' => 'param'
}
}
},
'module_name' => 'lm32',
232,65 → 291,6
'category' => 'Processor',
'module' => 'lm32'
},
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'clk',
'connect_socket' => undef
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'reset',
'connect_socket' => undef
}
},
'type' => 'num'
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'reset'
}
},
'type' => 'num'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'module' => 'clk_source'
},
'gpo0' => {
'gpo0' => {},
'instance_name' => 'led',
301,59 → 301,59
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'Dw' => {
'value' => ' 32'
}
},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'width' => 5,
'base' => 2432696320,
'connect_socket_num' => '0',
'base' => 2432696320,
'width' => 5,
'name' => 'wb',
'end' => 2432696351,
'connect_socket' => 'wb_slave',
'end' => 2432696351,
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
},
'type' => 'num'
}
}
},
'parameters_order' => [
398,32 → 398,32
}
},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
}
}
}
},
'parameters_order' => [
'S',
439,32 → 439,32
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_master'
}
},
'type' => 'param'
}
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
},
'type' => 'param'
}
}
},
'module_name' => 'wishbone_bus',
475,48 → 475,48
'instance_name' => 'Altera_single_port_ram0',
'Altera_single_port_ram0' => {},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'width' => 'WBAw',
'base' => 0,
'connect_socket_num' => '1',
'base' => 0,
'width' => 'WBAw',
'name' => 'wb_slave',
'end' => 16383,
'connect_socket' => 'wb_slave',
'end' => 16383,
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
}
},
'type' => 'num'
}
}
},
'parameters' => {
/mpsoc/perl_gui/lib/soc/aemb_tile.SOC
0,0 → 1,1243
$aemb_tile = bless( {
'hdl_files' => undef,
'modules' => {},
'soc_name' => 'aemb_tile',
'top_ip' => bless( {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB',
'module' => 'aeMB'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'int_ctrl0' => {
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'instance' => 'int_ctrl',
'module' => 'int_ctrl'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => undef,
'deafult' => 12,
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_TYPE' => {
'info' => undef,
'deafult' => '"DETERMINISTIC"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_P' => {
'info' => undef,
'deafult' => ' 5',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' ),
'instances' => {
'aeMB0' => {
'aeMB0' => {},
'instance_name' => 'aeMB',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'nums' => {
'1' => {
'connect_socket_num' => '1',
'connect_id' => 'wishbone_bus0',
'name' => 'dwb',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'wishbone_bus0',
'name' => 'iwb',
'connect_socket' => 'wb_master'
}
}
},
'interrupt_cpu' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'int_ctrl0',
'connect_socket_num' => '0',
'name' => 'intrp',
'connect_socket' => 'interrupt_cpu'
}
},
'type' => 'num'
},
'enable' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'enable',
'connect_socket' => undef
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
}
},
'parameters' => {
'AEMB_XWB' => {
'value' => ' 7'
},
'AEMB_IDX' => {
'value' => ' 6'
},
'AEMB_MUL' => {
'value' => ' 1'
},
'AEMB_IWB' => {
'value' => ' 32'
},
'AEMB_BSF' => {
'value' => ' 1'
},
'AEMB_DWB' => {
'value' => ' 32'
},
'AEMB_ICH' => {
'value' => ' 11'
}
},
'parameters_order' => [
'AEMB_IWB',
'AEMB_DWB',
'AEMB_XWB',
'AEMB_ICH',
'AEMB_IDX',
'AEMB_BSF',
'AEMB_MUL'
],
'sockets' => {},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'module' => 'aeMB'
},
'gpo0' => {
'gpo0' => {},
'instance_name' => 'led',
'parameters' => {
'PORT_WIDTH' => {
'value' => ' 1'
},
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => ' 32'
}
},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'width' => 5,
'base' => 2432696320,
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 2432696351,
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
}
}
},
'parameters_order' => [
'PORT_WIDTH',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'sockets' => {},
'module_name' => 'gpo',
'category' => 'GPI',
'module' => 'gpo'
},
'wishbone_bus0' => {
'wishbone_bus0' => {},
'instance_name' => 'bus',
'parameters' => {
'S' => {
'value' => 5
},
'SELw' => {
'value' => '4'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2 '
},
'Aw' => {
'value' => '32'
},
'M' => {
'value' => 3
},
'TAGw' => {
'value' => '3 '
},
'CTIw' => {
'value' => '3'
}
},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
}
},
'parameters_order' => [
'S',
'M',
'Aw',
'TAGw',
'SELw',
'Dw',
'CTIw',
'BTEw'
],
'sockets' => {
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_master'
}
}
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
},
'type' => 'param'
}
},
'module_name' => 'wishbone_bus',
'category' => 'bus',
'module' => 'wishbone_bus'
},
'int_ctrl0' => {
'instance_name' => 'int_ctrl',
'int_ctrl0' => {},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2650800128,
'width' => 5,
'connect_socket_num' => '3',
'name' => 'wb',
'end' => 2650800159,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9e00_0000 0x9eff_ffff IDE Controller'
}
},
'type' => 'num'
}
},
'parameters' => {
'Aw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4 '
},
'INT_NUM' => {
'value' => 2
},
'Dw' => {
'value' => ' 32'
}
},
'parameters_order' => [
'INT_NUM',
'Dw',
'Aw',
'SELw'
],
'sockets' => {
'interrupt_cpu' => {
'connection_num' => 'single connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'int_cpu'
}
},
'type' => 'num'
},
'interrupt_peripheral' => {
'connection_num' => 'single connection',
'value' => 'INT_NUM',
'nums' => {
'0' => {
'name' => 'int_periph'
}
},
'type' => 'param'
}
},
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'module' => 'int_ctrl'
},
'Altera_single_port_ram0' => {
'instance_name' => 'ram',
'Altera_single_port_ram0' => {},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'base' => 0,
'width' => 'WBAw',
'connect_socket_num' => '1',
'name' => 'wb_slave',
'connect_socket' => 'wb_slave',
'end' => 16383,
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
}
}
}
},
'parameters' => {
'RAM_TAG_STRING' => {
'value' => 'i2s(CORE_ID)'
},
'SELw' => {
'value' => '4'
},
'Dw' => {
'value' => '32'
},
'WBAw' => {
'value' => 'Aw+2'
},
'BTEw' => {
'value' => '2'
},
'Aw' => {
'value' => 12
},
'TAGw' => {
'value' => '3'
},
'CTIw' => {
'value' => '3'
}
},
'parameters_order' => [
'Dw',
'Aw',
'TAGw',
'SELw',
'CTIw',
'BTEw',
'RAM_TAG_STRING',
'WBAw'
],
'sockets' => {},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'module' => 'Altera_single_port_ram'
},
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'clk',
'connect_socket' => undef
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'reset',
'connect_socket' => undef
}
},
'type' => 'num'
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'clk'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'source',
'module' => 'clk_source'
},
'ni0' => {
'instance_name' => 'ni',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '2',
'connect_id' => 'wishbone_bus0',
'name' => 'wb_master',
'connect_socket' => 'wb_master'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'int_ctrl0',
'name' => 'int_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 3087007744,
'width' => 5,
'connect_socket_num' => '2',
'name' => 'wb_slave',
'end' => 3087007775,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0xb800_0000 0xbfff_ffff custom devices'
}
},
'type' => 'num'
}
},
'parameters' => {
'Dw' => {
'value' => ' 32'
},
'NY' => {
'value' => ' 2'
},
'DEBUG_EN' => {
'value' => '0'
},
'NX' => {
'value' => ' 2'
},
'V' => {
'value' => ' 4'
},
'COMB_PCK_SIZE_W' => {
'value' => '12'
},
'Fw' => {
'value' => '2+V+Fpay'
},
'TAGw' => {
'value' => '3'
},
'COMB_MEM_PTR_W' => {
'value' => '20'
},
'M_Aw' => {
'value' => '32'
},
'ROUTE_NAME' => {
'value' => '"XY"'
},
'Xw ' => {
'value' => 'log2(NX)'
},
'Fpay' => {
'value' => ' 32'
},
'ROUTE_TYPE' => {
'value' => '"DETERMINISTIC"'
},
'SELw' => {
'value' => '4 '
},
'P' => {
'value' => ' 5'
},
'B' => {
'value' => ' 4'
},
'Xw' => {
'value' => 'log2(NX)'
},
'TOPOLOGY' => {
'value' => '"MESH"'
},
'S_Aw' => {
'value' => ' 3'
},
'Yw' => {
'value' => 'log2(NY)'
},
'Xwj' => {
'value' => 'fvf'
}
},
'parameters_order' => [
'V',
'P',
'B',
'NX',
'NY',
'Fpay',
'TOPOLOGY',
'ROUTE_TYPE',
'ROUTE_NAME',
'DEBUG_EN',
'COMB_MEM_PTR_W',
'COMB_PCK_SIZE_W',
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'Yw',
'Fw',
'Xw'
],
'sockets' => {
'ni' => {
'connection_num' => 'single connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'ni'
}
},
'type' => 'num'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'module' => 'ni'
},
'timer0' => {
'instance_name' => 'timer',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '1',
'connect_id' => 'int_ctrl0',
'name' => 'interrupt_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2516582400,
'width' => 5,
'connect_socket_num' => '4',
'name' => 'wb',
'end' => 2516582431,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl'
}
},
'type' => 'num'
}
},
'parameters' => {
'Aw' => {
'value' => ' 3'
},
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => ' 32'
},
'CNTw' => {
'value' => '32 '
}
},
'parameters_order' => [
'CNTw',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'sockets' => {},
'module_name' => 'timer',
'category' => 'TIM',
'module' => 'timer',
'timer0' => {}
}
},
'instance_order' => [
'aeMB0',
'gpo0',
'clk_source0',
'wishbone_bus0',
'Altera_single_port_ram0',
'int_ctrl0',
'timer0',
'ni0'
]
}, 'soc' );
/mpsoc/perl_gui/lib/soc/aemb_test.SOC
171,77 → 171,77
'aeMB0' => {},
'instance_name' => 'aeMB',
'plugs' => {
'interrupt_cpu' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'NC',
'name' => 'intrp',
'connect_socket' => undef
}
}
},
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'nums' => {
'1' => {
'connect_socket_num' => '1',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'name' => 'dwb',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'iwb',
'connect_socket' => 'wb_master'
}
},
'type' => 'num'
}
},
'interrupt_cpu' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'NC',
'connect_socket_num' => undef,
'name' => 'intrp',
'connect_socket' => undef
}
},
'type' => 'num'
},
'enable' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'enable',
'connect_socket' => undef
}
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
}
},
'type' => 'num'
}
},
'parameters' => {
'AEMB_XWB' => {
250,20 → 250,20
'AEMB_IDX' => {
'value' => ' 6'
},
'AEMB_MUL' => {
'value' => ' 1'
},
'AEMB_IWB' => {
'value' => ' 32'
},
'AEMB_MUL' => {
'value' => ' 1'
},
'AEMB_BSF' => {
'value' => ' 1'
},
'AEMB_DWB' => {
'value' => ' 32'
},
'AEMB_ICH' => {
'value' => ' 11'
},
'AEMB_DWB' => {
'value' => ' 32'
}
},
'parameters_order' => [
283,57 → 283,57
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'reset',
'connect_socket' => undef
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'clk',
'connect_socket' => undef
}
},
'type' => 'num'
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk'
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'reset',
'connect_socket' => undef
}
},
'type' => 'num'
},
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'reset'
}
}
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'clk'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'source',
349,59 → 349,59
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'Dw' => {
'value' => ' 32'
}
},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'width' => 5,
'base' => 2432696320,
'width' => 5,
'connect_socket_num' => '0',
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 2432696351,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
},
'type' => 'num'
}
}
},
'parameters_order' => [
446,32 → 446,32
}
},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
}
},
'type' => 'num'
}
},
'parameters_order' => [
'S',
487,32 → 487,32
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_master'
}
},
'type' => 'param'
}
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
}
},
'type' => 'param'
}
},
'module_name' => 'wishbone_bus',
523,48 → 523,48
'instance_name' => 'ram',
'Altera_single_port_ram0' => {},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'base' => 0,
'width' => 'WBAw',
'connect_socket_num' => '1',
'width' => 'WBAw',
'base' => 0,
'name' => 'wb_slave',
'connect_socket' => 'wb_slave',
'end' => 16383,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
}
},
'type' => 'num'
}
}
},
'parameters' => {
/mpsoc/perl_gui/lib/soc/lm32_tile.SOC
0,0 → 1,1094
$lm32_tile = bless( {
'hdl_files' => undef,
'modules' => {},
'soc_name' => 'lm32_tile',
'top_ip' => bless( {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'lm320' => {
'module_name' => 'lm32',
'category' => 'Processor',
'instance' => 'lm32',
'module' => 'lm32'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => undef,
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_TYPE' => {
'info' => undef,
'deafult' => '"DETERMINISTIC"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_P' => {
'info' => undef,
'deafult' => ' 5',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => undef,
'deafult' => 12,
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' ),
'instances' => {
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'reset',
'connect_socket' => undef
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'clk',
'connect_socket' => undef
}
},
'type' => 'num'
}
},
'parameters' => {},
'parameters_order' => [],
'clk_source0' => {},
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'reset'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'source',
'module' => 'clk_source'
},
'lm320' => {
'instance_name' => 'lm32',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'nums' => {
'1' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'name' => 'dwb',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'iwb',
'connect_socket' => 'wb_master'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
}
},
'parameters' => {
'CFG_PL_BARREL_SHIFT' => {
'value' => '"ENABLED"'
},
'CFG_SIGN_EXTEND' => {
'value' => '"ENABLED"'
},
'CFG_PL_MULTIPLY' => {
'value' => '"ENABLED"'
},
'INTR_NUM' => {
'value' => '32'
},
'CFG_MC_DIVIDE' => {
'value' => '"DISABLED"'
}
},
'lm320' => {},
'parameters_order' => [
'INTR_NUM',
'CFG_PL_MULTIPLY',
'CFG_PL_BARREL_SHIFT',
'CFG_SIGN_EXTEND',
'CFG_MC_DIVIDE'
],
'sockets' => {
'interrupt_peripheral' => {
'connection_num' => 'single connection',
'value' => 'INTR_NUM',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
}
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'module' => 'lm32'
},
'gpo0' => {
'gpo0' => {},
'instance_name' => 'led',
'parameters' => {
'PORT_WIDTH' => {
'value' => ' 1'
},
'Aw' => {
'value' => ' 2'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'Dw' => {
'value' => ' 32'
}
},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2432696320,
'width' => 5,
'connect_socket_num' => '0',
'name' => 'wb',
'end' => 2432696351,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
},
'type' => 'num'
}
},
'parameters_order' => [
'PORT_WIDTH',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'sockets' => {},
'module_name' => 'gpo',
'category' => 'GPI',
'module' => 'gpo'
},
'ni0' => {
'instance_name' => 'ni',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '2',
'connect_id' => 'wishbone_bus0',
'name' => 'wb_master',
'connect_socket' => 'wb_master'
}
},
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'lm320',
'name' => 'int_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 3087007744,
'width' => 5,
'connect_socket_num' => '2',
'name' => 'wb_slave',
'end' => 3087007775,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0xb800_0000 0xbfff_ffff custom devices'
}
},
'type' => 'num'
}
},
'parameters' => {
'Dw' => {
'value' => ' 32'
},
'NY' => {
'value' => ' 2'
},
'DEBUG_EN' => {
'value' => '0'
},
'NX' => {
'value' => ' 2'
},
'V' => {
'value' => ' 4'
},
'COMB_PCK_SIZE_W' => {
'value' => '12'
},
'Fw' => {
'value' => '2+V+Fpay'
},
'TAGw' => {
'value' => '3'
},
'COMB_MEM_PTR_W' => {
'value' => '20'
},
'M_Aw' => {
'value' => '32'
},
'ROUTE_NAME' => {
'value' => '"XY"'
},
'Xw ' => {
'value' => 'log2(NX)'
},
'Fpay' => {
'value' => ' 32'
},
'ROUTE_TYPE' => {
'value' => '"DETERMINISTIC"'
},
'SELw' => {
'value' => '4 '
},
'P' => {
'value' => ' 5'
},
'B' => {
'value' => ' 4'
},
'Xw' => {
'value' => 'log2(NX)'
},
'TOPOLOGY' => {
'value' => '"MESH"'
},
'S_Aw' => {
'value' => ' 3'
},
'Yw' => {
'value' => 'log2(NY)'
},
'Xwj' => {
'value' => 'fvf'
}
},
'parameters_order' => [
'V',
'P',
'B',
'NX',
'NY',
'Fpay',
'TOPOLOGY',
'ROUTE_TYPE',
'ROUTE_NAME',
'DEBUG_EN',
'COMB_MEM_PTR_W',
'COMB_PCK_SIZE_W',
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'Yw',
'Fw',
'Xw'
],
'sockets' => {
'ni' => {
'connection_num' => 'single connection',
'value' => 1,
'nums' => {
'0' => {
'name' => 'ni'
}
},
'type' => 'num'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'module' => 'ni'
},
'wishbone_bus0' => {
'wishbone_bus0' => {},
'instance_name' => 'bus',
'parameters' => {
'S' => {
'value' => 4
},
'SELw' => {
'value' => '4'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2 '
},
'Aw' => {
'value' => '32'
},
'M' => {
'value' => 3
},
'TAGw' => {
'value' => '3 '
},
'CTIw' => {
'value' => '3'
}
},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
}
},
'parameters_order' => [
'S',
'M',
'Aw',
'TAGw',
'SELw',
'Dw',
'CTIw',
'BTEw'
],
'sockets' => {
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'nums' => {
'0' => {
'name' => 'wb_master'
}
},
'type' => 'param'
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
}
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
}
}
},
'module_name' => 'wishbone_bus',
'category' => 'bus',
'module' => 'wishbone_bus'
},
'Altera_single_port_ram0' => {
'instance_name' => 'ram',
'Altera_single_port_ram0' => {},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '1',
'width' => 'WBAw',
'base' => 0,
'name' => 'wb_slave',
'end' => 16383,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
}
},
'type' => 'num'
}
},
'parameters' => {
'RAM_TAG_STRING' => {
'value' => 'i2s(CORE_ID)'
},
'SELw' => {
'value' => '4'
},
'Dw' => {
'value' => '32'
},
'WBAw' => {
'value' => 'Aw+2'
},
'BTEw' => {
'value' => '2'
},
'Aw' => {
'value' => 12
},
'TAGw' => {
'value' => '3'
},
'CTIw' => {
'value' => '3'
}
},
'parameters_order' => [
'Dw',
'Aw',
'TAGw',
'SELw',
'CTIw',
'BTEw',
'RAM_TAG_STRING',
'WBAw'
],
'sockets' => {},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'module' => 'Altera_single_port_ram'
},
'timer0' => {
'instance_name' => 'timer',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'lm320',
'connect_socket_num' => '1',
'name' => 'interrupt_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '3',
'width' => 5,
'base' => 2516582400,
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 2516582431,
'connect_id' => 'wishbone_bus0',
'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl'
}
}
}
},
'parameters' => {
'Aw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => '3'
},
'CNTw' => {
'value' => '32 '
},
'Dw' => {
'value' => ' 32'
}
},
'parameters_order' => [
'CNTw',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'sockets' => {},
'module_name' => 'timer',
'category' => 'TIM',
'timer0' => {},
'module' => 'timer'
}
},
'instance_order' => [
'lm320',
'gpo0',
'clk_source0',
'wishbone_bus0',
'Altera_single_port_ram0',
'timer0',
'ni0'
]
}, 'soc' );
/mpsoc/perl_gui/lib/verilog/functions.v
0,0 → 1,20
 
function integer log2;
input integer number; begin
log2=0;
while(2**log2<number) begin
log2=log2+1;
end
end
endfunction // log2
function [15:0]i2s;
input integer c; integer i; integer tmp; begin
tmp =0;
for (i=0; i<2; i=i+1'b1) begin
tmp = tmp + (((c % 10) + 6'd48) << i*8);
c = c/10;
end
i2s = tmp[15:0];
end
endfunction //i2s
mpsoc/perl_gui/lib/verilog/functions.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mpsoc/perl_gui/lib/ip/lm32.IP =================================================================== --- mpsoc/perl_gui/lib/ip/lm32.IP (revision 17) +++ mpsoc/perl_gui/lib/ip/lm32.IP (revision 18) @@ -2,7 +2,6 @@ 'hdl_files' => [ '/mpsoc/src_processor/lm32/verilog/src/er1.v', '/mpsoc/src_processor/lm32/verilog/src/JTAGB.v', - '/mpsoc/src_processor/lm32/verilog/src/jtag_cores.v', '/mpsoc/src_processor/lm32/verilog/src/jtag_lm32.v', '/mpsoc/src_processor/lm32/verilog/src/lm32.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_adder.v', @@ -21,7 +20,6 @@ '/mpsoc/src_processor/lm32/verilog/src/lm32_logic_op.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_mc_arithmetic.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_monitor.v', - '/mpsoc/src_processor/lm32/verilog/src/lm32_monitor_ram.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_multiplier.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_ram.v', '/mpsoc/src_processor/lm32/verilog/src/lm32_shifter.v', Index: mpsoc/perl_gui/lib/ip/ni.IP =================================================================== --- mpsoc/perl_gui/lib/ip/ni.IP (revision 17) +++ mpsoc/perl_gui/lib/ip/ni.IP (revision 18) @@ -14,181 +14,221 @@ 'parameters' => { 'Dw' => { 'info' => undef, - 'deafult' => ' 32', - 'global_param' => undef, + 'deafult' => ' 32', + 'global_param' => 0, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, + 'DEBUG_EN' => { + 'info' => undef, + 'deafult' => '0', + 'global_param' => 1, + 'content' => '', + 'redefine_param' => 1, + 'type' => 'Fixed' + }, 'NY' => { 'info' => undef, 'deafult' => ' 2', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, - 'DEBUG_EN' => { - 'info' => undef, - 'deafult' => ' 1', - 'global_param' => undef, - 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef - }, 'NX' => { 'info' => undef, 'deafult' => ' 2', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'V' => { 'info' => undef, 'deafult' => ' 4', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, + 'Fw' => { + 'info' => undef, + 'deafult' => '2+V+Fpay', + 'global_param' => 0, + 'content' => '', + 'redefine_param' => 0, + 'type' => 'Fixed' + }, 'COMB_PCK_SIZE_W' => { 'info' => undef, - 'deafult' => ' 12', - 'global_param' => undef, + 'deafult' => '12', + 'global_param' => 0, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'TAGw' => { 'info' => undef, - 'deafult' => ' 3', - 'global_param' => undef, + 'deafult' => '3', + 'global_param' => 0, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, + 'M_Aw' => { + 'info' => undef, + 'deafult' => '32', + 'global_param' => 0, + 'content' => '', + 'redefine_param' => 1, + 'type' => 'Fixed' + }, 'COMB_MEM_PTR_W' => { 'info' => undef, 'deafult' => '20', - 'global_param' => undef, + 'global_param' => 0, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, - 'M_Aw' => { - 'info' => undef, - 'deafult' => ' 32', - 'global_param' => undef, - 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef - }, 'ROUTE_NAME' => { 'info' => undef, - 'deafult' => ' ', - 'global_param' => undef, + 'deafult' => '"XY"', + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, + 'Xw ' => { + 'info' => undef, + 'deafult' => 'log2(NX)', + 'global_param' => 0, + 'content' => '', + 'type' => 'Fixed', + 'redefine_param' => 0 + }, 'Fpay' => { 'info' => undef, 'deafult' => ' 32', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'SELw' => { 'info' => undef, - 'deafult' => ' 4 ', - 'global_param' => undef, + 'deafult' => '4 ', + 'global_param' => 0, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'ROUTE_TYPE' => { 'info' => undef, - 'deafult' => ' ', - 'global_param' => undef, + 'deafult' => '"DETERMINISTIC"', + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'P' => { 'info' => undef, 'deafult' => ' 5', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, 'B' => { 'info' => undef, 'deafult' => ' 4', - 'global_param' => undef, + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, + 'S_Aw' => { + 'info' => undef, + 'deafult' => ' 3', + 'global_param' => 0, + 'content' => '', + 'redefine_param' => 1, + 'type' => 'Fixed' + }, 'TOPOLOGY' => { 'info' => undef, - 'deafult' => ' ', - 'global_param' => undef, + 'deafult' => '"MESH"', + 'global_param' => 1, 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef + 'redefine_param' => 1, + 'type' => 'Fixed' }, - 'S_Aw' => { - 'info' => undef, - 'deafult' => ' 3', - 'global_param' => undef, - 'content' => '', - 'type' => 'Fixed', - 'redefine_param' => undef - } + 'Xw' => { + 'info' => undef, + 'deafult' => 'log2(NX)', + 'global_param' => 0, + 'content' => '', + 'type' => 'Fixed', + 'redefine_param' => 0 + }, + 'Yw' => { + 'info' => undef, + 'deafult' => 'log2(NY)', + 'global_param' => 0, + 'content' => '', + 'redefine_param' => 0, + 'type' => 'Fixed' + }, + 'Xwj' => { + 'info' => undef, + 'deafult' => 'fvf', + 'global_param' => 0, + 'content' => '', + 'type' => 'Fixed', + 'redefine_param' => 1 + } }, 'plugs' => { 'wb_master' => { 'wb_master' => {}, + 'value' => 1, '0' => { 'name' => 'wb_master' }, - 'value' => 1, 'type' => 'num' }, + 'interrupt_peripheral' => { + 'interrupt_peripheral' => {}, + 'value' => 1, + '0' => { + 'name' => 'int_peripheral' + }, + 'type' => 'num' + }, + 'reset' => { + 'reset' => {}, + 'value' => 1, + '0' => { + 'name' => 'reset' + }, + 'type' => 'num' + }, 'clk' => { 'clk' => {}, - 'value' => 1, '0' => { 'name' => 'clk' }, + 'value' => 1, 'type' => 'num' }, - 'reset' => { - 'reset' => {}, - '0' => { - 'name' => 'reset' - }, - 'value' => 1, - 'type' => 'num' - }, - 'interrupt_peripheral' => { - 'interrupt_peripheral' => {}, - '0' => { - 'name' => 'int_peripheral' - }, - 'value' => 1, - 'type' => 'num' - }, 'wb_slave' => { + 'value' => 1, '0' => { 'width' => 5, 'name' => 'wb_slave', 'addr' => '0xb800_0000 0xbfff_ffff custom devices' }, - 'value' => 1, 'type' => 'num', 'wb_slave' => {} } @@ -196,25 +236,6 @@ 'modules' => { 'ni' => {} }, - 'parameters_order' => [ - 'V', - 'P', - 'B', - 'NX', - 'NY', - 'Fpay', - 'TOPOLOGY', - 'ROUTE_TYPE', - 'ROUTE_NAME', - 'DEBUG_EN', - 'COMB_MEM_PTR_W', - 'COMB_PCK_SIZE_W', - 'Dw', - 'S_Aw', - 'M_Aw', - 'TAGw', - 'SELw' - ], 'ports_order' => [ 'reset', 'clk', @@ -252,211 +273,233 @@ ], 'ports' => { 'm_addr_o' => { + 'intfc_port' => 'adr_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'adr_o', 'range' => 'M_Aw-1 : 0', 'type' => 'output' }, 'm_cyc_o' => { + 'intfc_port' => 'cyc_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'cyc_o', 'range' => '', 'type' => 'output' }, - 's_cyc_i' => { + 's_dat_i' => { + 'intfc_port' => 'dat_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'cyc_i', - 'range' => '', + 'range' => 'Dw-1 : 0', 'type' => 'input' }, - 's_dat_i' => { + 's_cyc_i' => { + 'intfc_port' => 'cyc_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'dat_i', - 'range' => 'Dw-1 : 0', + 'range' => '', 'type' => 'input' }, 'm_we_o' => { + 'intfc_port' => 'we_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'we_o', 'range' => '', 'type' => 'output' }, 'credit_out' => { + 'intfc_port' => 'credit_out', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'credit_out', 'range' => 'V-1: 0', 'type' => 'output' }, 'flit_in_wr' => { + 'intfc_port' => 'flit_in_wr', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'flit_in_wr', 'range' => '', 'type' => 'input' }, - 'm_ack_i' => { - 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'ack_i', - 'range' => '', - 'type' => 'input' + 's_dat_o' => { + 'intfc_port' => 'dat_o', + 'intfc_name' => 'plug:wb_slave[0]', + 'range' => 'Dw-1 : 0', + 'type' => 'output' }, 's_addr_i' => { + 'intfc_port' => 'adr_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'adr_i', 'range' => 'S_Aw-1 : 0', 'type' => 'input' }, - 's_dat_o' => { - 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'dat_o', - 'range' => 'Dw-1 : 0', - 'type' => 'output' + 'm_ack_i' => { + 'intfc_port' => 'ack_i', + 'intfc_name' => 'plug:wb_master[0]', + 'range' => '', + 'type' => 'input' }, 's_cti_i' => { + 'intfc_port' => 'cti_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'cti_i', 'range' => 'TAGw-1 : 0', 'type' => 'input' }, 'current_y' => { + 'intfc_port' => 'current_y', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'current_y', 'range' => 'Yw-1 : 0', 'type' => 'input' }, 's_sel_i' => { + 'intfc_port' => 'sel_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'sel_i', 'range' => 'SELw-1 : 0', 'type' => 'input' }, 's_we_i' => { + 'intfc_port' => 'we_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'we_i', 'range' => '', 'type' => 'input' }, 'm_stb_o' => { + 'intfc_port' => 'stb_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'stb_o', 'range' => '', 'type' => 'output' }, 's_stb_i' => { + 'intfc_port' => 'stb_i', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'stb_i', 'range' => '', 'type' => 'input' }, 'flit_out_wr' => { + 'intfc_port' => 'flit_out_wr', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'flit_out_wr', 'range' => '', 'type' => 'output' }, 'm_dat_i' => { + 'intfc_port' => 'dat_i', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'dat_i', 'range' => 'Dw-1 : 0', 'type' => 'input' }, 'm_dat_o' => { + 'intfc_port' => 'dat_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'dat_o', 'range' => 'Dw-1 : 0', 'type' => 'output' }, 's_err_o' => { + 'intfc_port' => 'err_o', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'err_o', 'range' => '', 'type' => 'output' }, 'm_cti_o' => { + 'intfc_port' => 'cti_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'cti_o', 'range' => 'TAGw-1 : 0', 'type' => 'output' }, 's_ack_o' => { + 'intfc_port' => 'ack_o', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'ack_o', 'range' => '', 'type' => 'output' }, 'flit_out' => { + 'intfc_port' => 'flit_out', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'flit_out', 'range' => 'Fw-1 : 0', 'type' => 'output' }, 'credit_in' => { + 'intfc_port' => 'credit_in', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'credit_in', 'range' => 'V-1 : 0', 'type' => 'input' }, 'reset' => { + 'intfc_port' => 'reset_i', 'intfc_name' => 'plug:reset[0]', - 'intfc_port' => 'reset_i', 'range' => '', 'type' => 'input' }, 'm_err_i' => { + 'intfc_port' => 'err_i', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'err_i', 'range' => '', 'type' => 'input' }, 'm_rty_i' => { + 'intfc_port' => 'rty_i', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'rty_i', 'range' => '', 'type' => 'input' }, 'm_sel_o' => { + 'intfc_port' => 'sel_o', 'intfc_name' => 'plug:wb_master[0]', - 'intfc_port' => 'sel_o', 'range' => 'SELw-1 : 0', 'type' => 'output' }, 'flit_in' => { + 'intfc_port' => 'flit_in', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'flit_in', 'range' => 'Fw-1 : 0', 'type' => 'input' }, 'current_x' => { + 'intfc_port' => 'current_x', 'intfc_name' => 'socket:ni[0]', - 'intfc_port' => 'current_x', 'range' => 'Xw-1 : 0', 'type' => 'input' }, 'irq' => { + 'intfc_port' => 'int_o', 'intfc_name' => 'plug:interrupt_peripheral[0]', - 'intfc_port' => 'int_o', 'range' => '', 'type' => 'output' }, 'clk' => { + 'intfc_port' => 'clk_i', 'intfc_name' => 'plug:clk[0]', - 'intfc_port' => 'clk_i', 'range' => '', 'type' => 'input' }, 's_rty_o' => { + 'intfc_port' => 'rty_o', 'intfc_name' => 'plug:wb_slave[0]', - 'intfc_port' => 'rty_o', 'range' => '', 'type' => 'output' } }, + 'parameters_order' => [ + 'V', + 'P', + 'B', + 'NX', + 'NY', + 'Fpay', + 'TOPOLOGY', + 'ROUTE_TYPE', + 'ROUTE_NAME', + 'DEBUG_EN', + 'COMB_MEM_PTR_W', + 'COMB_PCK_SIZE_W', + 'Dw', + 'S_Aw', + 'M_Aw', + 'TAGw', + 'SELw', + 'Yw', + 'Fw', + 'Xw' + ], 'sockets' => { 'ni' => { 'connection_num' => 'single connection', - 'value' => 1, '0' => { 'name' => 'ni' }, + 'value' => 1, 'type' => 'num', 'ni' => {} } Index: mpsoc/perl_gui/examples/intrrupt_test/testbench.v =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/testbench.v (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/testbench.v (nonexistent) @@ -1,35 +0,0 @@ -`timescale 1ns/1ps - -module testbench; - -reg clk,reset; -reg [1:0] ext_int; -wire [6:0] seg1,seg0; - - -intrrupt_test uut( - .aeMB_sys_ena_i(1'b1), - .ss_clk_in(clk), - .ss_reset_in(reset), - .ext_int_ext_int_i(ext_int), - .seg0_port_o(seg0), - .seg1_port_o(seg1) -); - - -initial begin - clk=0; - forever clk=#10 ~clk; -end - - -initial begin - reset=1'b1; - ext_int=2'b0; - #200 - reset=1'b0; - -end - - -endmodule
mpsoc/perl_gui/examples/intrrupt_test/testbench.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/intrrupt_test/main.c =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/main.c (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/main.c (nonexistent) @@ -1,121 +0,0 @@ -#include "compile/orsocdef.h" -//#include - -#include "intrupt_test.h" - -// external intrrupt flag definition -#define EXT_INT_0 (1<<0) -#define EXT_INT_1 (1<<1) - - - - - -void timer_ISR( void ); -void ext_int_ISR( void ); -void display_on_seg(unsigned int); - - -/*! -* Assembly macro to enable MSR_IE -*/ -void aemb_enable_interrupt () -{ - int msr, tmp; - asm volatile ("mfs %0, rmsr;" - "ori %1, %0, 0x02;" - "mts rmsr, %1;" - : "=r"(msr) - : "r" (tmp) - ); -} - - - -unsigned int i; - -void myISR( void ) __attribute__ ((interrupt_handler)); - -void myISR( void ) -{ - - if( INT_CTRL_IPR & TIMER_INT ) timer_ISR(); - if( INT_CTRL_IPR & EXT_INT_INT) ext_int_ISR(); - INT_CTRL_IAR = INT_CTRL_IPR; // Acknowledge Interrupts -} - -#define UP 0 -#define DOWN 1 -unsigned int counter_mode= UP; -void timer_ISR( void ) -{ -// Do Stuff Here - i = (counter_mode == UP)? i+1 : i-1; - display_on_seg(i); - TIMER_TCSR0 = TIMER_TCSR0; - -// Acknogledge Interrupt In Timer (Clear pending bit) -} - -inline void ext_int_0(){ - i=0; -} - -inline void ext_int_1(){ - counter_mode= (counter_mode==UP)? DOWN : UP; -} - -void ext_int_ISR( void ) -{ -// Do Stuff Here - if(EXT_INT_ISR & EXT_INT_0) ext_int_0(); - if(EXT_INT_ISR & EXT_INT_1) ext_int_1(); - EXT_INT_ISR = EXT_INT_ISR; -// Clear any pending button interrupts -} - - - - -const unsigned int seven_seg_tab [16] = {0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F,0x77,0x7C,0x39,0x5E, 0x79,0x71}; -void display_on_seg(unsigned int num){ - unsigned int seg1=num%10; - unsigned int seg2=(num/10)%10; - SEG0_WRITE(~seven_seg_tab[seg1]); - SEG1_WRITE(~seven_seg_tab[seg2]); - -} - -int main(){ - - i=0; - - SEG0_WRITE(~seven_seg_tab[2]); - SEG1_WRITE(~seven_seg_tab[2]); -// intrrupt setting - - EXT_INT_IER_RISE=EXT_INT_0 | EXT_INT_1; - EXT_INT_GER = 0x3; - - - TIMER_TCMP0 = 5000000; - TIMER_TCSR0 = ( TIMER_EN | TIMER_INT_EN | TIMER_RST_ON_CMP); - - INT_CTRL_IER= EXT_INT_INT | TIMER_INT; - INT_CTRL_MER= 0x3; - - - - aemb_enable_interrupt (); - while(1) - { - - }//while - return 0; - -} - - - - -
mpsoc/perl_gui/examples/intrrupt_test/main.c Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/intrrupt_test/de-2115.qsf =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/de-2115.qsf (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/de-2115.qsf (nonexistent) @@ -1,1199 +0,0 @@ -#============================================================ -# Build by Altera University Program -#============================================================ -set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE EP4CE115F29C8 -set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga -set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" - -#============================================================ -# CLOCK -#============================================================ -set_location_assignment PIN_Y2 -to CLOCK_50 -set_location_assignment PIN_AG14 -to CLOCK2_50 -set_location_assignment PIN_AG15 -to CLOCK3_50 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50 - - -#============================================================ -# Sma -#============================================================ -set_location_assignment PIN_AH14 -to SMA_CLKIN -set_location_assignment PIN_AE23 -to SMA_CLKOUT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKIN -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKOUT - -#============================================================ -# LED -#============================================================ -set_location_assignment PIN_E21 -to LEDG[0] -set_location_assignment PIN_E22 -to LEDG[1] -set_location_assignment PIN_E25 -to LEDG[2] -set_location_assignment PIN_E24 -to LEDG[3] -set_location_assignment PIN_H21 -to LEDG[4] -set_location_assignment PIN_G20 -to LEDG[5] -set_location_assignment PIN_G22 -to LEDG[6] -set_location_assignment PIN_G21 -to LEDG[7] -set_location_assignment PIN_F17 -to LEDG[8] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8] - -set_location_assignment PIN_G19 -to LEDR[0] -set_location_assignment PIN_E19 -to LEDR[2] -set_location_assignment PIN_F19 -to LEDR[1] -set_location_assignment PIN_F21 -to LEDR[3] -set_location_assignment PIN_F18 -to LEDR[4] -set_location_assignment PIN_E18 -to LEDR[5] -set_location_assignment PIN_J19 -to LEDR[6] -set_location_assignment PIN_H19 -to LEDR[7] -set_location_assignment PIN_J17 -to LEDR[8] -set_location_assignment PIN_G17 -to LEDR[9] -set_location_assignment PIN_J15 -to LEDR[10] -set_location_assignment PIN_H16 -to LEDR[11] -set_location_assignment PIN_J16 -to LEDR[12] -set_location_assignment PIN_H17 -to LEDR[13] -set_location_assignment PIN_F15 -to LEDR[14] -set_location_assignment PIN_G15 -to LEDR[15] -set_location_assignment PIN_G16 -to LEDR[16] -set_location_assignment PIN_H15 -to LEDR[17] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16] -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17] - -#============================================================ -# KEY -#============================================================ -set_location_assignment PIN_M23 -to KEY[0] -set_location_assignment PIN_M21 -to KEY[1] -set_location_assignment PIN_N21 -to KEY[2] -set_location_assignment PIN_R24 -to KEY[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[3] - -#============================================================ -# SW -#============================================================ -set_location_assignment PIN_AB28 -to SW[0] -set_location_assignment PIN_AC28 -to SW[1] -set_location_assignment PIN_AC27 -to SW[2] -set_location_assignment PIN_AD27 -to SW[3] -set_location_assignment PIN_AB27 -to SW[4] -set_location_assignment PIN_AC26 -to SW[5] -set_location_assignment PIN_AD26 -to SW[6] -set_location_assignment PIN_AB26 -to SW[7] -set_location_assignment PIN_AC25 -to SW[8] -set_location_assignment PIN_AB25 -to SW[9] -set_location_assignment PIN_AC24 -to SW[10] -set_location_assignment PIN_AB24 -to SW[11] -set_location_assignment PIN_AB23 -to SW[12] -set_location_assignment PIN_AA24 -to SW[13] -set_location_assignment PIN_AA23 -to SW[14] -set_location_assignment PIN_AA22 -to SW[15] -set_location_assignment PIN_Y24 -to SW[16] -set_location_assignment PIN_Y23 -to SW[17] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[7] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[8] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[9] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[10] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[11] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[12] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[13] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[14] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[15] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[16] -set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[17] - -#============================================================ -# SEG7 -#============================================================ -set_location_assignment PIN_G18 -to HEX0[0] -set_location_assignment PIN_F22 -to HEX0[1] -set_location_assignment PIN_E17 -to HEX0[2] -set_location_assignment PIN_L26 -to HEX0[3] -set_location_assignment PIN_L25 -to HEX0[4] -set_location_assignment PIN_J22 -to HEX0[5] -set_location_assignment PIN_H22 -to HEX0[6] -set_location_assignment PIN_M24 -to HEX1[0] -set_location_assignment PIN_Y22 -to HEX1[1] -set_location_assignment PIN_W21 -to HEX1[2] -set_location_assignment PIN_W22 -to HEX1[3] -set_location_assignment PIN_W25 -to HEX1[4] -set_location_assignment PIN_U23 -to HEX1[5] -set_location_assignment PIN_U24 -to HEX1[6] -set_location_assignment PIN_AA25 -to HEX2[0] -set_location_assignment PIN_AA26 -to HEX2[1] -set_location_assignment PIN_Y25 -to HEX2[2] -set_location_assignment PIN_W26 -to HEX2[3] -set_location_assignment PIN_Y26 -to HEX2[4] -set_location_assignment PIN_W27 -to HEX2[5] -set_location_assignment PIN_W28 -to HEX2[6] -set_location_assignment PIN_V21 -to HEX3[0] -set_location_assignment PIN_U21 -to HEX3[1] -set_location_assignment PIN_AB20 -to HEX3[2] -set_location_assignment PIN_AA21 -to HEX3[3] -set_location_assignment PIN_AD24 -to HEX3[4] -set_location_assignment PIN_AF23 -to HEX3[5] -set_location_assignment PIN_Y19 -to HEX3[6] -set_location_assignment PIN_AB19 -to HEX4[0] -set_location_assignment PIN_AA19 -to HEX4[1] -set_location_assignment PIN_AG21 -to HEX4[2] -set_location_assignment PIN_AH21 -to HEX4[3] -set_location_assignment PIN_AE19 -to HEX4[4] -set_location_assignment PIN_AF19 -to HEX4[5] -set_location_assignment PIN_AE18 -to HEX4[6] -set_location_assignment PIN_AD18 -to HEX5[0] -set_location_assignment PIN_AC18 -to HEX5[1] -set_location_assignment PIN_AB18 -to HEX5[2] -set_location_assignment PIN_AH19 -to HEX5[3] -set_location_assignment PIN_AG19 -to HEX5[4] -set_location_assignment PIN_AF18 -to HEX5[5] -set_location_assignment PIN_AH18 -to HEX5[6] -set_location_assignment PIN_AA17 -to HEX6[0] -set_location_assignment PIN_AB16 -to HEX6[1] -set_location_assignment PIN_AA16 -to HEX6[2] -set_location_assignment PIN_AB17 -to HEX6[3] -set_location_assignment PIN_AB15 -to HEX6[4] -set_location_assignment PIN_AA15 -to HEX6[5] -set_location_assignment PIN_AC17 -to HEX6[6] -set_location_assignment PIN_AD17 -to HEX7[0] -set_location_assignment PIN_AE17 -to HEX7[1] -set_location_assignment PIN_AG17 -to HEX7[2] -set_location_assignment PIN_AH17 -to HEX7[3] -set_location_assignment PIN_AF17 -to HEX7[4] -set_location_assignment PIN_AG18 -to HEX7[5] -set_location_assignment PIN_AA14 -to HEX7[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[4] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[5] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[6] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6] - -#============================================================ -# LCD -#============================================================ -set_location_assignment PIN_L6 -to LCD_BLON -set_location_assignment PIN_M5 -to LCD_DATA[7] -set_location_assignment PIN_M3 -to LCD_DATA[6] -set_location_assignment PIN_K2 -to LCD_DATA[5] -set_location_assignment PIN_K1 -to LCD_DATA[4] -set_location_assignment PIN_K7 -to LCD_DATA[3] -set_location_assignment PIN_L2 -to LCD_DATA[2] -set_location_assignment PIN_L1 -to LCD_DATA[1] -set_location_assignment PIN_L3 -to LCD_DATA[0] -set_location_assignment PIN_L4 -to LCD_EN -set_location_assignment PIN_M1 -to LCD_RW -set_location_assignment PIN_M2 -to LCD_RS -set_location_assignment PIN_L5 -to LCD_ON -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON - -#============================================================ -# RS232 -#============================================================ -set_location_assignment PIN_G9 -to UART_TXD -set_location_assignment PIN_G12 -to UART_RXD -set_location_assignment PIN_G14 -to UART_CTS -set_location_assignment PIN_J13 -to UART_RTS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS - -#============================================================ -# PS2 -#============================================================ -set_location_assignment PIN_G6 -to PS2_KBCLK -set_location_assignment PIN_H5 -to PS2_KBDAT -set_location_assignment PIN_G5 -to PS2_MSCLK -set_location_assignment PIN_F5 -to PS2_MSDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSDAT - -#============================================================ -# SDCARD -#============================================================ -set_location_assignment PIN_AE14 -to SD_DAT[0] -set_location_assignment PIN_AF13 -to SD_DAT[1] -set_location_assignment PIN_AB14 -to SD_DAT[2] -set_location_assignment PIN_AC14 -to SD_DAT[3] -set_location_assignment PIN_AE13 -to SD_CLK -set_location_assignment PIN_AD14 -to SD_CMD -set_location_assignment PIN_AF14 -to SD_WP_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3] - -#============================================================ -# VGA -#============================================================ -set_location_assignment PIN_D12 -to VGA_B[7] -set_location_assignment PIN_D11 -to VGA_B[6] -set_location_assignment PIN_C12 -to VGA_B[5] -set_location_assignment PIN_A11 -to VGA_B[4] -set_location_assignment PIN_B11 -to VGA_B[3] -set_location_assignment PIN_C11 -to VGA_B[2] -set_location_assignment PIN_A10 -to VGA_B[1] -set_location_assignment PIN_B10 -to VGA_B[0] -set_location_assignment PIN_C9 -to VGA_G[7] -set_location_assignment PIN_F10 -to VGA_G[6] -set_location_assignment PIN_B8 -to VGA_G[5] -set_location_assignment PIN_C8 -to VGA_G[4] -set_location_assignment PIN_H12 -to VGA_G[3] -set_location_assignment PIN_F8 -to VGA_G[2] -set_location_assignment PIN_G11 -to VGA_G[1] -set_location_assignment PIN_G8 -to VGA_G[0] -set_location_assignment PIN_H10 -to VGA_R[7] -set_location_assignment PIN_H8 -to VGA_R[6] -set_location_assignment PIN_J12 -to VGA_R[5] -set_location_assignment PIN_G10 -to VGA_R[4] -set_location_assignment PIN_F12 -to VGA_R[3] -set_location_assignment PIN_D10 -to VGA_R[2] -set_location_assignment PIN_E11 -to VGA_R[1] -set_location_assignment PIN_E12 -to VGA_R[0] -set_location_assignment PIN_A12 -to VGA_CLK -set_location_assignment PIN_F11 -to VGA_BLANK_N -set_location_assignment PIN_C10 -to VGA_SYNC_N -set_location_assignment PIN_G13 -to VGA_HS -set_location_assignment PIN_C13 -to VGA_VS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7] - -#============================================================ -# Audio -#============================================================ -set_location_assignment PIN_D1 -to AUD_DACDAT -set_location_assignment PIN_E3 -to AUD_DACLRCK -set_location_assignment PIN_D2 -to AUD_ADCDAT -set_location_assignment PIN_C2 -to AUD_ADCLRCK -set_location_assignment PIN_E1 -to AUD_XCK -set_location_assignment PIN_F2 -to AUD_BCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK - -#============================================================ -# I2C for EEPROM -#============================================================ -set_location_assignment PIN_D14 -to EEP_I2C_SCLK -set_location_assignment PIN_E14 -to EEP_I2C_SDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT - -#============================================================ -# I2C for Audioand Tv-Decode 1 and 2 -#============================================================ -set_location_assignment PIN_B7 -to I2C_SCLK -set_location_assignment PIN_A8 -to I2C_SDAT -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT - -#============================================================ -# Ethernet 0 -#============================================================ -set_location_assignment PIN_A17 -to ENET0_GTX_CLK -set_location_assignment PIN_A21 -to ENET0_INT_N -set_location_assignment PIN_C20 -to ENET0_MDC -set_location_assignment PIN_B21 -to ENET0_MDIO -set_location_assignment PIN_C19 -to ENET0_RESET_N -set_location_assignment PIN_A15 -to ENET0_RX_CLK -set_location_assignment PIN_E15 -to ENET0_RX_COL -set_location_assignment PIN_D15 -to ENET0_RX_CRS -set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] -set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] -set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] -set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] -set_location_assignment PIN_C17 -to ENET0_RX_DV -set_location_assignment PIN_D18 -to ENET0_RX_ER -set_location_assignment PIN_B17 -to ENET0_TX_CLK -set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] -set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] -set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] -set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] -set_location_assignment PIN_A18 -to ENET0_TX_EN -set_location_assignment PIN_B18 -to ENET0_TX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RESET_N -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO - -#============================================================ -# Ethernet 1 -#============================================================ -set_location_assignment PIN_C23 -to ENET1_GTX_CLK -set_location_assignment PIN_D24 -to ENET1_INT_N -set_location_assignment PIN_D23 -to ENET1_MDC -set_location_assignment PIN_D25 -to ENET1_MDIO -set_location_assignment PIN_D22 -to ENET1_RESET_N -set_location_assignment PIN_B15 -to ENET1_RX_CLK -set_location_assignment PIN_B22 -to ENET1_RX_COL -set_location_assignment PIN_D20 -to ENET1_RX_CRS -set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] -set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] -set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] -set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] -set_location_assignment PIN_A22 -to ENET1_RX_DV -set_location_assignment PIN_C24 -to ENET1_RX_ER -set_location_assignment PIN_C22 -to ENET1_TX_CLK -set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] -set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] -set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] -set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] -set_location_assignment PIN_B25 -to ENET1_TX_EN -set_location_assignment PIN_A25 -to ENET1_TX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[3] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_GTX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_EN -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_INT_N -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RESET_N -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DV -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_ER -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CRS -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_COL -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_CLK -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDC -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDIO - -set_location_assignment PIN_C14 -to ENET0_LINK100 -set_location_assignment PIN_D13 -to ENET1_LINK100 -set_location_assignment PIN_A14 -to ENETCLK_25 - -#============================================================ -# TV Decoder -#============================================================ -set_location_assignment PIN_F7 -to TD_DATA[7] -set_location_assignment PIN_E7 -to TD_DATA[6] -set_location_assignment PIN_D6 -to TD_DATA[5] -set_location_assignment PIN_D7 -to TD_DATA[4] -set_location_assignment PIN_C7 -to TD_DATA[3] -set_location_assignment PIN_D8 -to TD_DATA[2] -set_location_assignment PIN_A7 -to TD_DATA[1] -set_location_assignment PIN_E8 -to TD_DATA[0] -set_location_assignment PIN_B14 -to TD_CLK27 -set_location_assignment PIN_G7 -to TD_RESET_N -set_location_assignment PIN_E4 -to TD_VS -set_location_assignment PIN_E5 -to TD_HS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_HS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_VS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_CLK27 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_RESET_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[7] - -#============================================================ -# USB -#============================================================ -set_location_assignment PIN_D4 -to OTG_DACK_N[1] -set_location_assignment PIN_C4 -to OTG_DACK_N[0] -set_location_assignment PIN_A3 -to OTG_CS_N -set_location_assignment PIN_B3 -to OTG_OE_N -set_location_assignment PIN_B4 -to OTG_DREQ[1] -set_location_assignment PIN_J1 -to OTG_DREQ[0] -set_location_assignment PIN_A4 -to OTG_WE_N -set_location_assignment PIN_H7 -to OTG_ADDR[0] -set_location_assignment PIN_C3 -to OTG_ADDR[1] -set_location_assignment PIN_C6 -to OTG_FSPEED -set_location_assignment PIN_B6 -to OTG_LSPEED -set_location_assignment PIN_D5 -to OTG_INT[1] -set_location_assignment PIN_A6 -to OTG_INT[0] -set_location_assignment PIN_C5 -to OTG_RST_N -set_location_assignment PIN_J6 -to OTG_DATA[0] -set_location_assignment PIN_K4 -to OTG_DATA[1] -set_location_assignment PIN_J5 -to OTG_DATA[2] -set_location_assignment PIN_K3 -to OTG_DATA[3] -set_location_assignment PIN_J4 -to OTG_DATA[4] -set_location_assignment PIN_J3 -to OTG_DATA[5] -set_location_assignment PIN_J7 -to OTG_DATA[6] -set_location_assignment PIN_H6 -to OTG_DATA[7] -set_location_assignment PIN_H3 -to OTG_DATA[8] -set_location_assignment PIN_H4 -to OTG_DATA[9] -set_location_assignment PIN_G1 -to OTG_DATA[10] -set_location_assignment PIN_G2 -to OTG_DATA[11] -set_location_assignment PIN_G3 -to OTG_DATA[12] -set_location_assignment PIN_F1 -to OTG_DATA[13] -set_location_assignment PIN_F3 -to OTG_DATA[14] -set_location_assignment PIN_G4 -to OTG_DATA[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_CS_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_WE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_OE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RST_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DREQ[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DREQ[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DACK_N[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DACK_N[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_FSPEED -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_LSPEED - -#============================================================ -# IR Receiver -#============================================================ -set_location_assignment PIN_Y15 -to IRDA_RXD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to IRDA_RXD - -#============================================================ -# SDRAM -#============================================================ -set_location_assignment PIN_AE5 -to DRAM_CLK -set_location_assignment PIN_U1 -to DRAM_DQ[31] -set_location_assignment PIN_U4 -to DRAM_DQ[30] -set_location_assignment PIN_T3 -to DRAM_DQ[29] -set_location_assignment PIN_R3 -to DRAM_DQ[28] -set_location_assignment PIN_R2 -to DRAM_DQ[27] -set_location_assignment PIN_R1 -to DRAM_DQ[26] -set_location_assignment PIN_R7 -to DRAM_DQ[25] -set_location_assignment PIN_U5 -to DRAM_DQ[24] -set_location_assignment PIN_M8 -to DRAM_DQ[16] -set_location_assignment PIN_L8 -to DRAM_DQ[17] -set_location_assignment PIN_P2 -to DRAM_DQ[18] -set_location_assignment PIN_N3 -to DRAM_DQ[19] -set_location_assignment PIN_N4 -to DRAM_DQ[20] -set_location_assignment PIN_M4 -to DRAM_DQ[21] -set_location_assignment PIN_M7 -to DRAM_DQ[22] -set_location_assignment PIN_L7 -to DRAM_DQ[23] -set_location_assignment PIN_Y3 -to DRAM_DQ[8] -set_location_assignment PIN_Y4 -to DRAM_DQ[9] -set_location_assignment PIN_AB1 -to DRAM_DQ[10] -set_location_assignment PIN_AA3 -to DRAM_DQ[11] -set_location_assignment PIN_AB2 -to DRAM_DQ[12] -set_location_assignment PIN_AC1 -to DRAM_DQ[13] -set_location_assignment PIN_AB3 -to DRAM_DQ[14] -set_location_assignment PIN_AC2 -to DRAM_DQ[15] -set_location_assignment PIN_W3 -to DRAM_DQ[0] -set_location_assignment PIN_W2 -to DRAM_DQ[1] -set_location_assignment PIN_V4 -to DRAM_DQ[2] -set_location_assignment PIN_W1 -to DRAM_DQ[3] -set_location_assignment PIN_V3 -to DRAM_DQ[4] -set_location_assignment PIN_V2 -to DRAM_DQ[5] -set_location_assignment PIN_V1 -to DRAM_DQ[6] -set_location_assignment PIN_U3 -to DRAM_DQ[7] -set_location_assignment PIN_W4 -to DRAM_DQM[1] -set_location_assignment PIN_K8 -to DRAM_DQM[2] -set_location_assignment PIN_U2 -to DRAM_DQM[0] -set_location_assignment PIN_N8 -to DRAM_DQM[3] -set_location_assignment PIN_U6 -to DRAM_RAS_N -set_location_assignment PIN_V7 -to DRAM_CAS_N -set_location_assignment PIN_AA6 -to DRAM_CKE -set_location_assignment PIN_V6 -to DRAM_WE_N -set_location_assignment PIN_T4 -to DRAM_CS_N -set_location_assignment PIN_U7 -to DRAM_BA[0] -set_location_assignment PIN_R4 -to DRAM_BA[1] -set_location_assignment PIN_Y7 -to DRAM_ADDR[12] -set_location_assignment PIN_AA5 -to DRAM_ADDR[11] -set_location_assignment PIN_R5 -to DRAM_ADDR[10] -set_location_assignment PIN_Y6 -to DRAM_ADDR[9] -set_location_assignment PIN_Y5 -to DRAM_ADDR[8] -set_location_assignment PIN_AA7 -to DRAM_ADDR[7] -set_location_assignment PIN_W7 -to DRAM_ADDR[6] -set_location_assignment PIN_W8 -to DRAM_ADDR[5] -set_location_assignment PIN_V5 -to DRAM_ADDR[4] -set_location_assignment PIN_P1 -to DRAM_ADDR[3] -set_location_assignment PIN_U8 -to DRAM_ADDR[2] -set_location_assignment PIN_V8 -to DRAM_ADDR[1] -set_location_assignment PIN_R6 -to DRAM_ADDR[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] - -#============================================================ -# SRAM -#============================================================ -set_location_assignment PIN_AG3 -to SRAM_DQ[15] -set_location_assignment PIN_AF3 -to SRAM_DQ[14] -set_location_assignment PIN_AE4 -to SRAM_DQ[13] -set_location_assignment PIN_AE3 -to SRAM_DQ[12] -set_location_assignment PIN_AE1 -to SRAM_DQ[11] -set_location_assignment PIN_AE2 -to SRAM_DQ[10] -set_location_assignment PIN_AD2 -to SRAM_DQ[9] -set_location_assignment PIN_AD1 -to SRAM_DQ[8] -set_location_assignment PIN_AF7 -to SRAM_DQ[7] -set_location_assignment PIN_AH6 -to SRAM_DQ[6] -set_location_assignment PIN_AG6 -to SRAM_DQ[5] -set_location_assignment PIN_AF6 -to SRAM_DQ[4] -set_location_assignment PIN_AH4 -to SRAM_DQ[3] -set_location_assignment PIN_AG4 -to SRAM_DQ[2] -set_location_assignment PIN_AF4 -to SRAM_DQ[1] -set_location_assignment PIN_AH3 -to SRAM_DQ[0] -set_location_assignment PIN_AC4 -to SRAM_UB_N -set_location_assignment PIN_AD4 -to SRAM_LB_N -set_location_assignment PIN_AF8 -to SRAM_CE_N -set_location_assignment PIN_AD5 -to SRAM_OE_N -set_location_assignment PIN_AE8 -to SRAM_WE_N -set_location_assignment PIN_AE6 -to SRAM_ADDR[5] -set_location_assignment PIN_AB5 -to SRAM_ADDR[6] -set_location_assignment PIN_AC5 -to SRAM_ADDR[7] -set_location_assignment PIN_AF5 -to SRAM_ADDR[8] -set_location_assignment PIN_T7 -to SRAM_ADDR[9] -set_location_assignment PIN_AF2 -to SRAM_ADDR[10] -set_location_assignment PIN_AD3 -to SRAM_ADDR[11] -set_location_assignment PIN_AB4 -to SRAM_ADDR[12] -set_location_assignment PIN_AC3 -to SRAM_ADDR[13] -set_location_assignment PIN_AA4 -to SRAM_ADDR[14] -set_location_assignment PIN_AB7 -to SRAM_ADDR[0] -set_location_assignment PIN_AD7 -to SRAM_ADDR[1] -set_location_assignment PIN_AE7 -to SRAM_ADDR[2] -set_location_assignment PIN_AC7 -to SRAM_ADDR[3] -set_location_assignment PIN_AB6 -to SRAM_ADDR[4] -set_location_assignment PIN_T8 -to SRAM_ADDR[19] -set_location_assignment PIN_AB8 -to SRAM_ADDR[18] -set_location_assignment PIN_AB9 -to SRAM_ADDR[17] -set_location_assignment PIN_AC11 -to SRAM_ADDR[16] -set_location_assignment PIN_AB11 -to SRAM_ADDR[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N - -#============================================================ -# Flash -#============================================================ -set_location_assignment PIN_AF12 -to FL_DQ[7] -set_location_assignment PIN_AH11 -to FL_DQ[6] -set_location_assignment PIN_AG11 -to FL_DQ[5] -set_location_assignment PIN_AF11 -to FL_DQ[4] -set_location_assignment PIN_AH10 -to FL_DQ[3] -set_location_assignment PIN_AG10 -to FL_DQ[2] -set_location_assignment PIN_AF10 -to FL_DQ[1] -set_location_assignment PIN_AH8 -to FL_DQ[0] -set_location_assignment PIN_AG12 -to FL_ADDR[0] -set_location_assignment PIN_AD11 -to FL_ADDR[22] -set_location_assignment PIN_AD10 -to FL_ADDR[21] -set_location_assignment PIN_AE10 -to FL_ADDR[20] -set_location_assignment PIN_AD12 -to FL_ADDR[19] -set_location_assignment PIN_AC12 -to FL_ADDR[18] -set_location_assignment PIN_AH12 -to FL_ADDR[17] -set_location_assignment PIN_AA8 -to FL_ADDR[16] -set_location_assignment PIN_Y10 -to FL_ADDR[15] -set_location_assignment PIN_AC8 -to FL_ADDR[14] -set_location_assignment PIN_AD8 -to FL_ADDR[13] -set_location_assignment PIN_AA10 -to FL_ADDR[12] -set_location_assignment PIN_AF9 -to FL_ADDR[11] -set_location_assignment PIN_AE9 -to FL_ADDR[10] -set_location_assignment PIN_AB10 -to FL_ADDR[9] -set_location_assignment PIN_AB12 -to FL_ADDR[8] -set_location_assignment PIN_AB13 -to FL_ADDR[7] -set_location_assignment PIN_AA12 -to FL_ADDR[6] -set_location_assignment PIN_AA13 -to FL_ADDR[5] -set_location_assignment PIN_Y12 -to FL_ADDR[4] -set_location_assignment PIN_Y14 -to FL_ADDR[3] -set_location_assignment PIN_Y13 -to FL_ADDR[2] -set_location_assignment PIN_AH7 -to FL_ADDR[1] -set_location_assignment PIN_AG7 -to FL_CE_N -set_location_assignment PIN_AG8 -to FL_OE_N -set_location_assignment PIN_AC10 -to FL_WE_N -set_location_assignment PIN_AE11 -to FL_RESET_N -set_location_assignment PIN_AE12 -to FL_WP_N -set_location_assignment PIN_Y1 -to FL_RY -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RESET_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N - -#============================================================ -# GPIO, GPIO connect to GPIO Default -#============================================================ -set_location_assignment PIN_AB22 -to GPIO[0] -set_location_assignment PIN_AC15 -to GPIO[1] -set_location_assignment PIN_AB21 -to GPIO[2] -set_location_assignment PIN_Y17 -to GPIO[3] -set_location_assignment PIN_AC21 -to GPIO[4] -set_location_assignment PIN_Y16 -to GPIO[5] -set_location_assignment PIN_AD21 -to GPIO[6] -set_location_assignment PIN_AE16 -to GPIO[7] -set_location_assignment PIN_AD15 -to GPIO[8] -set_location_assignment PIN_AE15 -to GPIO[9] -set_location_assignment PIN_AC19 -to GPIO[10] -set_location_assignment PIN_AF16 -to GPIO[11] -set_location_assignment PIN_AD19 -to GPIO[12] -set_location_assignment PIN_AF15 -to GPIO[13] -set_location_assignment PIN_AF24 -to GPIO[14] -set_location_assignment PIN_AE21 -to GPIO[15] -set_location_assignment PIN_AF25 -to GPIO[16] -set_location_assignment PIN_AC22 -to GPIO[17] -set_location_assignment PIN_AE22 -to GPIO[18] -set_location_assignment PIN_AF21 -to GPIO[19] -set_location_assignment PIN_AF22 -to GPIO[20] -set_location_assignment PIN_AD22 -to GPIO[21] -set_location_assignment PIN_AG25 -to GPIO[22] -set_location_assignment PIN_AD25 -to GPIO[23] -set_location_assignment PIN_AH25 -to GPIO[24] -set_location_assignment PIN_AE25 -to GPIO[25] -set_location_assignment PIN_AG22 -to GPIO[26] -set_location_assignment PIN_AE24 -to GPIO[27] -set_location_assignment PIN_AH22 -to GPIO[28] -set_location_assignment PIN_AF26 -to GPIO[29] -set_location_assignment PIN_AE20 -to GPIO[30] -set_location_assignment PIN_AG23 -to GPIO[31] -set_location_assignment PIN_AF20 -to GPIO[32] -set_location_assignment PIN_AH26 -to GPIO[33] -set_location_assignment PIN_AH23 -to GPIO[34] -set_location_assignment PIN_AG26 -to GPIO[35] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] - -#============================================================ -# HSMC, HSMC connect to HSMC Default -#============================================================ -set_location_assignment PIN_J27 -to HSMC_CLKIN_P1 -set_location_assignment PIN_J28 -to HSMC_CLKIN_N1 -set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2 -set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2 -set_location_assignment PIN_D27 -to HSMC_TX_D_P[0] -set_location_assignment PIN_D28 -to HSMC_TX_D_N[0] -set_location_assignment PIN_F24 -to HSMC_RX_D_P[0] -set_location_assignment PIN_F25 -to HSMC_RX_D_N[0] -set_location_assignment PIN_E27 -to HSMC_TX_D_P[1] -set_location_assignment PIN_C27 -to HSMC_RX_D_N[1] -set_location_assignment PIN_E28 -to HSMC_TX_D_N[1] -set_location_assignment PIN_D26 -to HSMC_RX_D_P[1] -set_location_assignment PIN_F27 -to HSMC_TX_D_P[2] -set_location_assignment PIN_F28 -to HSMC_TX_D_N[2] -set_location_assignment PIN_F26 -to HSMC_RX_D_P[2] -set_location_assignment PIN_E26 -to HSMC_RX_D_N[2] -set_location_assignment PIN_G27 -to HSMC_TX_D_P[3] -set_location_assignment PIN_G28 -to HSMC_TX_D_N[3] -set_location_assignment PIN_G25 -to HSMC_RX_D_P[3] -set_location_assignment PIN_G26 -to HSMC_RX_D_N[3] -set_location_assignment PIN_K27 -to HSMC_TX_D_P[4] -set_location_assignment PIN_K28 -to HSMC_TX_D_N[4] -set_location_assignment PIN_H25 -to HSMC_RX_D_P[4] -set_location_assignment PIN_H26 -to HSMC_RX_D_N[4] -set_location_assignment PIN_M27 -to HSMC_TX_D_P[5] -set_location_assignment PIN_M28 -to HSMC_TX_D_N[5] -set_location_assignment PIN_K25 -to HSMC_RX_D_P[5] -set_location_assignment PIN_K26 -to HSMC_RX_D_N[5] -set_location_assignment PIN_K21 -to HSMC_TX_D_P[6] -set_location_assignment PIN_K22 -to HSMC_TX_D_N[6] -set_location_assignment PIN_L23 -to HSMC_RX_D_P[6] -set_location_assignment PIN_L24 -to HSMC_RX_D_N[6] -set_location_assignment PIN_H23 -to HSMC_TX_D_P[7] -set_location_assignment PIN_H24 -to HSMC_TX_D_N[7] -set_location_assignment PIN_M25 -to HSMC_RX_D_P[7] -set_location_assignment PIN_M26 -to HSMC_RX_D_N[7] -set_location_assignment PIN_J23 -to HSMC_TX_D_P[8] -set_location_assignment PIN_J24 -to HSMC_TX_D_N[8] -set_location_assignment PIN_R25 -to HSMC_RX_D_P[8] -set_location_assignment PIN_R26 -to HSMC_RX_D_N[8] -set_location_assignment PIN_P27 -to HSMC_TX_D_P[9] -set_location_assignment PIN_P28 -to HSMC_TX_D_N[9] -set_location_assignment PIN_T25 -to HSMC_RX_D_P[9] -set_location_assignment PIN_T26 -to HSMC_RX_D_N[9] -set_location_assignment PIN_J25 -to HSMC_TX_D_P[10] -set_location_assignment PIN_J26 -to HSMC_TX_D_N[10] -set_location_assignment PIN_U25 -to HSMC_RX_D_P[10] -set_location_assignment PIN_U26 -to HSMC_RX_D_N[10] -set_location_assignment PIN_L27 -to HSMC_TX_D_P[11] -set_location_assignment PIN_L28 -to HSMC_TX_D_N[11] -set_location_assignment PIN_L21 -to HSMC_RX_D_P[11] -set_location_assignment PIN_L22 -to HSMC_RX_D_N[11] -set_location_assignment PIN_V25 -to HSMC_TX_D_P[12] -set_location_assignment PIN_V26 -to HSMC_TX_D_N[12] -set_location_assignment PIN_N25 -to HSMC_RX_D_P[12] -set_location_assignment PIN_N26 -to HSMC_RX_D_N[12] -set_location_assignment PIN_R27 -to HSMC_TX_D_P[13] -set_location_assignment PIN_R28 -to HSMC_TX_D_N[13] -set_location_assignment PIN_P25 -to HSMC_RX_D_P[13] -set_location_assignment PIN_P26 -to HSMC_RX_D_N[13] -set_location_assignment PIN_U27 -to HSMC_TX_D_P[14] -set_location_assignment PIN_U28 -to HSMC_TX_D_N[14] -set_location_assignment PIN_P21 -to HSMC_RX_D_P[14] -set_location_assignment PIN_R21 -to HSMC_RX_D_N[14] -set_location_assignment PIN_V27 -to HSMC_TX_D_P[15] -set_location_assignment PIN_V28 -to HSMC_TX_D_N[15] -set_location_assignment PIN_R22 -to HSMC_RX_D_P[15] -set_location_assignment PIN_R23 -to HSMC_RX_D_N[15] -set_location_assignment PIN_U22 -to HSMC_TX_D_P[16] -set_location_assignment PIN_V22 -to HSMC_TX_D_N[16] -set_location_assignment PIN_T21 -to HSMC_RX_D_P[16] -set_location_assignment PIN_T22 -to HSMC_RX_D_N[16] -set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2 -set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2 -set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1 -set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1 -set_location_assignment PIN_AD28 -to HSMC_CLKOUT0 -set_location_assignment PIN_AE26 -to HSMC_D[0] -set_location_assignment PIN_AE28 -to HSMC_D[1] -set_location_assignment PIN_AE27 -to HSMC_D[2] -set_location_assignment PIN_AF27 -to HSMC_D[3] -set_location_assignment PIN_AH15 -to HSMC_CLKIN0 -set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_CLKOUT0 -set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[0] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[1] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[3] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P1 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N1 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[12] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[12] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[12] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[12] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[13] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[13] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[13] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[13] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[14] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[14] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[14] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[14] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[15] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[15] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[15] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[15] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[16] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[16] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[16] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[16] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P2 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N2 - -#============================================================ -# HSMC, HSMC connect to HSMC Default -#============================================================ -set_location_assignment PIN_J10 -to EXT_IO[0] -set_location_assignment PIN_J14 -to EXT_IO[1] -set_location_assignment PIN_H13 -to EXT_IO[2] -set_location_assignment PIN_H14 -to EXT_IO[3] -set_location_assignment PIN_F14 -to EXT_IO[4] -set_location_assignment PIN_E10 -to EXT_IO[5] -set_location_assignment PIN_D9 -to EXT_IO[6] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[0] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[1] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[2] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[3] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[4] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[5] -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EXT_IO[6] - -#============================================================ -# End of pin assignments by Altera University Program -#============================================================ - - - - - - - -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2] -set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N -set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[8] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[9] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[10] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[11] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[8] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[9] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[10] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[11] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[8] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[9] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[10] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[11] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[7] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[8] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[9] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[10] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[11] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P2 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N2 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[0] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[1] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[2] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[3] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[4] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[5] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[6] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[7] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[0] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[1] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[2] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[3] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[4] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[5] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[6] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[7] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[0] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[1] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[2] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[3] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[4] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[5] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[6] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[7] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[0] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[1] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[2] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[3] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[4] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[5] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[6] -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P1 -set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N1 -set_instance_assignment -name IO_STANDARD "3.0-V LVTTL" -to HSMC_CLKIN0 -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX2 -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX0 -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX1 -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to KEY -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to SW - -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET1_LINK100 -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25 -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX3[1] -set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX3[0] - -set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA -set_global_assignment -name USE_CONFIGURATION_DEVICE ON -set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS64 - - -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" -set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" - -set_instance_assignment -name FAST_INPUT_REGISTER ON -to * -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to * -set_instance_assignment -name TSU_REQUIREMENT "10 ns" -from * -to * - - - - - -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
mpsoc/perl_gui/examples/intrrupt_test/de-2115.qsf Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test.SOC =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test.SOC (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test.SOC (nonexistent) @@ -1,681 +0,0 @@ -$intrrupt_test = bless( { - 'modules' => {}, - 'soc_name' => 'intrrupt_test', - 'instances' => { - 'aeMB0' => { - 'aeMB0' => {}, - 'instance_name' => 'aeMB', - 'plugs' => { - 'interrupt_cpu' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_id' => 'int_ctrl0', - 'connect_socket_num' => '0', - 'name' => 'intrp', - 'connect_socket' => 'interrupt_cpu' - } - }, - 'type' => 'num' - }, - 'wb_master' => { - 'connection_num' => undef, - 'value' => 2, - 'nums' => { - '1' => { - 'connect_socket_num' => '1', - 'connect_id' => 'wishbone_bus0', - 'name' => 'dwb', - 'connect_socket' => 'wb_master' - }, - '0' => { - 'connect_id' => 'wishbone_bus0', - 'connect_socket_num' => '0', - 'name' => 'iwb', - 'connect_socket' => 'wb_master' - } - }, - 'type' => 'num' - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - } - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - } - }, - 'parameters' => { - 'AEMB_XWB' => { - 'value' => ' 7' - }, - 'AEMB_IDX' => { - 'value' => ' 6' - }, - 'AEMB_IWB' => { - 'value' => ' 32' - }, - 'AEMB_MUL' => { - 'value' => ' 1' - }, - 'AEMB_BSF' => { - 'value' => ' 1' - }, - 'AEMB_DWB' => { - 'value' => ' 32' - }, - 'AEMB_ICH' => { - 'value' => ' 11' - } - }, - 'sockets' => {}, - 'module_name' => 'aeMB_top', - 'category' => 'CPU', - 'module' => 'aeMB' - }, - 'gpo0' => { - 'gpo0' => {}, - 'instance_name' => 'seg0', - 'parameters' => { - 'PORT_WIDTH' => { - 'value' => 7 - }, - 'Aw' => { - 'value' => ' 2' - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => ' 3' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'plugs' => { - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'width' => 5, - 'base' => 2432696320, - 'connect_socket_num' => '2', - 'name' => 'wb', - 'end' => 2432696351, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O' - } - }, - 'type' => 'num' - } - }, - 'sockets' => {}, - 'module_name' => 'gpo', - 'category' => 'GPI', - 'module' => 'gpo' - }, - 'wishbone_bus0' => { - 'wishbone_bus0' => {}, - 'instance_name' => 'bus', - 'plugs' => { - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - } - } - }, - 'parameters' => { - 'S' => { - 'value' => 6 - }, - 'Aw' => { - 'value' => ' 32' - }, - 'M' => { - 'value' => 2 - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => ' 3 ' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'sockets' => { - 'wb_master' => { - 'connection_num' => 'single connection', - 'value' => 'M', - 'nums' => { - '0' => { - 'name' => 'wb_master' - } - }, - 'type' => 'param' - }, - 'wb_addr_map' => { - 'connection_num' => 'single connection', - 'value' => 1, - 'nums' => { - '0' => { - 'name' => 'wb_addr_map' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => 'single connection', - 'value' => 'S', - 'nums' => { - '0' => { - 'name' => 'wb_slave' - } - }, - 'type' => 'param' - } - }, - 'module_name' => 'wishbone_bus', - 'category' => 'bus', - 'module' => 'wishbone_bus' - }, - 'int_ctrl0' => { - 'int_ctrl0' => {}, - 'instance_name' => 'int_ctrl', - 'plugs' => { - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'base' => 2650800160, - 'connect_socket_num' => '4', - 'width' => 5, - 'name' => 'wb', - 'connect_socket' => 'wb_slave', - 'end' => 2650800191, - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9e00_0000 0x9eff_ffff IDE Controller' - } - } - } - }, - 'parameters' => { - 'Aw' => { - 'value' => ' 3' - }, - 'SELw' => { - 'value' => ' 4 ' - }, - 'INT_NUM' => { - 'value' => 2 - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'sockets' => { - 'interrupt_cpu' => { - 'connection_num' => 'single connection', - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'name' => 'int_cpu' - } - } - }, - 'interrupt_peripheral' => { - 'connection_num' => 'single connection', - 'value' => 'INT_NUM', - 'type' => 'param', - 'nums' => { - '0' => { - 'name' => 'int_periph' - } - } - } - }, - 'module_name' => 'int_ctrl', - 'category' => 'interrupt', - 'module' => 'int_ctrl' - }, - 'gpo1' => { - 'instance_name' => 'seg1', - 'gpo1' => {}, - 'plugs' => { - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'width' => 5, - 'base' => 2432696352, - 'connect_socket_num' => '3', - 'name' => 'wb', - 'end' => 2432696383, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O' - } - } - } - }, - 'parameters' => { - 'PORT_WIDTH' => { - 'value' => 7 - }, - 'Aw' => { - 'value' => ' 2' - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => ' 3' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'sockets' => {}, - 'module_name' => 'gpo', - 'category' => 'GPI', - 'module' => 'gpo' - }, - 'ext_int0' => { - 'instance_name' => 'ext_int', - 'parameters' => { - 'Aw' => { - 'value' => '3' - }, - 'TAGw' => { - 'value' => '3' - }, - 'SELw' => { - 'value' => '4' - }, - 'EXT_INT_NUM' => { - 'value' => 2 - }, - 'Dw' => { - 'value' => '32' - } - }, - 'plugs' => { - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'interrupt_peripheral' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'int_ctrl0', - 'name' => 'interrupt', - 'connect_socket' => 'interrupt_peripheral' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'base' => 2650800128, - 'width' => 5, - 'connect_socket_num' => '1', - 'name' => 'wb', - 'end' => 2650800159, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9e00_0000 0x9eff_ffff IDE Controller' - } - }, - 'type' => 'num' - } - }, - 'ext_int0' => {}, - 'sockets' => {}, - 'module_name' => 'ext_int', - 'category' => 'interrupt', - 'module' => 'ext_int' - }, - 'Altera_ram0' => { - 'instance_name' => 'ram', - 'plugs' => { - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'width' => 16, - 'connect_socket_num' => '0', - 'base' => 0, - 'name' => 'wb', - 'end' => 65535, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x0000_0000 0x3fff_ffff RAM' - } - } - } - }, - 'parameters' => { - 'FPGA_FAMILY' => { - 'value' => '"ALTERA"' - }, - 'RAM_TAG_STRING' => { - 'value' => '"00"' - }, - 'Aw' => { - 'value' => 15 - }, - 'TAGw' => { - 'value' => '3' - }, - 'SELw' => { - 'value' => '4' - }, - 'Dw' => { - 'value' => '32' - } - }, - 'Altera_ram0' => {}, - 'sockets' => {}, - 'module_name' => 'prog_ram_single_port', - 'category' => 'RAM', - 'module' => 'Altera_ram' - }, - 'clk_source0' => { - 'parameters' => {}, - 'clk_source0' => {}, - 'sockets' => { - 'reset' => { - 'connection_num' => 'multi connection', - 'value' => 1, - 'nums' => { - '0' => { - 'name' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => 'multi connection', - 'value' => 1, - 'nums' => { - '0' => { - 'name' => 'clk' - } - }, - 'type' => 'num' - } - }, - 'module_name' => 'clk_source', - 'instance_name' => 'ss', - 'category' => 'source', - 'module' => 'clk_source' - }, - 'timer0' => { - 'instance_name' => 'timer', - 'parameters' => { - 'Aw' => { - 'value' => ' 3' - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => '3' - }, - 'CNTw' => { - 'value' => '32 ' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'plugs' => { - 'interrupt_peripheral' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_id' => 'int_ctrl0', - 'connect_socket_num' => '1', - 'name' => 'interrupt_peripheral', - 'connect_socket' => 'interrupt_peripheral' - } - }, - 'type' => 'num' - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'width' => 5, - 'base' => 2516582400, - 'connect_socket_num' => '5', - 'name' => 'wb', - 'end' => 2516582431, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl' - } - }, - 'type' => 'num' - } - }, - 'sockets' => {}, - 'module_name' => 'timer', - 'category' => 'TIM', - 'module' => 'timer', - 'timer0' => {} - } - } - }, 'soc' );
mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test.SOC Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/intrrupt_test/reset_jtag.v =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/reset_jtag.v (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/reset_jtag.v (nonexistent) @@ -1,107 +0,0 @@ -// megafunction wizard: %In-System Sources and Probes% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altsource_probe - -// ============================================================ -// File Name: reset_jtag.v -// Megafunction Name(s): -// altsource_probe -// -// Simulation Library Files(s): -// altera_mf -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 13.0.0 Build 156 04/24/2013 SJ Full Version -// ************************************************************ - - -//Copyright (C) 1991-2013 Altera Corporation -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, Altera MegaCore Function License -//Agreement, or other applicable license agreement, including, -//without limitation, that your use is for the sole purpose of -//programming logic devices manufactured by Altera and sold by -//Altera or its authorized distributors. Please refer to the -//applicable agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module reset_jtag ( - probe, - source); - - input [0:0] probe; - output [0:0] source; - - wire [0:0] sub_wire0; - wire [0:0] source = sub_wire0[0:0]; - - altsource_probe altsource_probe_component ( - .probe (probe), - .source (sub_wire0) - // synopsys translate_off - , - .clrn (), - .ena (), - .ir_in (), - .ir_out (), - .jtag_state_cdr (), - .jtag_state_cir (), - .jtag_state_e1dr (), - .jtag_state_sdr (), - .jtag_state_tlr (), - .jtag_state_udr (), - .jtag_state_uir (), - .raw_tck (), - .source_clk (), - .source_ena (), - .tdi (), - .tdo (), - .usr1 () - // synopsys translate_on - ); - defparam - altsource_probe_component.enable_metastability = "NO", - altsource_probe_component.instance_id = "RST", - altsource_probe_component.probe_width = 1, - altsource_probe_component.sld_auto_instance_index = "NO", - altsource_probe_component.sld_instance_index = 127, - altsource_probe_component.source_initial_value = " 0", - altsource_probe_component.source_width = 1; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: ENABLE_METASTABILITY STRING "NO" -// Retrieval info: CONSTANT: INSTANCE_ID STRING "RST" -// Retrieval info: CONSTANT: PROBE_WIDTH NUMERIC "1" -// Retrieval info: CONSTANT: SLD_AUTO_INSTANCE_INDEX STRING "NO" -// Retrieval info: CONSTANT: SLD_INSTANCE_INDEX NUMERIC "127" -// Retrieval info: CONSTANT: SOURCE_INITIAL_VALUE STRING " 0" -// Retrieval info: CONSTANT: SOURCE_WIDTH NUMERIC "1" -// Retrieval info: USED_PORT: probe 0 0 1 0 INPUT NODEFVAL "probe[0..0]" -// Retrieval info: USED_PORT: source 0 0 1 0 OUTPUT NODEFVAL "source[0..0]" -// Retrieval info: CONNECT: @probe 0 0 1 0 probe 0 0 1 0 -// Retrieval info: CONNECT: source 0 0 1 0 @source 0 0 1 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL reset_jtag_bb.v TRUE -// Retrieval info: LIB_FILE: altera_mf
mpsoc/perl_gui/examples/intrrupt_test/reset_jtag.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test_top.v =================================================================== --- mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test_top.v (revision 17) +++ mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test_top.v (nonexistent) @@ -1,35 +0,0 @@ -module intrrupt_test_top ( - output [6:0]HEX0, - output [6:0]HEX1, - output [0:0]LEDG, - input [2:0]KEY, - input CLOCK_50 - ); - wire reset_in,jtag_reset,reset; - - assign reset_in = ~KEY[0]; - assign LEDG[0] = reset; - assign reset = (jtag_reset | reset_in); - -// a reset source which can be controled using altera in-system source editor -reset_jtag the_reset( - .probe(), - .source(jtag_reset) -); - -// soc -intrrupt_test #( - .ram_RAM_TAG_STRING("00") - -)uut -( - - .ss_clk_in(CLOCK_50), - .ss_reset_in(reset), - .aeMB_sys_ena_i(1'b1), - .ext_int_ext_int_i(~KEY[2:1]), - .seg0_port_o(HEX0), - .seg1_port_o(HEX1) -); - -endmodule
mpsoc/perl_gui/examples/intrrupt_test/intrrupt_test_top.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/blinking_led/led_blink.SOC =================================================================== --- mpsoc/perl_gui/examples/blinking_led/led_blink.SOC (revision 17) +++ mpsoc/perl_gui/examples/blinking_led/led_blink.SOC (nonexistent) @@ -1,356 +0,0 @@ -$led_blink = bless( { - 'modules' => {}, - 'soc_name' => 'led_blink', - 'instances' => { - 'aeMB0' => { - 'aeMB0' => {}, - 'instance_name' => 'aeMB', - 'plugs' => { - 'wb_master' => { - 'connection_num' => undef, - 'value' => 2, - 'type' => 'num', - 'nums' => { - '1' => { - 'connect_id' => 'wishbone_bus0', - 'connect_socket_num' => '1', - 'name' => 'dwb', - 'connect_socket' => 'wb_master' - }, - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'wishbone_bus0', - 'name' => 'iwb', - 'connect_socket' => 'wb_master' - } - } - }, - 'interrupt_cpu' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => undef, - 'connect_id' => 'IO', - 'name' => 'intrp', - 'connect_socket' => undef - } - }, - 'type' => 'num' - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - } - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - } - } - }, - 'parameters' => { - 'AEMB_XWB' => { - 'value' => ' 7' - }, - 'AEMB_IDX' => { - 'value' => ' 6' - }, - 'AEMB_IWB' => { - 'value' => ' 32' - }, - 'AEMB_MUL' => { - 'value' => ' 1' - }, - 'AEMB_BSF' => { - 'value' => ' 1' - }, - 'AEMB_DWB' => { - 'value' => ' 32' - }, - 'AEMB_ICH' => { - 'value' => ' 11' - } - }, - 'sockets' => {}, - 'module_name' => 'aeMB_top', - 'category' => 'CPU', - 'module' => 'aeMB' - }, - 'Altera_ram0' => { - 'parameters' => { - 'RAM_TAG_STRING' => { - 'value' => '"00"' - }, - 'FPGA_FAMILY' => { - 'value' => '"ALTERA"' - }, - 'Aw' => { - 'value' => 15 - }, - 'TAGw' => { - 'value' => '3' - }, - 'SELw' => { - 'value' => '4' - }, - 'Dw' => { - 'value' => '32' - } - }, - 'plugs' => { - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'base' => 0, - 'width' => 16, - 'connect_socket_num' => '0', - 'name' => 'wb', - 'end' => 65535, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x0000_0000 0x3fff_ffff RAM' - } - }, - 'type' => 'num' - } - }, - 'sockets' => {}, - 'module_name' => 'prog_ram_single_port', - 'instance_name' => 'ram', - 'category' => 'RAM', - 'module' => 'Altera_ram' - }, - 'clk_source0' => { - 'parameters' => {}, - 'clk_source0' => {}, - 'sockets' => { - 'reset' => { - 'connection_num' => 'multi connection', - 'value' => 1, - 'nums' => { - '0' => { - 'name' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => 'multi connection', - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'name' => 'clk' - } - } - } - }, - 'module_name' => 'clk_source', - 'instance_name' => 'ss', - 'category' => 'source', - 'module' => 'clk_source' - }, - 'gpo0' => { - 'gpo0' => {}, - 'instance_name' => 'led', - 'plugs' => { - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - }, - 'type' => 'num' - }, - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_socket_num' => '1', - 'width' => 5, - 'base' => 2432696320, - 'name' => 'wb', - 'end' => 2432696351, - 'connect_socket' => 'wb_slave', - 'connect_id' => 'wishbone_bus0', - 'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O' - } - } - } - }, - 'parameters' => { - 'PORT_WIDTH' => { - 'value' => ' 1' - }, - 'Aw' => { - 'value' => ' 2' - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => ' 3' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'sockets' => {}, - 'module_name' => 'gpo', - 'category' => 'GPI', - 'module' => 'gpo' - }, - 'wishbone_bus0' => { - 'wishbone_bus0' => {}, - 'instance_name' => 'bus', - 'plugs' => { - 'clk' => { - 'connection_num' => undef, - 'value' => 1, - 'nums' => { - '0' => { - 'connect_socket_num' => '0', - 'connect_id' => 'clk_source0', - 'name' => 'clk', - 'connect_socket' => 'clk' - } - }, - 'type' => 'num' - }, - 'reset' => { - 'connection_num' => undef, - 'value' => 1, - 'type' => 'num', - 'nums' => { - '0' => { - 'connect_id' => 'clk_source0', - 'connect_socket_num' => '0', - 'name' => 'reset', - 'connect_socket' => 'reset' - } - } - } - }, - 'parameters' => { - 'S' => { - 'value' => 2 - }, - 'Aw' => { - 'value' => ' 32' - }, - 'M' => { - 'value' => 2 - }, - 'SELw' => { - 'value' => ' 4' - }, - 'TAGw' => { - 'value' => ' 3 ' - }, - 'Dw' => { - 'value' => ' 32' - } - }, - 'sockets' => { - 'wb_master' => { - 'connection_num' => 'single connection', - 'value' => 'M', - 'nums' => { - '0' => { - 'name' => 'wb_master' - } - }, - 'type' => 'param' - }, - 'wb_addr_map' => { - 'connection_num' => 'single connection', - 'value' => 1, - 'nums' => { - '0' => { - 'name' => 'wb_addr_map' - } - }, - 'type' => 'num' - }, - 'wb_slave' => { - 'connection_num' => 'single connection', - 'value' => 'S', - 'type' => 'param', - 'nums' => { - '0' => { - 'name' => 'wb_slave' - } - } - } - }, - 'module_name' => 'wishbone_bus', - 'category' => 'bus', - 'module' => 'wishbone_bus' - } - } - }, 'soc' );
mpsoc/perl_gui/examples/blinking_led/led_blink.SOC Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: mpsoc/perl_gui/examples/blinking_led/led_blink_top.v =================================================================== --- mpsoc/perl_gui/examples/blinking_led/led_blink_top.v (revision 17) +++ mpsoc/perl_gui/examples/blinking_led/led_blink_top.v (nonexistent) @@ -1,30 +0,0 @@ -module led_blink_top ( - output [0:0]LEDR, - output [0:0]LEDG, - input [0:0]KEY, - input CLOCK_50 - ); - wire reset_in,jtag_reset,reset; - - assign reset_in = ~KEY[0]; - assign LEDG[0] = reset; - assign reset = (jtag_reset | reset_in); - -// a reset source which can be controled using altera in-system source editor -reset_jtag the_reset( - .probe(), - .source(jtag_reset) -); - -// soc -led_blink #( - .ram_RAM_TAG_STRING("00") , - .led_PORT_WIDTH(1) -)( - .aeMB_sys_ena_i(1'b1), - .ss_clk_in(CLOCK_50), - .ss_reset_in(reset), - .led_port_o(LEDR[0]) -); - -endmodule
mpsoc/perl_gui/examples/blinking_led/led_blink_top.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.