OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /apb2spi
    from Rev 20 to Rev 21
    Reverse comparison

Rev 20 → Rev 21

/trunk/tb/prj_pkg.sv
0,0 → 1,15
 
`include "defines.v"
`include "spi_if.sv"
`include "apb_if.sv"
`include "uvm_macros.svh"
 
package prj_pkg;
 
import uvm_pkg::*;
`include "apb_seq_item.sv"
`include "apb_monitor.sv"
`include "apb_driver.sv"
`include "apb_seqr.sv"
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.