OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /apb2spi
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/trunk/tb/prj_pkg.svh
0,0 → 1,8
 
`include "spi_if.sv";
`include "apb_if.sv";
 
package proj_pkg;
 
 
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.