OpenCores
URL https://opencores.org/ocsvn/atlas_core/atlas_core/trunk

Subversion Repositories atlas_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /atlas_core
    from Rev 26 to Rev 27
    Reverse comparison

Rev 26 → Rev 27

/trunk/asm/atlas_asm.exe Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/asm/src/main.cpp
155,10 → 155,11
 
FILE *input, *output;
char line_input[1024];
int i = 0, j = 0, k = 0;
int i = 0, j = 0, k = 0, l = 0;
char *cut_out;
char txt_string[256];
char tmp_string[256];
char out_string[256];
char buf_string[256];
bool found = false;
int fill_data_cnt = 0;
240,15 → 241,23
tmp_string[j] = tmp_string[j+i+8];
// isolate text part
l = 0;
for(k=0; k<strlen(tmp_string); k++){
if (tmp_string[k] == 34){ // beginning of string text field
for(j=0; j<strlen(tmp_string); j++){
if(tmp_string[j+k+1] == 34) // end of string text field
break;
else
tmp_string[j] = tmp_string[j+k+1];
else{
if ((tmp_string[j+k+1] ==92) && (tmp_string[j+k+2] == 'n')){ // is linebreak command?
out_string[l++] = 13; // carriage return
out_string[l++] = 10; // line feed
k++; // skip second line break char in input string
}
else
out_string[l++] = tmp_string[j+k+1];
}
}
tmp_string[j] = '\0'; // terminate string
out_string[l] = '\0'; // terminate string
break;
}
}
266,14 → 275,14
break;
}
}
// save integer conversion
// save integer conversion of string
word = 0;
for(k=0; k<strlen(tmp_string); k++){
for(k=0; k<strlen(out_string); k++){
if (k%2==0){
word = (int)tmp_string[k] << 8;
word = (int)out_string[k] << 8;
}
else{
word = word | (int)tmp_string[k];
word = word | (int)out_string[k];
sprintf(txt_string, ".dw #%d\n", word);
fputs(txt_string, output);
}
1870,7 → 1879,7
int p_size = 0;
int i = 0;
 
printf("ATLAS 2k Assembler, Version 2014.03.28\n");
printf("ATLAS 2k Assembler, Version 2014.04.10\n");
printf("by Stephan Nolting (stnolting@gmail.com), Hanover, Germany\n");
printf("www.opencores.org/project,atlas_core\n\n");
 
/trunk/rtl/BOOT_MEM.vhd
3,7 → 3,7
-- # **************************************************** #
-- # Initialized with boot loader. #
-- # **************************************************** #
-- # Last modified: 08.03.2014 #
-- # Last modified: 10.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
42,1017 → 42,1025
-- MEMORY IMAGE (Bootloader Program) --
------------------------------------------------------
constant BOOT_MEM_FILE_C : mem_file_t :=
(
000000 => x"bc0e", -- B
000001 => x"bc04", -- B
000002 => x"bc03", -- B
000003 => x"bc02", -- B
000004 => x"bc01", -- B
000005 => x"c000", -- LDIL
000006 => x"cc00", -- LDIH
000007 => x"ec8a", -- MCR
000008 => x"ed0f", -- MCR
000009 => x"be8f", -- BL
000010 => x"c526", -- LDIL
000011 => x"c907", -- LDIH
000012 => x"be8a", -- BL
000013 => x"bc00", -- B
000014 => x"c000", -- LDIL
000015 => x"cc78", -- LDIH
000016 => x"1c00", -- STSR
000017 => x"ec11", -- MRC
000018 => x"ec88", -- MCR
000019 => x"ec8a", -- MCR
000020 => x"c000", -- LDIL
000021 => x"ec08", -- MCR
000022 => x"ec09", -- MCR
000023 => x"ec0a", -- MCR
000024 => x"ec0b", -- MCR
000025 => x"ec0c", -- MCR
000026 => x"ec0d", -- MCR
000027 => x"ec0e", -- MCR
000028 => x"ec00", -- MRC
000029 => x"c801", -- LDIH
000030 => x"ed0f", -- MCR
000031 => x"ec17", -- MRC
000032 => x"ec97", -- MRC
000033 => x"c160", -- LDIL
000034 => x"c909", -- LDIH
000035 => x"c18f", -- LDIL
000036 => x"0923", -- ADD
000037 => x"29b3", -- CLR
000038 => x"2a44", -- CLR
000039 => x"100a", -- SUBS
000040 => x"149b", -- SBCS
000041 => x"9003", -- BMI
000042 => x"0241", -- INC
000043 => x"bdfc", -- B
000044 => x"ed49", -- MCR
000045 => x"ec22", -- MRC
000046 => x"d406", -- SBR
000047 => x"ed0a", -- MCR
000048 => x"be68", -- BL
000049 => x"be67", -- BL
000050 => x"c512", -- LDIL
000051 => x"c905", -- LDIH
000052 => x"be62", -- BL
000053 => x"c53c", -- LDIL
000054 => x"c905", -- LDIH
000055 => x"be5f", -- BL
000056 => x"c564", -- LDIL
000057 => x"c905", -- LDIH
000058 => x"be5c", -- BL
000059 => x"be5d", -- BL
000060 => x"c10a", -- LDIL
000061 => x"c906", -- LDIH
000062 => x"be59", -- BL
000063 => x"ee11", -- MRC
000064 => x"be5b", -- BL
000065 => x"be57", -- BL
000066 => x"c120", -- LDIL
000067 => x"c906", -- LDIH
000068 => x"be53", -- BL
000069 => x"ee97", -- MRC
000070 => x"ee17", -- MRC
000071 => x"be54", -- BL
000072 => x"0250", -- MOV
000073 => x"be52", -- BL
000074 => x"be4e", -- BL
000075 => x"ec27", -- MRC
000076 => x"c083", -- LDIL
000077 => x"2001", -- AND
000078 => x"c330", -- LDIL
000079 => x"0b60", -- ADD
000080 => x"bc22", -- B
000081 => x"be47", -- BL
000082 => x"c56a", -- LDIL
000083 => x"c906", -- LDIH
000084 => x"be42", -- BL
000085 => x"c57e", -- LDIL
000086 => x"c906", -- LDIH
000087 => x"be3f", -- BL
000088 => x"c118", -- LDIL
000089 => x"c907", -- LDIH
000090 => x"be3c", -- BL
000091 => x"c130", -- LDIL
000092 => x"c907", -- LDIH
000093 => x"be39", -- BL
000094 => x"c14a", -- LDIL
000095 => x"c907", -- LDIH
000096 => x"be36", -- BL
000097 => x"c164", -- LDIL
000098 => x"c907", -- LDIH
000099 => x"be33", -- BL
000100 => x"c178", -- LDIL
000101 => x"c907", -- LDIH
000102 => x"be30", -- BL
000103 => x"c506", -- LDIL
000104 => x"c907", -- LDIH
000105 => x"be2d", -- BL
000106 => x"c510", -- LDIL
000107 => x"c907", -- LDIH
000108 => x"be2b", -- BL
000109 => x"be2d", -- BL
000110 => x"0300", -- MOV
000111 => x"00e0", -- MOV
000112 => x"be29", -- BL
000113 => x"be27", -- BL
000114 => x"c0b0", -- LDIL
000115 => x"181e", -- CMP
000116 => x"81dd", -- BEQ
000117 => x"c0b1", -- LDIL
000118 => x"181e", -- CMP
000119 => x"8076", -- BEQ
000120 => x"c0b2", -- LDIL
000121 => x"181e", -- CMP
000122 => x"8022", -- BEQ
000123 => x"c0b3", -- LDIL
000124 => x"181e", -- CMP
000125 => x"8013", -- BEQ
000126 => x"c2fa", -- LDIL
000127 => x"ca83", -- LDIH
000128 => x"c0f0", -- LDIL
000129 => x"181e", -- CMP
000130 => x"f705", -- RBAEQ
000131 => x"c6f8", -- LDIL
000132 => x"ca82", -- LDIH
000133 => x"c0e4", -- LDIL
000134 => x"181e", -- CMP
000135 => x"f705", -- RBAEQ
000136 => x"c0f2", -- LDIL
000137 => x"181e", -- CMP
000138 => x"85e0", -- BNE
000139 => x"2800", -- CLR
000140 => x"c080", -- LDIL
000141 => x"cc80", -- LDIH
000142 => x"ec99", -- MCR
000143 => x"3400", -- GT
000144 => x"c50a", -- LDIL
000145 => x"c906", -- LDIH
000146 => x"be04", -- BL
000147 => x"2800", -- CLR
000148 => x"2100", -- STUB
000149 => x"bcb1", -- B
000150 => x"bca7", -- B
000151 => x"bca7", -- B
000152 => x"bca7", -- B
000153 => x"bca7", -- B
000154 => x"bca7", -- B
000155 => x"bcaa", -- B
000156 => x"c136", -- LDIL
000157 => x"c906", -- LDIH
000158 => x"be9f", -- BL
000159 => x"c100", -- LDIL
000160 => x"bea2", -- BL
000161 => x"3eb0", -- SFT
000162 => x"c101", -- LDIL
000163 => x"be9f", -- BL
000164 => x"26d3", -- ORR
000165 => x"c47e", -- LDIL
000166 => x"cc4a", -- LDIH
000167 => x"180d", -- CMP
000168 => x"849b", -- BNE
000169 => x"c102", -- LDIL
000170 => x"be98", -- BL
000171 => x"3eb0", -- SFT
000172 => x"c103", -- LDIL
000173 => x"be95", -- BL
000174 => x"26d3", -- ORR
000175 => x"2055", -- STUB
000176 => x"c104", -- LDIL
000177 => x"be91", -- BL
000178 => x"3eb0", -- SFT
000179 => x"c105", -- LDIL
000180 => x"be8e", -- BL
000181 => x"26d3", -- ORR
000182 => x"20d5", -- STUB
000183 => x"c106", -- LDIL
000184 => x"be8a", -- BL
000185 => x"3eb0", -- SFT
000186 => x"c107", -- LDIL
000187 => x"be87", -- BL
000188 => x"26d3", -- ORR
000189 => x"2155", -- STUB
000190 => x"c108", -- LDIL
000191 => x"be83", -- BL
000192 => x"3eb0", -- SFT
000193 => x"c109", -- LDIL
000194 => x"be80", -- BL
000195 => x"26d3", -- ORR
000196 => x"21d5", -- STUB
000197 => x"c10a", -- LDIL
000198 => x"be7c", -- BL
000199 => x"3eb0", -- SFT
000200 => x"c10b", -- LDIL
000201 => x"be79", -- BL
000202 => x"26d3", -- ORR
000203 => x"2255", -- STUB
000204 => x"c10c", -- LDIL
000205 => x"be75", -- BL
000206 => x"3eb0", -- SFT
000207 => x"c10d", -- LDIL
000208 => x"be72", -- BL
000209 => x"26d3", -- ORR
000210 => x"22d5", -- STUB
000211 => x"c10e", -- LDIL
000212 => x"be6e", -- BL
000213 => x"3eb0", -- SFT
000214 => x"c10f", -- LDIL
000215 => x"be6b", -- BL
000216 => x"26d3", -- ORR
000217 => x"2355", -- STUB
000218 => x"c300", -- LDIL
000219 => x"ecea", -- MCR
000220 => x"23e6", -- STUB
000221 => x"c010", -- LDIL
000222 => x"0960", -- ADD
000223 => x"be63", -- BL
000224 => x"3eb0", -- SFT
000225 => x"c011", -- LDIL
000226 => x"0960", -- ADD
000227 => x"be5f", -- BL
000228 => x"26d3", -- ORR
000229 => x"7eea", -- STR
000230 => x"2477", -- LDUB
000231 => x"2805", -- EOR
000232 => x"2380", -- STUB
000233 => x"2400", -- LDUB
000234 => x"1868", -- CMP
000235 => x"85f2", -- BNE
000236 => x"bc41", -- B
000237 => x"c14e", -- LDIL
000238 => x"c906", -- LDIH
000239 => x"be4e", -- BL
000240 => x"c170", -- LDIL
000241 => x"c906", -- LDIH
000242 => x"be4b", -- BL
000243 => x"be4e", -- BL
000244 => x"3c80", -- SFT
000245 => x"be4c", -- BL
000246 => x"2490", -- ORR
000247 => x"c47e", -- LDIL
000248 => x"cc4a", -- LDIH
000249 => x"1818", -- CMP
000250 => x"8449", -- BNE
000251 => x"be46", -- BL
000252 => x"3c80", -- SFT
000253 => x"be44", -- BL
000254 => x"2490", -- ORR
000255 => x"3c94", -- SFT
000256 => x"2011", -- STUB
000257 => x"be40", -- BL
000258 => x"3c80", -- SFT
000259 => x"be3e", -- BL
000260 => x"2490", -- ORR
000261 => x"2091", -- STUB
000262 => x"be3b", -- BL
000263 => x"3c80", -- SFT
000264 => x"be39", -- BL
000265 => x"2490", -- ORR
000266 => x"2111", -- STUB
000267 => x"be36", -- BL
000268 => x"3c80", -- SFT
000269 => x"be34", -- BL
000270 => x"2490", -- ORR
000271 => x"2191", -- STUB
000272 => x"be31", -- BL
000273 => x"3c80", -- SFT
000274 => x"be2f", -- BL
000275 => x"2490", -- ORR
000276 => x"2211", -- STUB
000277 => x"be2c", -- BL
000278 => x"3c80", -- SFT
000279 => x"be2a", -- BL
000280 => x"2490", -- ORR
000281 => x"2291", -- STUB
000282 => x"be27", -- BL
000283 => x"3c80", -- SFT
000284 => x"be25", -- BL
000285 => x"2490", -- ORR
000286 => x"2311", -- STUB
000287 => x"2ad5", -- CLR
000288 => x"ecda", -- MCR
000289 => x"23d5", -- STUB
000290 => x"be1f", -- BL
000291 => x"3c80", -- SFT
000292 => x"be1d", -- BL
000293 => x"2490", -- ORR
000294 => x"7cda", -- STR
000295 => x"2477", -- LDUB
000296 => x"2801", -- EOR
000297 => x"2380", -- STUB
000298 => x"2400", -- LDUB
000299 => x"1858", -- CMP
000300 => x"85f6", -- BNE
000301 => x"c000", -- LDIL
000302 => x"cc00", -- LDIH
000303 => x"ec8a", -- MCR
000304 => x"2477", -- LDUB
000305 => x"2491", -- LDUB
000306 => x"1809", -- CMP
000307 => x"8013", -- BEQ
000308 => x"c532", -- LDIL
000309 => x"c907", -- LDIH
000310 => x"be07", -- BL
000311 => x"c554", -- LDIL
000312 => x"c907", -- LDIH
000313 => x"be04", -- BL
000314 => x"be07", -- BL
000315 => x"2800", -- CLR
000316 => x"3400", -- GT
000317 => x"bcdd", -- B
000318 => x"bcdf", -- B
000319 => x"bceb", -- B
000320 => x"bcef", -- B
000321 => x"bcf3", -- B
000322 => x"bc79", -- B
000323 => x"bccd", -- B
000324 => x"bd26", -- B
000325 => x"bc77", -- B
000326 => x"c522", -- LDIL
000327 => x"c906", -- LDIH
000328 => x"bed5", -- BL
000329 => x"24aa", -- LDUBS
000330 => x"8024", -- BEQ
000331 => x"c0a2", -- LDIL
000332 => x"bee3", -- BL
000333 => x"24a2", -- LDUB
000334 => x"3c90", -- SFT
000335 => x"bee0", -- BL
000336 => x"3c90", -- SFT
000337 => x"bede", -- BL
000338 => x"24b3", -- LDUB
000339 => x"3c90", -- SFT
000340 => x"bedb", -- BL
000341 => x"3c90", -- SFT
000342 => x"bed9", -- BL
000343 => x"24c4", -- LDUB
000344 => x"3c90", -- SFT
000345 => x"bed6", -- BL
000346 => x"3c90", -- SFT
000347 => x"bed4", -- BL
000348 => x"24d5", -- LDUB
000349 => x"3c90", -- SFT
000350 => x"bed1", -- BL
000351 => x"3c90", -- SFT
000352 => x"becf", -- BL
000353 => x"24e6", -- LDUB
000354 => x"3c90", -- SFT
000355 => x"becc", -- BL
000356 => x"3c90", -- SFT
000357 => x"beca", -- BL
000358 => x"c0a2", -- LDIL
000359 => x"bec8", -- BL
000360 => x"bec2", -- BL
000361 => x"c55c", -- LDIL
000362 => x"c906", -- LDIH
000363 => x"beb2", -- BL
000364 => x"2677", -- LDUB
000365 => x"be4f", -- BL
000366 => x"bebc", -- BL
000367 => x"bebb", -- BL
000368 => x"2800", -- CLR
000369 => x"d58e", -- SBR
000370 => x"d5bf", -- SBR
000371 => x"1c03", -- STSR
000372 => x"ed0f", -- MCR
000373 => x"ec88", -- MCR
000374 => x"ec88", -- MCR
000375 => x"ec8b", -- MCR
000376 => x"ec8c", -- MCR
000377 => x"ec8a", -- MCR
000378 => x"ec89", -- MCR
000379 => x"3400", -- GT
000380 => x"c546", -- LDIL
000381 => x"c906", -- LDIH
000382 => x"be9f", -- BL
000383 => x"beba", -- BL
000384 => x"beb4", -- BL
000385 => x"c08d", -- LDIL
000386 => x"1809", -- CMP
000387 => x"8006", -- BEQ
000388 => x"c088", -- LDIL
000389 => x"1809", -- CMP
000390 => x"85fa", -- BNE
000391 => x"bea3", -- BL
000392 => x"bdbc", -- B
000393 => x"ecca", -- MCR
000394 => x"bea0", -- BL
000395 => x"c280", -- LDIL
000396 => x"c00f", -- LDIL
000397 => x"2058", -- ANDS
000398 => x"840a", -- BNE
000399 => x"be9b", -- BL
000400 => x"c0a4", -- LDIL
000401 => x"be9e", -- BL
000402 => x"0250", -- MOV
000403 => x"becb", -- BL
000404 => x"c0ba", -- LDIL
000405 => x"be9a", -- BL
000406 => x"c0a0", -- LDIL
000407 => x"be98", -- BL
000408 => x"7a5a", -- LDR
000409 => x"c0a0", -- LDIL
000410 => x"be95", -- BL
000411 => x"bec3", -- BL
000412 => x"c00f", -- LDIL
000413 => x"2058", -- ANDS
000414 => x"8414", -- BNE
000415 => x"c0a0", -- LDIL
000416 => x"be8f", -- BL
000417 => x"be8e", -- BL
000418 => x"c010", -- LDIL
000419 => x"1250", -- SUB
000420 => x"c470", -- LDIL
000421 => x"2240", -- AND
000422 => x"78c9", -- LDR
000423 => x"3c90", -- SFT
000424 => x"c880", -- LDIH
000425 => x"c020", -- LDIL
000426 => x"1818", -- CMP
000427 => x"a402", -- BLS
000428 => x"c0ae", -- LDIL
000429 => x"be82", -- BL
000430 => x"c08f", -- LDIL
000431 => x"2014", -- AND
000432 => x"3409", -- TEQ
000433 => x"85f5", -- BNE
000434 => x"ec20", -- MRC
000435 => x"dc0f", -- STB
000436 => x"b804", -- BTS
000437 => x"c5fe", -- LDIL
000438 => x"343d", -- TEQ
000439 => x"85d5", -- BNE
000440 => x"be7c", -- BL
000441 => x"2800", -- CLR
000442 => x"3400", -- GT
000443 => x"bc5e", -- B
000444 => x"bca2", -- B
000445 => x"c001", -- LDIL
000446 => x"ed0c", -- MCR
000447 => x"c050", -- LDIL
000448 => x"c83f", -- LDIH
000449 => x"ed0a", -- MCR
000450 => x"c000", -- LDIL
000451 => x"c801", -- LDIH
000452 => x"beb8", -- BL
000453 => x"c160", -- LDIL
000454 => x"c906", -- LDIH
000455 => x"be53", -- BL
000456 => x"c170", -- LDIL
000457 => x"c906", -- LDIH
000458 => x"be50", -- BL
000459 => x"be69", -- BL
000460 => x"3c80", -- SFT
000461 => x"be67", -- BL
000462 => x"2410", -- ORR
000463 => x"c4fe", -- LDIL
000464 => x"ccca", -- LDIH
000465 => x"1809", -- CMP
000466 => x"843e", -- BNE
000467 => x"c100", -- LDIL
000468 => x"c5ca", -- LDIL
000469 => x"bead", -- BL
000470 => x"c101", -- LDIL
000471 => x"c5fe", -- LDIL
000472 => x"beaa", -- BL
000473 => x"be5b", -- BL
000474 => x"3c80", -- SFT
000475 => x"be59", -- BL
000476 => x"2690", -- ORR
000477 => x"3ed4", -- SFT
000478 => x"2055", -- STUB
000479 => x"c102", -- LDIL
000480 => x"3dd0", -- SFT
000481 => x"bea1", -- BL
000482 => x"c103", -- LDIL
000483 => x"01d0", -- MOV
000484 => x"be9e", -- BL
000485 => x"be4f", -- BL
000486 => x"3c80", -- SFT
000487 => x"be4d", -- BL
000488 => x"2690", -- ORR
000489 => x"20d5", -- STUB
000490 => x"c104", -- LDIL
000491 => x"3dd0", -- SFT
000492 => x"be96", -- BL
000493 => x"c105", -- LDIL
000494 => x"01d0", -- MOV
000495 => x"be93", -- BL
000496 => x"c106", -- LDIL
000497 => x"be43", -- BL
000498 => x"0180", -- MOV
000499 => x"be8f", -- BL
000500 => x"0121", -- INC
000501 => x"c010", -- LDIL
000502 => x"1828", -- CMP
000503 => x"85fa", -- BNE
000504 => x"c110", -- LDIL
000505 => x"2ad5", -- CLR
000506 => x"be3a", -- BL
000507 => x"0180", -- MOV
000508 => x"be86", -- BL
000509 => x"0121", -- INC
000510 => x"2400", -- LDUB
000511 => x"02d1", -- INC
000512 => x"1858", -- CMP
000513 => x"85f9", -- BNE
000514 => x"c001", -- LDIL
000515 => x"ed0c", -- MCR
000516 => x"c050", -- LDIL
000517 => x"c83f", -- LDIH
000518 => x"ed0a", -- MCR
000519 => x"c00c", -- LDIL
000520 => x"c801", -- LDIH
000521 => x"be73", -- BL
000522 => x"c532", -- LDIL
000523 => x"c906", -- LDIH
000524 => x"be0e", -- BL
000525 => x"c6a2", -- LDIL
000526 => x"ca80", -- LDIH
000527 => x"3450", -- GT
000528 => x"c518", -- LDIL
000529 => x"c907", -- LDIH
000530 => x"be08", -- BL
000531 => x"c554", -- LDIL
000532 => x"c907", -- LDIH
000533 => x"be05", -- BL
000534 => x"be1e", -- BL
000535 => x"2800", -- CLR
000536 => x"3400", -- GT
000537 => x"bc9e", -- B
000538 => x"c5ff", -- LDIL
000539 => x"0270", -- MOV
000540 => x"bc03", -- B
000541 => x"29b3", -- CLR
000542 => x"0270", -- MOV
000543 => x"7829", -- LDR
000544 => x"c080", -- LDIL
000545 => x"ccff", -- LDIH
000546 => x"2081", -- AND
000547 => x"3c98", -- SFTS
000548 => x"8003", -- BEQ
000549 => x"be0a", -- BL
000550 => x"bdf9", -- B
000551 => x"03c0", -- MOV
000552 => x"343b", -- TEQ
000553 => x"f707", -- RBAEQ
000554 => x"0170", -- MOV
000555 => x"c08d", -- LDIL
000556 => x"be03", -- BL
000557 => x"c08a", -- LDIL
000558 => x"03a0", -- MOV
000559 => x"ec22", -- MRC
000560 => x"dc05", -- STB
000561 => x"b9fe", -- BTS
000562 => x"ed18", -- MCR
000563 => x"3470", -- RET
000564 => x"ec20", -- MRC
000565 => x"dc8f", -- STBI
000566 => x"b9fe", -- BTS
000567 => x"c800", -- LDIH
000568 => x"3470", -- RET
000569 => x"0170", -- MOV
000570 => x"c200", -- LDIL
000571 => x"c184", -- LDIL
000572 => x"bff8", -- BL
000573 => x"c0c6", -- LDIL
000574 => x"1809", -- CMP
000575 => x"9003", -- BMI
000576 => x"c0a0", -- LDIL
000577 => x"1001", -- SUB
000578 => x"c0b0", -- LDIL
000579 => x"1809", -- CMP
000580 => x"91f8", -- BMI
000581 => x"c0c6", -- LDIL
000582 => x"1818", -- CMP
000583 => x"91f5", -- BMI
000584 => x"c0b9", -- LDIL
000585 => x"1818", -- CMP
000586 => x"a404", -- BLS
000587 => x"c0c1", -- LDIL
000588 => x"1809", -- CMP
000589 => x"a1ef", -- BHI
000590 => x"0080", -- MOV
000591 => x"bfe0", -- BL
000592 => x"c030", -- LDIL
000593 => x"1090", -- SUB
000594 => x"c009", -- LDIL
000595 => x"1809", -- CMP
000596 => x"a402", -- BLS
000597 => x"0497", -- DEC
000598 => x"3e42", -- SFT
000599 => x"3e42", -- SFT
000600 => x"3e42", -- SFT
000601 => x"3e42", -- SFT
000602 => x"2641", -- ORR
000603 => x"05b9", -- DECS
000604 => x"85e0", -- BNE
000605 => x"3420", -- RET
000606 => x"0370", -- MOV
000607 => x"3d42", -- SFT
000608 => x"3d22", -- SFT
000609 => x"3d22", -- SFT
000610 => x"3d22", -- SFT
000611 => x"be0f", -- BL
000612 => x"bfcb", -- BL
000613 => x"3d40", -- SFT
000614 => x"be0c", -- BL
000615 => x"bfc8", -- BL
000616 => x"3d45", -- SFT
000617 => x"3d25", -- SFT
000618 => x"3d25", -- SFT
000619 => x"3d25", -- SFT
000620 => x"be06", -- BL
000621 => x"bfc2", -- BL
000622 => x"0140", -- MOV
000623 => x"be03", -- BL
000624 => x"bfbf", -- BL
000625 => x"3460", -- RET
000626 => x"c08f", -- LDIL
000627 => x"2121", -- AND
000628 => x"c089", -- LDIL
000629 => x"181a", -- CMP
000630 => x"8803", -- BCS
000631 => x"c0b0", -- LDIL
000632 => x"bc02", -- B
000633 => x"c0b7", -- LDIL
000634 => x"0892", -- ADD
000635 => x"3470", -- RET
000636 => x"ed0b", -- MCR
000637 => x"ec22", -- MRC
000638 => x"dc03", -- STB
000639 => x"b9fe", -- BTS
000640 => x"ec23", -- MRC
000641 => x"3470", -- RET
000642 => x"00f0", -- MOV
000643 => x"c050", -- LDIL
000644 => x"c837", -- LDIH
000645 => x"ed0a", -- MCR
000646 => x"c001", -- LDIL
000647 => x"ed0c", -- MCR
000648 => x"c006", -- LDIL
000649 => x"bff3", -- BL
000650 => x"c050", -- LDIL
000651 => x"c83f", -- LDIH
000652 => x"ed0a", -- MCR
000653 => x"c000", -- LDIL
000654 => x"c805", -- LDIH
000655 => x"bfed", -- BL
000656 => x"dc01", -- STB
000657 => x"b80a", -- BTS
000658 => x"c542", -- LDIL
000659 => x"c907", -- LDIH
000660 => x"bf86", -- BL
000661 => x"c554", -- LDIL
000662 => x"c907", -- LDIH
000663 => x"bf83", -- BL
000664 => x"bf9c", -- BL
000665 => x"2800", -- CLR
000666 => x"3400", -- GT
000667 => x"c040", -- LDIL
000668 => x"c83f", -- LDIH
000669 => x"ed0a", -- MCR
000670 => x"c001", -- LDIL
000671 => x"ed0c", -- MCR
000672 => x"3c20", -- SFT
000673 => x"c802", -- LDIH
000674 => x"bfda", -- BL
000675 => x"03a0", -- MOV
000676 => x"cb80", -- LDIH
000677 => x"3ff0", -- SFT
000678 => x"0030", -- MOV
000679 => x"c800", -- LDIH
000680 => x"2407", -- ORR
000681 => x"bfd3", -- BL
000682 => x"2800", -- CLR
000683 => x"ed0c", -- MCR
000684 => x"c050", -- LDIL
000685 => x"c83f", -- LDIH
000686 => x"ed0a", -- MCR
000687 => x"c001", -- LDIL
000688 => x"ed0c", -- MCR
000689 => x"c000", -- LDIL
000690 => x"c805", -- LDIH
000691 => x"bfc9", -- BL
000692 => x"dc00", -- STB
000693 => x"b9fc", -- BTS
000694 => x"3410", -- RET
000695 => x"00f0", -- MOV
000696 => x"c040", -- LDIL
000697 => x"c83f", -- LDIH
000698 => x"ed0a", -- MCR
000699 => x"c001", -- LDIL
000700 => x"ed0c", -- MCR
000701 => x"3c20", -- SFT
000702 => x"c803", -- LDIH
000703 => x"bfbd", -- BL
000704 => x"0020", -- MOV
000705 => x"c800", -- LDIH
000706 => x"3c00", -- SFT
000707 => x"bfb9", -- BL
000708 => x"29b3", -- CLR
000709 => x"ed3c", -- MCR
000710 => x"0180", -- MOV
000711 => x"c980", -- LDIH
000712 => x"3410", -- RET
000713 => x"4154", -- .DW
000714 => x"4c41", -- .DW
000715 => x"532d", -- .DW
000716 => x"324b", -- .DW
000717 => x"2042", -- .DW
000718 => x"6f6f", -- .DW
000719 => x"746c", -- .DW
000720 => x"6f61", -- .DW
000721 => x"6465", -- .DW
000722 => x"7220", -- .DW
000723 => x"2d20", -- .DW
000724 => x"5665", -- .DW
000725 => x"7273", -- .DW
000726 => x"696f", -- .DW
000727 => x"6e20", -- .DW
000728 => x"3230", -- .DW
000729 => x"3134", -- .DW
000730 => x"2e30", -- .DW
000731 => x"332e", -- .DW
000732 => x"3135", -- .DW
000733 => x"0000", -- .DW
000734 => x"6279", -- .DW
000735 => x"2053", -- .DW
000736 => x"7465", -- .DW
000737 => x"7068", -- .DW
000738 => x"616e", -- .DW
000739 => x"204e", -- .DW
000740 => x"6f6c", -- .DW
000741 => x"7469", -- .DW
000742 => x"6e67", -- .DW
000743 => x"2c20", -- .DW
000744 => x"7374", -- .DW
000745 => x"6e6f", -- .DW
000746 => x"6c74", -- .DW
000747 => x"696e", -- .DW
000748 => x"6740", -- .DW
000749 => x"676d", -- .DW
000750 => x"6169", -- .DW
000751 => x"6c2e", -- .DW
000752 => x"636f", -- .DW
000753 => x"6d00", -- .DW
000754 => x"7777", -- .DW
000755 => x"772e", -- .DW
000756 => x"6f70", -- .DW
000757 => x"656e", -- .DW
000758 => x"636f", -- .DW
000759 => x"7265", -- .DW
000760 => x"732e", -- .DW
000761 => x"6f72", -- .DW
000762 => x"672f", -- .DW
000763 => x"7072", -- .DW
000764 => x"6f6a", -- .DW
000765 => x"6563", -- .DW
000766 => x"742c", -- .DW
000767 => x"6174", -- .DW
000768 => x"6c61", -- .DW
000769 => x"735f", -- .DW
000770 => x"636f", -- .DW
000771 => x"7265", -- .DW
000772 => x"0000", -- .DW
000773 => x"426f", -- .DW
000774 => x"6f74", -- .DW
000775 => x"6c6f", -- .DW
000776 => x"6164", -- .DW
000777 => x"6572", -- .DW
000778 => x"2073", -- .DW
000779 => x"7461", -- .DW
000780 => x"7274", -- .DW
000781 => x"3a20", -- .DW
000782 => x"3078", -- .DW
000783 => x"0000", -- .DW
000784 => x"436c", -- .DW
000785 => x"6f63", -- .DW
000786 => x"6b20", -- .DW
000787 => x"7370", -- .DW
000788 => x"6565", -- .DW
000789 => x"6420", -- .DW
000790 => x"2848", -- .DW
000791 => x"7a29", -- .DW
000792 => x"3a20", -- .DW
000793 => x"3078", -- .DW
000794 => x"0000", -- .DW
000795 => x"426f", -- .DW
000796 => x"6f74", -- .DW
000797 => x"696e", -- .DW
000798 => x"6720", -- .DW
000799 => x"6672", -- .DW
000800 => x"6f6d", -- .DW
000801 => x"2053", -- .DW
000802 => x"5049", -- .DW
000803 => x"2045", -- .DW
000804 => x"4550", -- .DW
000805 => x"524f", -- .DW
000806 => x"4d00", -- .DW
000807 => x"426f", -- .DW
000808 => x"6f74", -- .DW
000809 => x"696e", -- .DW
000810 => x"6720", -- .DW
000811 => x"6672", -- .DW
000812 => x"6f6d", -- .DW
000813 => x"2055", -- .DW
000814 => x"4152", -- .DW
000815 => x"5400", -- .DW
000816 => x"4275", -- .DW
000817 => x"726e", -- .DW
000818 => x"696e", -- .DW
000819 => x"6720", -- .DW
000820 => x"4545", -- .DW
000821 => x"5052", -- .DW
000822 => x"4f4d", -- .DW
000823 => x"0000", -- .DW
000824 => x"5761", -- .DW
000825 => x"6974", -- .DW
000826 => x"696e", -- .DW
000827 => x"6720", -- .DW
000828 => x"666f", -- .DW
000829 => x"7220", -- .DW
000830 => x"696d", -- .DW
000831 => x"6167", -- .DW
000832 => x"6520", -- .DW
000833 => x"6461", -- .DW
000834 => x"7461", -- .DW
000835 => x"2e2e", -- .DW
000836 => x"2e00", -- .DW
000837 => x"426f", -- .DW
000838 => x"6f74", -- .DW
000839 => x"696e", -- .DW
000840 => x"6720", -- .DW
000841 => x"6672", -- .DW
000842 => x"6f6d", -- .DW
000843 => x"206d", -- .DW
000844 => x"656d", -- .DW
000845 => x"6f72", -- .DW
000846 => x"792e", -- .DW
000847 => x"2e2e", -- .DW
000848 => x"0000", -- .DW
000849 => x"5374", -- .DW
000850 => x"6172", -- .DW
000851 => x"7469", -- .DW
000852 => x"6e67", -- .DW
000853 => x"2069", -- .DW
000854 => x"6d61", -- .DW
000855 => x"6765", -- .DW
000856 => x"2000", -- .DW
000857 => x"446f", -- .DW
000858 => x"776e", -- .DW
000859 => x"6c6f", -- .DW
000860 => x"6164", -- .DW
000861 => x"2063", -- .DW
000862 => x"6f6d", -- .DW
000863 => x"706c", -- .DW
000864 => x"6574", -- .DW
000865 => x"6564", -- .DW
000866 => x"2100", -- .DW
000867 => x"456e", -- .DW
000868 => x"7465", -- .DW
000869 => x"7220", -- .DW
000870 => x"7061", -- .DW
000871 => x"6765", -- .DW
000872 => x"2028", -- .DW
000873 => x"3468", -- .DW
000874 => x"6578", -- .DW
000875 => x"293a", -- .DW
000876 => x"2030", -- .DW
000877 => x"7800", -- .DW
000878 => x"4368", -- .DW
000879 => x"6563", -- .DW
000880 => x"6b73", -- .DW
000881 => x"756d", -- .DW
000882 => x"3a20", -- .DW
000883 => x"3078", -- .DW
000884 => x"0000", -- .DW
000885 => x"436f", -- .DW
000886 => x"6d6d", -- .DW
000887 => x"616e", -- .DW
000888 => x"642f", -- .DW
000889 => x"626f", -- .DW
000890 => x"6f74", -- .DW
000891 => x"2073", -- .DW
000892 => x"7769", -- .DW
000893 => x"7463", -- .DW
000894 => x"6800", -- .DW
000895 => x"2030", -- .DW
000896 => x"2f27", -- .DW
000897 => x"3030", -- .DW
000898 => x"273a", -- .DW
000899 => x"2052", -- .DW
000900 => x"6573", -- .DW
000901 => x"7461", -- .DW
000902 => x"7274", -- .DW
000903 => x"2063", -- .DW
000904 => x"6f6e", -- .DW
000905 => x"736f", -- .DW
000906 => x"6c65", -- .DW
000907 => x"0000", -- .DW
000908 => x"2031", -- .DW
000909 => x"2f27", -- .DW
000910 => x"3031", -- .DW
000911 => x"273a", -- .DW
000912 => x"2042", -- .DW
000913 => x"6f6f", -- .DW
000914 => x"7420", -- .DW
000915 => x"6672", -- .DW
000916 => x"6f6d", -- .DW
000917 => x"2055", -- .DW
000918 => x"4152", -- .DW
000919 => x"5400", -- .DW
000920 => x"2032", -- .DW
000921 => x"2f27", -- .DW
000922 => x"3130", -- .DW
000923 => x"273a", -- .DW
000924 => x"2042", -- .DW
000925 => x"6f6f", -- .DW
000926 => x"7420", -- .DW
000927 => x"6672", -- .DW
000928 => x"6f6d", -- .DW
000929 => x"2045", -- .DW
000930 => x"4550", -- .DW
000931 => x"524f", -- .DW
000932 => x"4d00", -- .DW
000933 => x"2033", -- .DW
000934 => x"2f27", -- .DW
000935 => x"3131", -- .DW
000936 => x"273a", -- .DW
000937 => x"2042", -- .DW
000938 => x"6f6f", -- .DW
000939 => x"7420", -- .DW
000940 => x"6672", -- .DW
000941 => x"6f6d", -- .DW
000942 => x"206d", -- .DW
000943 => x"656d", -- .DW
000944 => x"6f72", -- .DW
000945 => x"7900", -- .DW
000946 => x"2070", -- .DW
000947 => x"3a20", -- .DW
000948 => x"5072", -- .DW
000949 => x"6f67", -- .DW
000950 => x"7261", -- .DW
000951 => x"6d20", -- .DW
000952 => x"4545", -- .DW
000953 => x"5052", -- .DW
000954 => x"4f4d", -- .DW
000955 => x"0000", -- .DW
000956 => x"2064", -- .DW
000957 => x"3a20", -- .DW
000958 => x"5241", -- .DW
000959 => x"4d20", -- .DW
000960 => x"6475", -- .DW
000961 => x"6d70", -- .DW
000962 => x"0000", -- .DW
000963 => x"2072", -- .DW
000964 => x"3a20", -- .DW
000965 => x"5265", -- .DW
000966 => x"7365", -- .DW
000967 => x"7400", -- .DW
000968 => x"636d", -- .DW
000969 => x"643a", -- .DW
000970 => x"3e20", -- .DW
000971 => x"0000", -- .DW
000972 => x"494d", -- .DW
000973 => x"4147", -- .DW
000974 => x"4520", -- .DW
000975 => x"4552", -- .DW
000976 => x"524f", -- .DW
000977 => x"5221", -- .DW
000978 => x"0000", -- .DW
000979 => x"4952", -- .DW
000980 => x"5120", -- .DW
000981 => x"4552", -- .DW
000982 => x"524f", -- .DW
000983 => x"5221", -- .DW
000984 => x"0000", -- .DW
000985 => x"4348", -- .DW
000986 => x"4543", -- .DW
000987 => x"4b53", -- .DW
000988 => x"554d", -- .DW
000989 => x"2045", -- .DW
000990 => x"5252", -- .DW
000991 => x"4f52", -- .DW
000992 => x"2100", -- .DW
000993 => x"5350", -- .DW
000994 => x"492f", -- .DW
000995 => x"4545", -- .DW
000996 => x"5052", -- .DW
000997 => x"4f4d", -- .DW
000998 => x"2045", -- .DW
000999 => x"5252", -- .DW
001000 => x"4f52", -- .DW
001001 => x"2100", -- .DW
001002 => x"5072", -- .DW
001003 => x"6573", -- .DW
001004 => x"7320", -- .DW
001005 => x"616e", -- .DW
001006 => x"7920", -- .DW
001007 => x"6b65", -- .DW
001008 => x"7900", -- .DW
others => x"0000" -- NOP
(
000000 => x"bc0e", -- B
000001 => x"bc04", -- B
000002 => x"bc03", -- B
000003 => x"bc02", -- B
000004 => x"bc01", -- B
000005 => x"c000", -- LDIL
000006 => x"cc00", -- LDIH
000007 => x"ec8a", -- MCR
000008 => x"cc19", -- LDIH
000009 => x"ed0f", -- MCR
000010 => x"c53a", -- LDIL
000011 => x"c907", -- LDIH
000012 => x"be85", -- BL
000013 => x"bc00", -- B
000014 => x"ec11", -- MRC
000015 => x"ec88", -- MCR
000016 => x"ec8a", -- MCR
000017 => x"c000", -- LDIL
000018 => x"ec0b", -- MCR
000019 => x"ec0e", -- MCR
000020 => x"c802", -- LDIH
000021 => x"ec08", -- MCR
000022 => x"c47f", -- LDIL
000023 => x"ec09", -- MCR
000024 => x"ec00", -- MRC
000025 => x"c080", -- LDIL
000026 => x"ccf8", -- LDIH
000027 => x"1c01", -- STSR
000028 => x"c030", -- LDIL
000029 => x"c800", -- LDIH
000030 => x"ed88", -- MCR
000031 => x"c002", -- LDIL
000032 => x"ed8b", -- MCR
000033 => x"c064", -- LDIL
000034 => x"ed8d", -- MCR
000035 => x"c801", -- LDIH
000036 => x"ed0f", -- MCR
000037 => x"ec17", -- MRC
000038 => x"ec97", -- MRC
000039 => x"c160", -- LDIL
000040 => x"c909", -- LDIH
000041 => x"c18f", -- LDIL
000042 => x"0923", -- ADD
000043 => x"29b3", -- CLR
000044 => x"2a44", -- CLR
000045 => x"100a", -- SUBS
000046 => x"149b", -- SBCS
000047 => x"9003", -- BMI
000048 => x"0241", -- INC
000049 => x"bdfc", -- B
000050 => x"ed49", -- MCR
000051 => x"ec22", -- MRC
000052 => x"d406", -- SBR
000053 => x"ed0a", -- MCR
000054 => x"be5d", -- BL
000055 => x"be5c", -- BL
000056 => x"c54c", -- LDIL
000057 => x"c905", -- LDIH
000058 => x"be57", -- BL
000059 => x"c13e", -- LDIL
000060 => x"c906", -- LDIH
000061 => x"be55", -- BL
000062 => x"ee11", -- MRC
000063 => x"be57", -- BL
000064 => x"be53", -- BL
000065 => x"c14e", -- LDIL
000066 => x"c906", -- LDIH
000067 => x"be4f", -- BL
000068 => x"ee97", -- MRC
000069 => x"ee17", -- MRC
000070 => x"be50", -- BL
000071 => x"0250", -- MOV
000072 => x"be4e", -- BL
000073 => x"be4a", -- BL
000074 => x"ec27", -- MRC
000075 => x"c083", -- LDIL
000076 => x"2001", -- AND
000077 => x"c330", -- LDIL
000078 => x"0b60", -- ADD
000079 => x"bc1c", -- B
000080 => x"be43", -- BL
000081 => x"c57a", -- LDIL
000082 => x"c906", -- LDIH
000083 => x"be3e", -- BL
000084 => x"c10a", -- LDIL
000085 => x"c907", -- LDIH
000086 => x"be3b", -- BL
000087 => x"c172", -- LDIL
000088 => x"c907", -- LDIH
000089 => x"be38", -- BL
000090 => x"c502", -- LDIL
000091 => x"c907", -- LDIH
000092 => x"be35", -- BL
000093 => x"c510", -- LDIL
000094 => x"c907", -- LDIH
000095 => x"be32", -- BL
000096 => x"c51a", -- LDIL
000097 => x"c907", -- LDIH
000098 => x"be2f", -- BL
000099 => x"c526", -- LDIL
000100 => x"c907", -- LDIH
000101 => x"be2d", -- BL
000102 => x"be2f", -- BL
000103 => x"0300", -- MOV
000104 => x"00e0", -- MOV
000105 => x"be2b", -- BL
000106 => x"be29", -- BL
000107 => x"c0b0", -- LDIL
000108 => x"181e", -- CMP
000109 => x"81e3", -- BEQ
000110 => x"c0b1", -- LDIL
000111 => x"181e", -- CMP
000112 => x"8078", -- BEQ
000113 => x"c0b2", -- LDIL
000114 => x"181e", -- CMP
000115 => x"8024", -- BEQ
000116 => x"c0b3", -- LDIL
000117 => x"181e", -- CMP
000118 => x"8015", -- BEQ
000119 => x"c2f4", -- LDIL
000120 => x"ca83", -- LDIH
000121 => x"c0f0", -- LDIL
000122 => x"181e", -- CMP
000123 => x"f705", -- RBAEQ
000124 => x"c6f2", -- LDIL
000125 => x"ca82", -- LDIH
000126 => x"c0e4", -- LDIL
000127 => x"181e", -- CMP
000128 => x"f705", -- RBAEQ
000129 => x"c68c", -- LDIL
000130 => x"ca85", -- LDIH
000131 => x"c0f7", -- LDIL
000132 => x"181e", -- CMP
000133 => x"f705", -- RBAEQ
000134 => x"c0f2", -- LDIL
000135 => x"181e", -- CMP
000136 => x"85db", -- BNE
000137 => x"2800", -- CLR
000138 => x"3400", -- GT
000139 => x"c15e", -- LDIL
000140 => x"c906", -- LDIH
000141 => x"be04", -- BL
000142 => x"2800", -- CLR
000143 => x"2100", -- STUB
000144 => x"bcb3", -- B
000145 => x"bca9", -- B
000146 => x"bca9", -- B
000147 => x"bca9", -- B
000148 => x"bca9", -- B
000149 => x"bca9", -- B
000150 => x"bcac", -- B
000151 => x"c15e", -- LDIL
000152 => x"c906", -- LDIH
000153 => x"bea1", -- BL
000154 => x"c100", -- LDIL
000155 => x"bea4", -- BL
000156 => x"3eb0", -- SFT
000157 => x"c101", -- LDIL
000158 => x"bea1", -- BL
000159 => x"26d3", -- ORR
000160 => x"c47e", -- LDIL
000161 => x"cc4a", -- LDIH
000162 => x"180d", -- CMP
000163 => x"849d", -- BNE
000164 => x"c102", -- LDIL
000165 => x"be9a", -- BL
000166 => x"3eb0", -- SFT
000167 => x"c103", -- LDIL
000168 => x"be97", -- BL
000169 => x"26d3", -- ORR
000170 => x"2055", -- STUB
000171 => x"c104", -- LDIL
000172 => x"be93", -- BL
000173 => x"3eb0", -- SFT
000174 => x"c105", -- LDIL
000175 => x"be90", -- BL
000176 => x"26d3", -- ORR
000177 => x"20d5", -- STUB
000178 => x"c106", -- LDIL
000179 => x"be8c", -- BL
000180 => x"3eb0", -- SFT
000181 => x"c107", -- LDIL
000182 => x"be89", -- BL
000183 => x"26d3", -- ORR
000184 => x"2155", -- STUB
000185 => x"c108", -- LDIL
000186 => x"be85", -- BL
000187 => x"3eb0", -- SFT
000188 => x"c109", -- LDIL
000189 => x"be82", -- BL
000190 => x"26d3", -- ORR
000191 => x"21d5", -- STUB
000192 => x"c10a", -- LDIL
000193 => x"be7e", -- BL
000194 => x"3eb0", -- SFT
000195 => x"c10b", -- LDIL
000196 => x"be7b", -- BL
000197 => x"26d3", -- ORR
000198 => x"2255", -- STUB
000199 => x"c10c", -- LDIL
000200 => x"be77", -- BL
000201 => x"3eb0", -- SFT
000202 => x"c10d", -- LDIL
000203 => x"be74", -- BL
000204 => x"26d3", -- ORR
000205 => x"22d5", -- STUB
000206 => x"c10e", -- LDIL
000207 => x"be70", -- BL
000208 => x"3eb0", -- SFT
000209 => x"c10f", -- LDIL
000210 => x"be6d", -- BL
000211 => x"26d3", -- ORR
000212 => x"2355", -- STUB
000213 => x"c300", -- LDIL
000214 => x"ecea", -- MCR
000215 => x"23e6", -- STUB
000216 => x"c010", -- LDIL
000217 => x"0960", -- ADD
000218 => x"be65", -- BL
000219 => x"3eb0", -- SFT
000220 => x"c011", -- LDIL
000221 => x"0960", -- ADD
000222 => x"be61", -- BL
000223 => x"26d3", -- ORR
000224 => x"7eea", -- STR
000225 => x"2477", -- LDUB
000226 => x"2805", -- EOR
000227 => x"2380", -- STUB
000228 => x"2400", -- LDUB
000229 => x"1868", -- CMP
000230 => x"85f2", -- BNE
000231 => x"bc41", -- B
000232 => x"c15e", -- LDIL
000233 => x"c906", -- LDIH
000234 => x"be50", -- BL
000235 => x"c17a", -- LDIL
000236 => x"c906", -- LDIH
000237 => x"be4d", -- BL
000238 => x"be50", -- BL
000239 => x"3c80", -- SFT
000240 => x"be4e", -- BL
000241 => x"2490", -- ORR
000242 => x"c47e", -- LDIL
000243 => x"cc4a", -- LDIH
000244 => x"1818", -- CMP
000245 => x"844b", -- BNE
000246 => x"be48", -- BL
000247 => x"3c80", -- SFT
000248 => x"be46", -- BL
000249 => x"2490", -- ORR
000250 => x"3c94", -- SFT
000251 => x"2011", -- STUB
000252 => x"be42", -- BL
000253 => x"3c80", -- SFT
000254 => x"be40", -- BL
000255 => x"2490", -- ORR
000256 => x"2091", -- STUB
000257 => x"be3d", -- BL
000258 => x"3c80", -- SFT
000259 => x"be3b", -- BL
000260 => x"2490", -- ORR
000261 => x"2111", -- STUB
000262 => x"be38", -- BL
000263 => x"3c80", -- SFT
000264 => x"be36", -- BL
000265 => x"2490", -- ORR
000266 => x"2191", -- STUB
000267 => x"be33", -- BL
000268 => x"3c80", -- SFT
000269 => x"be31", -- BL
000270 => x"2490", -- ORR
000271 => x"2211", -- STUB
000272 => x"be2e", -- BL
000273 => x"3c80", -- SFT
000274 => x"be2c", -- BL
000275 => x"2490", -- ORR
000276 => x"2291", -- STUB
000277 => x"be29", -- BL
000278 => x"3c80", -- SFT
000279 => x"be27", -- BL
000280 => x"2490", -- ORR
000281 => x"2311", -- STUB
000282 => x"2ad5", -- CLR
000283 => x"ecda", -- MCR
000284 => x"23d5", -- STUB
000285 => x"be21", -- BL
000286 => x"3c80", -- SFT
000287 => x"be1f", -- BL
000288 => x"2490", -- ORR
000289 => x"7cda", -- STR
000290 => x"2477", -- LDUB
000291 => x"2801", -- EOR
000292 => x"2380", -- STUB
000293 => x"2400", -- LDUB
000294 => x"1858", -- CMP
000295 => x"85f6", -- BNE
000296 => x"ec11", -- MRC
000297 => x"ec8a", -- MCR
000298 => x"c524", -- LDIL
000299 => x"c906", -- LDIH
000300 => x"be0e", -- BL
000301 => x"2477", -- LDUB
000302 => x"2491", -- LDUB
000303 => x"1809", -- CMP
000304 => x"8013", -- BEQ
000305 => x"c546", -- LDIL
000306 => x"c907", -- LDIH
000307 => x"be07", -- BL
000308 => x"c564", -- LDIL
000309 => x"c907", -- LDIH
000310 => x"be04", -- BL
000311 => x"be07", -- BL
000312 => x"2800", -- CLR
000313 => x"3400", -- GT
000314 => x"bcdd", -- B
000315 => x"bcdf", -- B
000316 => x"bceb", -- B
000317 => x"bcef", -- B
000318 => x"bcf3", -- B
000319 => x"bc79", -- B
000320 => x"bccd", -- B
000321 => x"bd22", -- B
000322 => x"bc77", -- B
000323 => x"c514", -- LDIL
000324 => x"c906", -- LDIH
000325 => x"bed5", -- BL
000326 => x"24aa", -- LDUBS
000327 => x"8024", -- BEQ
000328 => x"c0a2", -- LDIL
000329 => x"bee3", -- BL
000330 => x"24a2", -- LDUB
000331 => x"3c90", -- SFT
000332 => x"bee0", -- BL
000333 => x"3c90", -- SFT
000334 => x"bede", -- BL
000335 => x"24b3", -- LDUB
000336 => x"3c90", -- SFT
000337 => x"bedb", -- BL
000338 => x"3c90", -- SFT
000339 => x"bed9", -- BL
000340 => x"24c4", -- LDUB
000341 => x"3c90", -- SFT
000342 => x"bed6", -- BL
000343 => x"3c90", -- SFT
000344 => x"bed4", -- BL
000345 => x"24d5", -- LDUB
000346 => x"3c90", -- SFT
000347 => x"bed1", -- BL
000348 => x"3c90", -- SFT
000349 => x"becf", -- BL
000350 => x"24e6", -- LDUB
000351 => x"3c90", -- SFT
000352 => x"becc", -- BL
000353 => x"3c90", -- SFT
000354 => x"beca", -- BL
000355 => x"c0a2", -- LDIL
000356 => x"bec8", -- BL
000357 => x"bec2", -- BL
000358 => x"c564", -- LDIL
000359 => x"c906", -- LDIH
000360 => x"beb2", -- BL
000361 => x"2677", -- LDUB
000362 => x"be4f", -- BL
000363 => x"bebc", -- BL
000364 => x"bebb", -- BL
000365 => x"2800", -- CLR
000366 => x"d58e", -- SBR
000367 => x"d5bf", -- SBR
000368 => x"1c03", -- STSR
000369 => x"ed0f", -- MCR
000370 => x"ec88", -- MCR
000371 => x"ec88", -- MCR
000372 => x"ec8b", -- MCR
000373 => x"ec8c", -- MCR
000374 => x"ec8a", -- MCR
000375 => x"ec89", -- MCR
000376 => x"3400", -- GT
000377 => x"c538", -- LDIL
000378 => x"c906", -- LDIH
000379 => x"be9f", -- BL
000380 => x"beba", -- BL
000381 => x"beb4", -- BL
000382 => x"c08d", -- LDIL
000383 => x"1809", -- CMP
000384 => x"8006", -- BEQ
000385 => x"c088", -- LDIL
000386 => x"1809", -- CMP
000387 => x"85fa", -- BNE
000388 => x"bea3", -- BL
000389 => x"bdbc", -- B
000390 => x"ecca", -- MCR
000391 => x"bea0", -- BL
000392 => x"c280", -- LDIL
000393 => x"c00f", -- LDIL
000394 => x"2058", -- ANDS
000395 => x"840a", -- BNE
000396 => x"be9b", -- BL
000397 => x"c0a4", -- LDIL
000398 => x"be9e", -- BL
000399 => x"0250", -- MOV
000400 => x"becb", -- BL
000401 => x"c0ba", -- LDIL
000402 => x"be9a", -- BL
000403 => x"c0a0", -- LDIL
000404 => x"be98", -- BL
000405 => x"7a5a", -- LDR
000406 => x"c0a0", -- LDIL
000407 => x"be95", -- BL
000408 => x"bec3", -- BL
000409 => x"c00f", -- LDIL
000410 => x"2058", -- ANDS
000411 => x"8414", -- BNE
000412 => x"c0a0", -- LDIL
000413 => x"be8f", -- BL
000414 => x"be8e", -- BL
000415 => x"c010", -- LDIL
000416 => x"1250", -- SUB
000417 => x"c470", -- LDIL
000418 => x"2240", -- AND
000419 => x"78c9", -- LDR
000420 => x"3c90", -- SFT
000421 => x"c880", -- LDIH
000422 => x"c020", -- LDIL
000423 => x"1818", -- CMP
000424 => x"a402", -- BLS
000425 => x"c0ae", -- LDIL
000426 => x"be82", -- BL
000427 => x"c08f", -- LDIL
000428 => x"2014", -- AND
000429 => x"3409", -- TEQ
000430 => x"85f5", -- BNE
000431 => x"ec20", -- MRC
000432 => x"dc0f", -- STB
000433 => x"b804", -- BTS
000434 => x"c5fe", -- LDIL
000435 => x"343d", -- TEQ
000436 => x"85d5", -- BNE
000437 => x"be7c", -- BL
000438 => x"2800", -- CLR
000439 => x"3400", -- GT
000440 => x"bc5e", -- B
000441 => x"bca2", -- B
000442 => x"c001", -- LDIL
000443 => x"ed0c", -- MCR
000444 => x"c050", -- LDIL
000445 => x"c83f", -- LDIH
000446 => x"ed0a", -- MCR
000447 => x"c000", -- LDIL
000448 => x"c801", -- LDIH
000449 => x"beb8", -- BL
000450 => x"c16a", -- LDIL
000451 => x"c906", -- LDIH
000452 => x"be53", -- BL
000453 => x"c17a", -- LDIL
000454 => x"c906", -- LDIH
000455 => x"be50", -- BL
000456 => x"be69", -- BL
000457 => x"3c80", -- SFT
000458 => x"be67", -- BL
000459 => x"2410", -- ORR
000460 => x"c4fe", -- LDIL
000461 => x"ccca", -- LDIH
000462 => x"1809", -- CMP
000463 => x"843e", -- BNE
000464 => x"c100", -- LDIL
000465 => x"c5ca", -- LDIL
000466 => x"bead", -- BL
000467 => x"c101", -- LDIL
000468 => x"c5fe", -- LDIL
000469 => x"beaa", -- BL
000470 => x"be5b", -- BL
000471 => x"3c80", -- SFT
000472 => x"be59", -- BL
000473 => x"2690", -- ORR
000474 => x"3ed4", -- SFT
000475 => x"2055", -- STUB
000476 => x"c102", -- LDIL
000477 => x"3dd0", -- SFT
000478 => x"bea1", -- BL
000479 => x"c103", -- LDIL
000480 => x"01d0", -- MOV
000481 => x"be9e", -- BL
000482 => x"be4f", -- BL
000483 => x"3c80", -- SFT
000484 => x"be4d", -- BL
000485 => x"2690", -- ORR
000486 => x"20d5", -- STUB
000487 => x"c104", -- LDIL
000488 => x"3dd0", -- SFT
000489 => x"be96", -- BL
000490 => x"c105", -- LDIL
000491 => x"01d0", -- MOV
000492 => x"be93", -- BL
000493 => x"c106", -- LDIL
000494 => x"be43", -- BL
000495 => x"0180", -- MOV
000496 => x"be8f", -- BL
000497 => x"0121", -- INC
000498 => x"c010", -- LDIL
000499 => x"1828", -- CMP
000500 => x"85fa", -- BNE
000501 => x"c110", -- LDIL
000502 => x"2ad5", -- CLR
000503 => x"be3a", -- BL
000504 => x"0180", -- MOV
000505 => x"be86", -- BL
000506 => x"0121", -- INC
000507 => x"2400", -- LDUB
000508 => x"02d1", -- INC
000509 => x"1858", -- CMP
000510 => x"85f9", -- BNE
000511 => x"c001", -- LDIL
000512 => x"ed0c", -- MCR
000513 => x"c050", -- LDIL
000514 => x"c83f", -- LDIH
000515 => x"ed0a", -- MCR
000516 => x"c00c", -- LDIL
000517 => x"c801", -- LDIH
000518 => x"be73", -- BL
000519 => x"c524", -- LDIL
000520 => x"c906", -- LDIH
000521 => x"be0e", -- BL
000522 => x"c6a0", -- LDIL
000523 => x"ca80", -- LDIH
000524 => x"3450", -- GT
000525 => x"c52e", -- LDIL
000526 => x"c907", -- LDIH
000527 => x"be08", -- BL
000528 => x"c564", -- LDIL
000529 => x"c907", -- LDIH
000530 => x"be05", -- BL
000531 => x"be1e", -- BL
000532 => x"2800", -- CLR
000533 => x"3400", -- GT
000534 => x"bc9e", -- B
000535 => x"c5ff", -- LDIL
000536 => x"0270", -- MOV
000537 => x"bc03", -- B
000538 => x"29b3", -- CLR
000539 => x"0270", -- MOV
000540 => x"7829", -- LDR
000541 => x"c080", -- LDIL
000542 => x"ccff", -- LDIH
000543 => x"2081", -- AND
000544 => x"3c98", -- SFTS
000545 => x"8003", -- BEQ
000546 => x"be0a", -- BL
000547 => x"bdf9", -- B
000548 => x"03c0", -- MOV
000549 => x"343b", -- TEQ
000550 => x"f707", -- RBAEQ
000551 => x"0170", -- MOV
000552 => x"c08d", -- LDIL
000553 => x"be03", -- BL
000554 => x"c08a", -- LDIL
000555 => x"03a0", -- MOV
000556 => x"ec22", -- MRC
000557 => x"dc05", -- STB
000558 => x"b9fe", -- BTS
000559 => x"ed18", -- MCR
000560 => x"3470", -- RET
000561 => x"ec20", -- MRC
000562 => x"dc8f", -- STBI
000563 => x"b9fe", -- BTS
000564 => x"c800", -- LDIH
000565 => x"3470", -- RET
000566 => x"0170", -- MOV
000567 => x"c200", -- LDIL
000568 => x"c184", -- LDIL
000569 => x"bff8", -- BL
000570 => x"c0c6", -- LDIL
000571 => x"1809", -- CMP
000572 => x"9003", -- BMI
000573 => x"c0a0", -- LDIL
000574 => x"1001", -- SUB
000575 => x"c0b0", -- LDIL
000576 => x"1809", -- CMP
000577 => x"91f8", -- BMI
000578 => x"c0c6", -- LDIL
000579 => x"1818", -- CMP
000580 => x"91f5", -- BMI
000581 => x"c0b9", -- LDIL
000582 => x"1818", -- CMP
000583 => x"a404", -- BLS
000584 => x"c0c1", -- LDIL
000585 => x"1809", -- CMP
000586 => x"a1ef", -- BHI
000587 => x"0080", -- MOV
000588 => x"bfe0", -- BL
000589 => x"c030", -- LDIL
000590 => x"1090", -- SUB
000591 => x"c009", -- LDIL
000592 => x"1809", -- CMP
000593 => x"a402", -- BLS
000594 => x"0497", -- DEC
000595 => x"3e42", -- SFT
000596 => x"3e42", -- SFT
000597 => x"3e42", -- SFT
000598 => x"3e42", -- SFT
000599 => x"2641", -- ORR
000600 => x"05b9", -- DECS
000601 => x"85e0", -- BNE
000602 => x"3420", -- RET
000603 => x"0370", -- MOV
000604 => x"3d42", -- SFT
000605 => x"3d22", -- SFT
000606 => x"3d22", -- SFT
000607 => x"3d22", -- SFT
000608 => x"be0f", -- BL
000609 => x"bfcb", -- BL
000610 => x"3d40", -- SFT
000611 => x"be0c", -- BL
000612 => x"bfc8", -- BL
000613 => x"3d45", -- SFT
000614 => x"3d25", -- SFT
000615 => x"3d25", -- SFT
000616 => x"3d25", -- SFT
000617 => x"be06", -- BL
000618 => x"bfc2", -- BL
000619 => x"0140", -- MOV
000620 => x"be03", -- BL
000621 => x"bfbf", -- BL
000622 => x"3460", -- RET
000623 => x"c08f", -- LDIL
000624 => x"2121", -- AND
000625 => x"c089", -- LDIL
000626 => x"181a", -- CMP
000627 => x"8803", -- BCS
000628 => x"c0b0", -- LDIL
000629 => x"bc02", -- B
000630 => x"c0b7", -- LDIL
000631 => x"0892", -- ADD
000632 => x"3470", -- RET
000633 => x"ed0b", -- MCR
000634 => x"ec22", -- MRC
000635 => x"dc03", -- STB
000636 => x"b9fe", -- BTS
000637 => x"ec23", -- MRC
000638 => x"3470", -- RET
000639 => x"00f0", -- MOV
000640 => x"c050", -- LDIL
000641 => x"c837", -- LDIH
000642 => x"ed0a", -- MCR
000643 => x"c001", -- LDIL
000644 => x"ed0c", -- MCR
000645 => x"c006", -- LDIL
000646 => x"bff3", -- BL
000647 => x"c050", -- LDIL
000648 => x"c83f", -- LDIH
000649 => x"ed0a", -- MCR
000650 => x"c000", -- LDIL
000651 => x"c805", -- LDIH
000652 => x"bfed", -- BL
000653 => x"dc01", -- STB
000654 => x"b80a", -- BTS
000655 => x"c554", -- LDIL
000656 => x"c907", -- LDIH
000657 => x"bf86", -- BL
000658 => x"c564", -- LDIL
000659 => x"c907", -- LDIH
000660 => x"bf83", -- BL
000661 => x"bf9c", -- BL
000662 => x"2800", -- CLR
000663 => x"3400", -- GT
000664 => x"c040", -- LDIL
000665 => x"c83f", -- LDIH
000666 => x"ed0a", -- MCR
000667 => x"c001", -- LDIL
000668 => x"ed0c", -- MCR
000669 => x"3c20", -- SFT
000670 => x"c802", -- LDIH
000671 => x"bfda", -- BL
000672 => x"03a0", -- MOV
000673 => x"cb80", -- LDIH
000674 => x"3ff0", -- SFT
000675 => x"0030", -- MOV
000676 => x"c800", -- LDIH
000677 => x"2407", -- ORR
000678 => x"bfd3", -- BL
000679 => x"2800", -- CLR
000680 => x"ed0c", -- MCR
000681 => x"c050", -- LDIL
000682 => x"c83f", -- LDIH
000683 => x"ed0a", -- MCR
000684 => x"c001", -- LDIL
000685 => x"ed0c", -- MCR
000686 => x"c000", -- LDIL
000687 => x"c805", -- LDIH
000688 => x"bfc9", -- BL
000689 => x"dc00", -- STB
000690 => x"b9fc", -- BTS
000691 => x"3410", -- RET
000692 => x"00f0", -- MOV
000693 => x"c040", -- LDIL
000694 => x"c83f", -- LDIH
000695 => x"ed0a", -- MCR
000696 => x"c001", -- LDIL
000697 => x"ed0c", -- MCR
000698 => x"3c20", -- SFT
000699 => x"c803", -- LDIH
000700 => x"bfbd", -- BL
000701 => x"0020", -- MOV
000702 => x"c800", -- LDIH
000703 => x"3c00", -- SFT
000704 => x"bfb9", -- BL
000705 => x"29b3", -- CLR
000706 => x"ed3c", -- MCR
000707 => x"0180", -- MOV
000708 => x"c980", -- LDIH
000709 => x"3410", -- RET
000710 => x"c54e", -- LDIL
000711 => x"c906", -- LDIH
000712 => x"bf52", -- BL
000713 => x"bf6d", -- BL
000714 => x"edca", -- MCR
000715 => x"bf6b", -- BL
000716 => x"edc9", -- MCR
000717 => x"bf64", -- BL
000718 => x"c08d", -- LDIL
000719 => x"1809", -- CMP
000720 => x"8005", -- BEQ
000721 => x"c088", -- LDIL
000722 => x"1809", -- CMP
000723 => x"8009", -- BEQ
000724 => x"bdf9", -- B
000725 => x"be0b", -- BL
000726 => x"0300", -- MOV
000727 => x"c572", -- LDIL
000728 => x"c906", -- LDIH
000729 => x"bf41", -- BL
000730 => x"0260", -- MOV
000731 => x"bf80", -- BL
000732 => x"bf4b", -- BL
000733 => x"c6c6", -- LDIL
000734 => x"ca80", -- LDIH
000735 => x"3450", -- GT
000736 => x"e5b0", -- CDP
000737 => x"ec30", -- MRC
000738 => x"dc06", -- STB
000739 => x"b9fe", -- BTS
000740 => x"ec34", -- MRC
000741 => x"3470", -- RET
000742 => x"4174", -- .DW
000743 => x"6c61", -- .DW
000744 => x"732d", -- .DW
000745 => x"324b", -- .DW
000746 => x"2042", -- .DW
000747 => x"6f6f", -- .DW
000748 => x"746c", -- .DW
000749 => x"6f61", -- .DW
000750 => x"6465", -- .DW
000751 => x"7220", -- .DW
000752 => x"2d20", -- .DW
000753 => x"5632", -- .DW
000754 => x"3031", -- .DW
000755 => x"3430", -- .DW
000756 => x"3431", -- .DW
000757 => x"300d", -- .DW
000758 => x"0a62", -- .DW
000759 => x"7920", -- .DW
000760 => x"5374", -- .DW
000761 => x"6570", -- .DW
000762 => x"6861", -- .DW
000763 => x"6e20", -- .DW
000764 => x"4e6f", -- .DW
000765 => x"6c74", -- .DW
000766 => x"696e", -- .DW
000767 => x"672c", -- .DW
000768 => x"2073", -- .DW
000769 => x"746e", -- .DW
000770 => x"6f6c", -- .DW
000771 => x"7469", -- .DW
000772 => x"6e67", -- .DW
000773 => x"4067", -- .DW
000774 => x"6d61", -- .DW
000775 => x"696c", -- .DW
000776 => x"2e63", -- .DW
000777 => x"6f6d", -- .DW
000778 => x"0d0a", -- .DW
000779 => x"7777", -- .DW
000780 => x"772e", -- .DW
000781 => x"6f70", -- .DW
000782 => x"656e", -- .DW
000783 => x"636f", -- .DW
000784 => x"7265", -- .DW
000785 => x"732e", -- .DW
000786 => x"6f72", -- .DW
000787 => x"672f", -- .DW
000788 => x"7072", -- .DW
000789 => x"6f6a", -- .DW
000790 => x"6563", -- .DW
000791 => x"742c", -- .DW
000792 => x"6174", -- .DW
000793 => x"6c61", -- .DW
000794 => x"735f", -- .DW
000795 => x"636f", -- .DW
000796 => x"7265", -- .DW
000797 => x"0d0a", -- .DW
000798 => x"0000", -- .DW
000799 => x"426f", -- .DW
000800 => x"6f74", -- .DW
000801 => x"6c6f", -- .DW
000802 => x"6164", -- .DW
000803 => x"6572", -- .DW
000804 => x"2040", -- .DW
000805 => x"2030", -- .DW
000806 => x"7800", -- .DW
000807 => x"436c", -- .DW
000808 => x"6f63", -- .DW
000809 => x"6b20", -- .DW
000810 => x"2848", -- .DW
000811 => x"7a29", -- .DW
000812 => x"3a20", -- .DW
000813 => x"3078", -- .DW
000814 => x"0000", -- .DW
000815 => x"426f", -- .DW
000816 => x"6f74", -- .DW
000817 => x"696e", -- .DW
000818 => x"672e", -- .DW
000819 => x"2e2e", -- .DW
000820 => x"0000", -- .DW
000821 => x"4275", -- .DW
000822 => x"726e", -- .DW
000823 => x"696e", -- .DW
000824 => x"6720", -- .DW
000825 => x"4545", -- .DW
000826 => x"5052", -- .DW
000827 => x"4f4d", -- .DW
000828 => x"0000", -- .DW
000829 => x"5761", -- .DW
000830 => x"6974", -- .DW
000831 => x"696e", -- .DW
000832 => x"6720", -- .DW
000833 => x"666f", -- .DW
000834 => x"7220", -- .DW
000835 => x"696d", -- .DW
000836 => x"6167", -- .DW
000837 => x"6520", -- .DW
000838 => x"6461", -- .DW
000839 => x"7461", -- .DW
000840 => x"2e2e", -- .DW
000841 => x"2e00", -- .DW
000842 => x"5374", -- .DW
000843 => x"6172", -- .DW
000844 => x"7469", -- .DW
000845 => x"6e67", -- .DW
000846 => x"2069", -- .DW
000847 => x"6d61", -- .DW
000848 => x"6765", -- .DW
000849 => x"2000", -- .DW
000850 => x"446f", -- .DW
000851 => x"776e", -- .DW
000852 => x"6c6f", -- .DW
000853 => x"6164", -- .DW
000854 => x"2063", -- .DW
000855 => x"6f6d", -- .DW
000856 => x"706c", -- .DW
000857 => x"6574", -- .DW
000858 => x"6564", -- .DW
000859 => x"2100", -- .DW
000860 => x"456e", -- .DW
000861 => x"7465", -- .DW
000862 => x"7220", -- .DW
000863 => x"7061", -- .DW
000864 => x"6765", -- .DW
000865 => x"2028", -- .DW
000866 => x"3468", -- .DW
000867 => x"6578", -- .DW
000868 => x"293a", -- .DW
000869 => x"2030", -- .DW
000870 => x"7800", -- .DW
000871 => x"456e", -- .DW
000872 => x"7465", -- .DW
000873 => x"7220", -- .DW
000874 => x"6164", -- .DW
000875 => x"6472", -- .DW
000876 => x"2028", -- .DW
000877 => x"3868", -- .DW
000878 => x"6578", -- .DW
000879 => x"293a", -- .DW
000880 => x"2030", -- .DW
000881 => x"7800", -- .DW
000882 => x"4368", -- .DW
000883 => x"6563", -- .DW
000884 => x"6b73", -- .DW
000885 => x"756d", -- .DW
000886 => x"3a20", -- .DW
000887 => x"3078", -- .DW
000888 => x"0000", -- .DW
000889 => x"0d0a", -- .DW
000890 => x"2d3e", -- .DW
000891 => x"2030", -- .DW
000892 => x"7800", -- .DW
000893 => x"636d", -- .DW
000894 => x"642f", -- .DW
000895 => x"626f", -- .DW
000896 => x"6f74", -- .DW
000897 => x"2d73", -- .DW
000898 => x"7769", -- .DW
000899 => x"7463", -- .DW
000900 => x"6800", -- .DW
000901 => x"2030", -- .DW
000902 => x"2f27", -- .DW
000903 => x"3030", -- .DW
000904 => x"273a", -- .DW
000905 => x"2052", -- .DW
000906 => x"6573", -- .DW
000907 => x"7461", -- .DW
000908 => x"7274", -- .DW
000909 => x"2063", -- .DW
000910 => x"6f6e", -- .DW
000911 => x"736f", -- .DW
000912 => x"6c65", -- .DW
000913 => x"0d0a", -- .DW
000914 => x"2031", -- .DW
000915 => x"2f27", -- .DW
000916 => x"3031", -- .DW
000917 => x"273a", -- .DW
000918 => x"2042", -- .DW
000919 => x"6f6f", -- .DW
000920 => x"7420", -- .DW
000921 => x"6672", -- .DW
000922 => x"6f6d", -- .DW
000923 => x"2055", -- .DW
000924 => x"4152", -- .DW
000925 => x"540d", -- .DW
000926 => x"0a20", -- .DW
000927 => x"322f", -- .DW
000928 => x"2731", -- .DW
000929 => x"3027", -- .DW
000930 => x"3a20", -- .DW
000931 => x"426f", -- .DW
000932 => x"6f74", -- .DW
000933 => x"2066", -- .DW
000934 => x"726f", -- .DW
000935 => x"6d20", -- .DW
000936 => x"4545", -- .DW
000937 => x"5052", -- .DW
000938 => x"4f4d", -- .DW
000939 => x"0d0a", -- .DW
000940 => x"2033", -- .DW
000941 => x"2f27", -- .DW
000942 => x"3131", -- .DW
000943 => x"273a", -- .DW
000944 => x"2042", -- .DW
000945 => x"6f6f", -- .DW
000946 => x"7420", -- .DW
000947 => x"6672", -- .DW
000948 => x"6f6d", -- .DW
000949 => x"206d", -- .DW
000950 => x"656d", -- .DW
000951 => x"6f72", -- .DW
000952 => x"7900", -- .DW
000953 => x"2070", -- .DW
000954 => x"3a20", -- .DW
000955 => x"4275", -- .DW
000956 => x"726e", -- .DW
000957 => x"2045", -- .DW
000958 => x"4550", -- .DW
000959 => x"524f", -- .DW
000960 => x"4d00", -- .DW
000961 => x"2064", -- .DW
000962 => x"3a20", -- .DW
000963 => x"5241", -- .DW
000964 => x"4d20", -- .DW
000965 => x"6475", -- .DW
000966 => x"6d70", -- .DW
000967 => x"0000", -- .DW
000968 => x"2072", -- .DW
000969 => x"3a20", -- .DW
000970 => x"5265", -- .DW
000971 => x"7365", -- .DW
000972 => x"7400", -- .DW
000973 => x"2077", -- .DW
000974 => x"3a20", -- .DW
000975 => x"5742", -- .DW
000976 => x"2064", -- .DW
000977 => x"756d", -- .DW
000978 => x"7000", -- .DW
000979 => x"636d", -- .DW
000980 => x"643a", -- .DW
000981 => x"3e20", -- .DW
000982 => x"0000", -- .DW
000983 => x"494d", -- .DW
000984 => x"4147", -- .DW
000985 => x"4520", -- .DW
000986 => x"4552", -- .DW
000987 => x"5221", -- .DW
000988 => x"0000", -- .DW
000989 => x"0d0a", -- .DW
000990 => x"4952", -- .DW
000991 => x"5120", -- .DW
000992 => x"4552", -- .DW
000993 => x"5221", -- .DW
000994 => x"0000", -- .DW
000995 => x"4348", -- .DW
000996 => x"4543", -- .DW
000997 => x"4b53", -- .DW
000998 => x"554d", -- .DW
000999 => x"2045", -- .DW
001000 => x"5252", -- .DW
001001 => x"2100", -- .DW
001002 => x"5350", -- .DW
001003 => x"492f", -- .DW
001004 => x"4545", -- .DW
001005 => x"5052", -- .DW
001006 => x"4f4d", -- .DW
001007 => x"2045", -- .DW
001008 => x"5252", -- .DW
001009 => x"2100", -- .DW
001010 => x"5072", -- .DW
001011 => x"6573", -- .DW
001012 => x"7320", -- .DW
001013 => x"616e", -- .DW
001014 => x"7920", -- .DW
001015 => x"6b65", -- .DW
001016 => x"7900", -- .DW
others => x"0000" -- NOP
);
------------------------------------------------------
 
/trunk/rtl/ATLAS_pkg.vhd
4,7 → 4,7
-- # All architecture configurations, options, signal #
-- # definitions and components are listed here. #
-- # **************************************************** #
-- # Last modified: 23.03.2014 #
-- # Last modified: 09.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
19,7 → 19,7
-- -------------------------------------------------------------------------------------------
constant big_endian_c : boolean := false; -- use little/big endian memory system
constant build_mul_c : boolean := true; -- build a dedicated MUL unit
constant build_mac_c : boolean := false; -- build a dedicated MAC unit
constant build_mac_c : boolean := false; -- build a dedicated MAC unit - do not change!
constant word_mode_en_c : boolean := false; -- use word-addressed memory system instead of byte-addressed
constant wb_fifo_size_c : natural := 32; -- Wishbone fifo size in words (power of 2!)
 
726,7 → 726,7
PIO_IN_I : in std_logic_vector(15 downto 0); -- parallel input
SYS_OUT_O : out std_logic_vector(07 downto 0); -- system output
SYS_IN_I : in std_logic_vector(07 downto 0); -- system input
IRQ_I : in std_logic_vector(01 downto 0); -- IRQs
IRQ_I : in std_logic; -- IRQ
 
-- Wishbone Bus --
WB_CLK_O : out std_logic; -- bus clock
/trunk/rtl/COM_1_CORE.vhd
6,7 → 6,7
-- # -> Variable Length Burst-Transfers #
-- # -> Bus access is pipelined #
-- # ***************************************************** #
-- # Last modified: 23.03.2014 #
-- # Last modified: 10.04.2014 #
-- # ***************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- #########################################################
131,6 → 131,7
TIMEOUT_VAL <= (others => '0');
BUS_ERR_IRQ_EN <= '0';
TRANS_DONE_IRQ_EN <= '0';
TIMEOUT_IRQ_EN <= '0';
elsif (ICE_I = '1') then -- interface enable
if (W_EN_I = '1') and (ARB_BUSY = '0') then -- register update only if not busy
case (ADR_I) is
/trunk/rtl/SYSTEM_CP.vhd
3,7 → 3,7
-- # **************************************************** #
-- # Top entity of the system extension coprocessor. #
-- # **************************************************** #
-- # Last modified: 21.03.2014 #
-- # Last modified: 09.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
76,7 → 76,7
SYS_IN_I : in std_logic_vector(07 downto 0); -- system input
 
-- IRQs --
IRQ_I : in std_logic_vector(01 downto 0); -- IRQs
IRQ_I : in std_logic; -- IRQ
 
-- ###############################################################################################
-- ## Wishbone Bus ##
222,8 → 222,8
INT_ASSIGN(3) <= UART_TX_IRQ; -- UART data send irq
INT_ASSIGN(4) <= SPI_IRQ; -- SPI transfer done irq
INT_ASSIGN(5) <= PIO_IRQ; -- PIO input change IRQ
INT_ASSIGN(6) <= IRQ_I(0); -- 'external' irq
INT_ASSIGN(7) <= IRQ_I(1); -- 'external' irq
INT_ASSIGN(6) <= '0'; -- reserved
INT_ASSIGN(7) <= IRQ_I; -- 'external' irq
 
 
 
/trunk/rtl/ATLAS_2K_BASE_TOP.vhd
11,7 → 11,7
-- # declared in this section (in Hz). #
-- # #
-- # ***************************************************** #
-- # Last modified: 22.03.2014 #
-- # Last modified: 09.04.2014 #
-- # ***************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- #########################################################
112,7 → 112,7
PIO_IN_I : in std_logic_vector(15 downto 0); -- parallel input
SYS_OUT_O : out std_logic_vector(07 downto 0); -- system parallel output
SYS_IN_I : in std_logic_vector(07 downto 0); -- system parallel input
IRQ_I : in std_logic_vector(01 downto 0); -- IRQs
IRQ_I : in std_logic; -- IRQ
WB_CLK_O : out std_logic; -- bus clock
WB_RST_O : out std_logic; -- bus reset, sync, high active
WB_ADR_O : out std_logic_vector(31 downto 0); -- address
224,13 → 224,13
SPI_MISO_I => SPI_MISO_I, -- serial data input
SPI_CS_O => SPI_CS_O, -- device select - low-active
 
PIO_OUT_O => open, -- parallel output
PIO_IN_I => x"0000", -- parallel input
PIO_OUT_O => PIO_OUT_O, -- parallel output
PIO_IN_I => PIO_IN_I, -- parallel input
 
SYS_OUT_O => SYS_OUT_O, -- system parallel output
SYS_IN_I => SYS_IN_I, -- system parallel input
 
IRQ_I => "00", -- IRQs
IRQ_I => '0', -- IRQ - not used here
 
WB_CLK_O => WB_CLK_O, -- bus clock
WB_RST_O => WB_RST_O, -- bus reset, sync, high active
/trunk/rtl/ATLAS_2K_TOP.vhd
4,7 → 4,7
-- # This is the top entity oth ATLAS 2k processor. #
-- # See the core's data sheet for more information. #
-- # **************************************************** #
-- # Last modified: 22.03.2014 #
-- # Last modified: 09.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
84,7 → 84,7
SYS_IN_I : in std_logic_vector(07 downto 0); -- system input
 
-- IRQs --
IRQ_I : in std_logic_vector(01 downto 0); -- IRQs
IRQ_I : in std_logic; -- IRQ
 
-- ###############################################################################################
-- ## Wishbone Bus ##
257,7 → 257,7
SYS_IN_I => SYS_IN_I, -- system parallel input
 
-- IRQ Lines --
IRQ_I => IRQ_I, -- external IRQs
IRQ_I => IRQ_I, -- external IRQ
 
-- Wishbone Bus --
WB_CLK_O => WB_CLK_O, -- bus clock
/trunk/doc/Atlas 2k Processor Documentary.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/sim/atlas_2k_base_tb.vhd
157,7 → 157,7
-- --------------------------------------------------------------------------------------------------------
WB_MEM_FILE_ACCESS: process(WB_CLK)
begin
if falling_edge(WB_CLK) then
if rising_edge(WB_CLK) then
 
--- Data Read/Write ---
if (WB_STB = '1') and (WB_CYC = '1') then
/trunk/sim/xilinx_isim_atlas_2k_base_tb_wave.wcfg
12,7 → 12,7
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="151" />
<WVObjectSize size="155" />
<wvobject fp_name="divider11" type="divider">
<obj_property name="label">Global Control</obj_property>
<obj_property name="DisplayName">label</obj_property>
595,10 → 595,9
<obj_property name="ElementShortName">critical_irq_i</obj_property>
<obj_property name="ObjectShortName">critical_irq_i</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/irq_i" type="array" db_ref_id="1">
<obj_property name="ElementShortName">irq_i[1:0]</obj_property>
<obj_property name="ObjectShortName">irq_i[1:0]</obj_property>
<obj_property name="Radix">BINARYRADIX</obj_property>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/irq_i" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">irq_i</obj_property>
<obj_property name="ObjectShortName">irq_i</obj_property>
</wvobject>
<wvobject fp_name="divider11" type="divider">
<obj_property name="label">CP - COM_1</obj_property>
611,6 → 610,10
<obj_property name="ElementShortName">cmd_exe_i</obj_property>
<obj_property name="ObjectShortName">cmd_exe_i</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/irq_o" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">irq_o</obj_property>
<obj_property name="ObjectShortName">irq_o</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/rx_fifo_r_pnt" type="array" db_ref_id="1">
<obj_property name="ElementShortName">rx_fifo_r_pnt[4:0]</obj_property>
<obj_property name="ObjectShortName">rx_fifo_r_pnt[4:0]</obj_property>
986,6 → 989,18
<obj_property name="ObjectShortName">timeout_val[15:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/bus_err_irq_en" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">bus_err_irq_en</obj_property>
<obj_property name="ObjectShortName">bus_err_irq_en</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/timeout_irq_en" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">timeout_irq_en</obj_property>
<obj_property name="ObjectShortName">timeout_irq_en</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/bus_err_en_irq_c" type="other" db_ref_id="1">
<obj_property name="ElementShortName">bus_err_en_irq_c</obj_property>
<obj_property name="ObjectShortName">bus_err_en_irq_c</obj_property>
</wvobject>
<wvobject fp_name="/atlas_2k_base_tb/DUT/the_core_of_the_problem/system_coprocessor/communication_ctrl_1/timeout_cnt" type="array" db_ref_id="1">
<obj_property name="ElementShortName">timeout_cnt[15:0]</obj_property>
<obj_property name="ObjectShortName">timeout_cnt[15:0]</obj_property>
/trunk/software/bootloader/atlas2k_bootloader.asm
87,11 → 87,10
mcr #1, sys1_core, r0, #2 ; set d-page
 
; set alarm lights
ldil r0, #0xff
ldih r0, #0b10011001
mcr #1, com0_core, r0, #7 ; set system output
 
; print error message
bl uart_linebreak__
ldil r2, low[string_err_irq]
ldih r2, high[string_err_irq]
bl uart_print_br__
102,29 → 101,39
; *****************************************************************************************************************
; Main Program
; *****************************************************************************************************************
reset: ; init MSR
ldil r0, #0x00
ldih r0, #0xF8 ; sys_mode, prv_sys_mode, g_irq_en, int1_en, int0_en
stsr r0
 
; set mmu pages
reset: ; set mmu pages
mrc #1, r0, sys1_core, #1 ; get sys i-page
mcr #1, sys1_core, r0, #0
mcr #1, sys1_core, r0, #2
 
; re-init lfsr, timer and IRQ controller
; disable lfsr and timer
ldil r0, #0x00
mcr #1, sys0_core, r0, #0 ; clear irq mask register
mcr #1, sys0_core, r0, #1 ; clear irq config register
mcr #1, sys0_core, r0, #2 ; clear timer counter
mcr #1, sys0_core, r0, #3 ; clear timer threshold
mcr #1, sys0_core, r0, #4 ; clear timer prescaler
mcr #1, sys0_core, r0, #5 ; clear lfsr data register
mcr #1, sys0_core, r0, #6 ; clear lfsr polynomial register
mcr #1, sys0_core, r0, #3 ; clear timer threshold - disable timer
mcr #1, sys0_core, r0, #6 ; clear lfsr polynomial register - disable lfsr
 
; setup IRQ controller (for network adapter)
ldih r0, #0b00000010 ; network adapter - channel 1
mcr #1, sys0_core, r0, #0 ; set irq mask register
ldil r0, #0xff
mcr #1, sys0_core, r0, #1 ; set irq config register - all rising edge
mrc #1, r0, sys0_core, #0 ; ack pending IRQs
 
; init MSR
ldil r1, #0x00
ldih r1, #0xF8 ; sys_mode, prv_sys_mode, g_irq_en, int1_en, int0_en
stsr r1
 
; setup Wishbone bus controller
ldil r0, #0b00110000 ; bus error and timeout error IRQ enable
ldih r0, #0 ; burst size = 1 word
mcr #1, com1_core, r0, #0 ; set WB ctrl reg
ldil r0, #0x02 ; offset = 1 word
mcr #1, com1_core, r0, #3 ; set WB address offset reg
ldil r0, #100 ; timeout = 100 cycles
mcr #1, com1_core, r0, #5 ; set WB timeout reg
 
; alive LED
ldih r0, #1
ldih r0, #0x01
mcr #1, com0_core, r0, #7 ; set system output
 
; get system clock frequency
159,13 → 168,6
ldil r2, low[string_intro0]
ldih r2, high[string_intro0]
bl uart_print_br__
ldil r2, low[string_intro1]
ldih r2, high[string_intro1]
bl uart_print_br__
ldil r2, low[string_intro2]
ldih r2, high[string_intro2]
bl uart_print_br__
bl uart_linebreak__
 
; print boot page
ldil r2, low[string_intro3]
209,15 → 211,6
ldil r2, low[string_menu0]
ldih r2, high[string_menu0]
bl uart_print_br__
ldil r2, low[string_menu1]
ldih r2, high[string_menu1]
bl uart_print_br__
ldil r2, low[string_menu2]
ldih r2, high[string_menu2]
bl uart_print_br__
ldil r2, low[string_menu3]
ldih r2, high[string_menu3]
bl uart_print_br__
ldil r2, low[string_menup]
ldih r2, high[string_menup]
bl uart_print_br__
227,6 → 220,9
ldil r2, low[string_menur]
ldih r2, high[string_menur]
bl uart_print_br__
ldil r2, low[string_menuw]
ldih r2, high[string_menuw]
bl uart_print_br__
 
console_input:
ldil r2, low[string_menux]
271,6 → 267,12
cmp r1, r6
rbaeq r5 ; ram dump
 
ldil r5, low[wb_dump]
ldih r5, high[wb_dump]
ldil r1, #'w'
cmp r1, r6
rbaeq r5 ; ram dump
 
ldil r1, #'r'
cmp r1, r6
bne console_input ; invalid input
277,9 → 279,6
 
; do 'hard' reset
clr r0
ldil r1, #0x00
ldih r1, #0x80 ; start of boot pages
mcr #1, sys1_core, r1, #1
gt r0
 
 
287,8 → 286,8
; Booting from memory
; -----------------------------------------------------------------------------------
boot_memory:
ldil r2, low[string_boot_mem]
ldih r2, high[string_boot_mem]
ldil r2, low[string_booting]
ldih r2, high[string_booting]
bl uart_print_br__
 
; print no image info on start-up
314,8 → 313,8
; -----------------------------------------------------------------------------------
boot_eeprom:
; intro
ldil r2, low[string_boot_spi]
ldih r2, high[string_boot_spi]
ldil r2, low[string_booting]
ldih r2, high[string_booting]
bl uart_print_br_
 
; get signature
422,8 → 421,8
; -----------------------------------------------------------------------------------
; Booting from UART
; -----------------------------------------------------------------------------------
boot_uart: ldil r2, low[string_boot_uart]
ldih r2, high[string_boot_uart]
boot_uart: ldil r2, low[string_booting]
ldih r2, high[string_booting]
bl uart_print_br_
ldil r2, low[string_boot_wimd]
ldih r2, high[string_boot_wimd]
505,10 → 504,14
 
download_completed:
; re-init system d page
ldil r0, #0x00
ldih r0, #0x80
mcr #1, sys1_core, r0, #2 ; set system d-page
mrc #1, r0, sys1_core, #1 ; get sys i-page
mcr #1, sys1_core, r0, #2 ; reset system d-page
 
; download completed
ldil r2, low[string_done]
ldih r2, high[string_done]
bl uart_print_br_
 
; transfer done - check checksum
ldub r0, r7
ldub r1, r1
596,7 → 599,7
bl uart_linebreak
bl uart_linebreak
 
clr r0 ; ZERO!
CLR R0 ; ZERO!
 
; re-init MSR
sbr r3, r0, #14 ; prv_sys_mode
1223,38 → 1226,97
ret r1
 
 
; -----------------------------------------------------------------------------------
; Wisbone Dump
; -----------------------------------------------------------------------------------
wb_dump: ldil r2, low[string_ewbadr]
ldih r2, high[string_ewbadr]
bl uart_print
 
; get and set address (32-bit)
bl receive_hex_word
mcr #1, com1_core, r4, #2 ; set high part of base address
bl receive_hex_word
mcr #1, com1_core, r4, #1 ; set low part of base address
 
wb_dump_wait:
; execute?
bl uart_receivebyte
ldil r1, #0x0D ; CR - enter
cmp r0, r1
beq wb_dump_proceed
 
; abort?
ldil r1, #0x08 ; Backspace - abort
cmp r0, r1
beq wb_dump_end
b wb_dump_wait
 
; download word from wishbone net
wb_dump_proceed:
bl wb_read_word
mov r6, r0
 
; print it
ldil r2, low[string_data]
ldih r2, high[string_data]
bl uart_print
mov r4, r6
bl print_hex_string
 
; return to main console
wb_dump_end:
bl uart_linebreak
ldil r5, low[console_input]
ldih r5, high[console_input]
gt r5
 
 
; --------------------------------------------------------------------------------------------------------
; Reads 1 word from the Wishbone network (base address must be set before, auto address increment)
; Arguments: -
; Results:
; r0 = data
; Used registers: r0 ,lr
wb_read_word:
; --------------------------------------------------------------------------------------------------------
cdp #1, com1_core, com1_core, #0 ; initiate read-transfer
mrc #1, r0, com1_core, #0 ; get WB status reg
stb r0, #6 ; busy flag -> t-flag
bts #-2 ; repeat until data is ready
 
mrc #1, r0, com1_core, #4 ; get data
ret lr
 
 
; *****************************************************************************************************************
; ROM: Text strings
; *****************************************************************************************************************
 
string_intro0: .stringz "ATLAS-2K Bootloader - Version 2014.03.15"
string_intro1: .stringz "by Stephan Nolting, stnolting@gmail.com"
string_intro2: .stringz "www.opencores.org/project,atlas_core"
string_intro3: .stringz "Bootloader start: 0x"
string_intro4: .stringz "Clock speed (Hz): 0x"
string_intro0: .stringz "Atlas-2K Bootloader - V20140410\nby Stephan Nolting, stnolting@gmail.com\nwww.opencores.org/project,atlas_core\n"
string_intro3: .stringz "Bootloader @ 0x"
string_intro4: .stringz "Clock (Hz): 0x"
 
string_boot_spi: .stringz "Booting from SPI EEPROM"
string_boot_uart: .stringz "Booting from UART"
string_booting: .stringz "Booting..."
string_prog_eep: .stringz "Burning EEPROM"
string_boot_wimd: .stringz "Waiting for image data..."
string_boot_mem: .stringz "Booting from memory..."
string_start_im: .stringz "Starting image "
string_done: .stringz "Download completed!"
string_edpage: .stringz "Enter page (4hex): 0x"
string_ewbadr: .stringz "Enter addr (8hex): 0x"
string_checksum: .stringz "Checksum: 0x"
string_data: .stringz "\n-> 0x"
 
string_menu_hd: .stringz "Command/boot switch"
string_menu0: .stringz " 0/'00': Restart console"
string_menu1: .stringz " 1/'01': Boot from UART"
string_menu2: .stringz " 2/'10': Boot from EEPROM"
string_menu3: .stringz " 3/'11': Boot from memory"
string_menup: .stringz " p: Program EEPROM"
string_menu_hd: .stringz "cmd/boot-switch"
string_menu0: .stringz " 0/'00': Restart console\n 1/'01': Boot from UART\n 2/'10': Boot from EEPROM\n 3/'11': Boot from memory"
string_menup: .stringz " p: Burn EEPROM"
string_menud: .stringz " d: RAM dump"
string_menur: .stringz " r: Reset"
string_menuw: .stringz " w: WB dump"
string_menux: .stringz "cmd:> "
 
string_err_image: .stringz "IMAGE ERROR!"
string_err_irq: .stringz "IRQ ERROR!"
string_err_check: .stringz "CHECKSUM ERROR!"
string_err_eep: .stringz "SPI/EEPROM ERROR!"
string_err_image: .stringz "IMAGE ERR!"
string_err_irq: .stringz "\nIRQ ERR!"
string_err_check: .stringz "CHECKSUM ERR!"
string_err_eep: .stringz "SPI/EEPROM ERR!"
string_err_res: .stringz "Press any key"
/web_uploads/instruction_set_card.png Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.