OpenCores
URL https://opencores.org/ocsvn/atlas_core/atlas_core/trunk

Subversion Repositories atlas_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /atlas_core
    from Rev 30 to Rev 31
    Reverse comparison

Rev 30 → Rev 31

/trunk/rtl/BOOT_MEM.vhd
1,9 → 1,9
-- ########################################################
-- # << ATLAS Project - Bootloader ROM >> #
-- # **************************************************** #
-- # Initialized with boot loader. #
-- # 2kB ROM initialized with Atlas-2k bootloader. #
-- # **************************************************** #
-- # Last modified: 17.04.2014 #
-- # Last modified: 19.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
53,9 → 53,9
000007 => x"ec8a", -- MCR
000008 => x"cc19", -- LDIH
000009 => x"ed0f", -- MCR
000010 => x"c512", -- LDIL
000010 => x"c51e", -- LDIL
000011 => x"c907", -- LDIH
000012 => x"be74", -- BL
000012 => x"be73", -- BL
000013 => x"bc00", -- B
000014 => x"ec11", -- MRC
000015 => x"ec88", -- MCR
69,988 → 69,994
000023 => x"ec0d", -- MCR
000024 => x"ec00", -- MRC
000025 => x"ed88", -- MCR
000026 => x"c002", -- LDIL
000027 => x"ed8b", -- MCR
000028 => x"c064", -- LDIL
000029 => x"ed8d", -- MCR
000030 => x"c901", -- LDIH
000031 => x"ed2f", -- MCR
000032 => x"ec17", -- MRC
000033 => x"ec97", -- MRC
000034 => x"c160", -- LDIL
000035 => x"c909", -- LDIH
000036 => x"c18f", -- LDIL
000037 => x"0923", -- ADD
000038 => x"29b3", -- CLR
000039 => x"2a44", -- CLR
000040 => x"100a", -- SUBS
000041 => x"149b", -- SBCS
000042 => x"9003", -- BMI
000043 => x"0241", -- INC
000044 => x"bdfc", -- B
000045 => x"ed49", -- MCR
000046 => x"ec22", -- MRC
000047 => x"d406", -- SBR
000048 => x"ed0a", -- MCR
000049 => x"c522", -- LDIL
000050 => x"c905", -- LDIH
000051 => x"be4d", -- BL
000052 => x"c118", -- LDIL
000053 => x"c906", -- LDIH
000054 => x"be4a", -- BL
000055 => x"ee11", -- MRC
000056 => x"be4c", -- BL
000057 => x"c128", -- LDIL
000058 => x"c906", -- LDIH
000059 => x"be45", -- BL
000060 => x"ee97", -- MRC
000061 => x"ee17", -- MRC
000062 => x"be46", -- BL
000063 => x"0250", -- MOV
000064 => x"be44", -- BL
000065 => x"be40", -- BL
000066 => x"ec27", -- MRC
000067 => x"c083", -- LDIL
000068 => x"2001", -- AND
000069 => x"c330", -- LDIL
000070 => x"0b60", -- ADD
000071 => x"bc0f", -- B
000072 => x"c546", -- LDIL
000073 => x"c906", -- LDIH
000074 => x"be36", -- BL
000075 => x"c136", -- LDIL
000076 => x"c907", -- LDIH
000077 => x"be33", -- BL
000078 => x"c17c", -- LDIL
000079 => x"c907", -- LDIH
000080 => x"be30", -- BL
000081 => x"be32", -- BL
000082 => x"0300", -- MOV
000083 => x"0080", -- MOV
000084 => x"be2e", -- BL
000085 => x"be2c", -- BL
000086 => x"c0b0", -- LDIL
000087 => x"181e", -- CMP
000088 => x"81f0", -- BEQ
000089 => x"c0b1", -- LDIL
000090 => x"181e", -- CMP
000091 => x"8085", -- BEQ
000092 => x"c0b2", -- LDIL
000093 => x"181e", -- CMP
000094 => x"8052", -- BEQ
000095 => x"c0b3", -- LDIL
000096 => x"181e", -- CMP
000097 => x"8019", -- BEQ
000098 => x"c0b4", -- LDIL
000099 => x"181e", -- CMP
000100 => x"8021", -- BEQ
000101 => x"c292", -- LDIL
000102 => x"ca83", -- LDIH
000103 => x"c0f0", -- LDIL
000104 => x"181e", -- CMP
000105 => x"f705", -- RBAEQ
000106 => x"c0e4", -- LDIL
000107 => x"181e", -- CMP
000108 => x"80e2", -- BEQ
000109 => x"c2c6", -- LDIL
000110 => x"ca85", -- LDIH
000111 => x"c0f7", -- LDIL
000112 => x"181e", -- CMP
000113 => x"f705", -- RBAEQ
000114 => x"c0f2", -- LDIL
000115 => x"181e", -- CMP
000116 => x"85da", -- BNE
000117 => x"2800", -- CLR
000118 => x"c080", -- LDIL
000119 => x"cc80", -- LDIH
000120 => x"ec99", -- MCR
000121 => x"3400", -- GT
000122 => x"c138", -- LDIL
000123 => x"c906", -- LDIH
000124 => x"be04", -- BL
000125 => x"2800", -- CLR
000126 => x"2100", -- STUB
000127 => x"bca3", -- B
000026 => x"ed8b", -- MCR
000027 => x"c064", -- LDIL
000028 => x"ed8d", -- MCR
000029 => x"c901", -- LDIH
000030 => x"ed2f", -- MCR
000031 => x"ec17", -- MRC
000032 => x"ec97", -- MRC
000033 => x"c160", -- LDIL
000034 => x"c909", -- LDIH
000035 => x"c18f", -- LDIL
000036 => x"0923", -- ADD
000037 => x"29b3", -- CLR
000038 => x"2a44", -- CLR
000039 => x"100a", -- SUBS
000040 => x"149b", -- SBCS
000041 => x"9003", -- BMI
000042 => x"0241", -- INC
000043 => x"bdfc", -- B
000044 => x"ed49", -- MCR
000045 => x"ec22", -- MRC
000046 => x"d406", -- SBR
000047 => x"ed0a", -- MCR
000048 => x"c534", -- LDIL
000049 => x"c905", -- LDIH
000050 => x"be4d", -- BL
000051 => x"c12a", -- LDIL
000052 => x"c906", -- LDIH
000053 => x"be4a", -- BL
000054 => x"ee11", -- MRC
000055 => x"be4c", -- BL
000056 => x"c13a", -- LDIL
000057 => x"c906", -- LDIH
000058 => x"be45", -- BL
000059 => x"ee97", -- MRC
000060 => x"ee17", -- MRC
000061 => x"be46", -- BL
000062 => x"0250", -- MOV
000063 => x"be44", -- BL
000064 => x"be40", -- BL
000065 => x"ec27", -- MRC
000066 => x"c083", -- LDIL
000067 => x"2001", -- AND
000068 => x"c330", -- LDIL
000069 => x"0b60", -- ADD
000070 => x"bc0f", -- B
000071 => x"c552", -- LDIL
000072 => x"c906", -- LDIH
000073 => x"be36", -- BL
000074 => x"c142", -- LDIL
000075 => x"c907", -- LDIH
000076 => x"be33", -- BL
000077 => x"c508", -- LDIL
000078 => x"c907", -- LDIH
000079 => x"be30", -- BL
000080 => x"be32", -- BL
000081 => x"0300", -- MOV
000082 => x"0080", -- MOV
000083 => x"be2e", -- BL
000084 => x"be2c", -- BL
000085 => x"c0b0", -- LDIL
000086 => x"181e", -- CMP
000087 => x"81f0", -- BEQ
000088 => x"c0b1", -- LDIL
000089 => x"181e", -- CMP
000090 => x"8085", -- BEQ
000091 => x"c0b2", -- LDIL
000092 => x"181e", -- CMP
000093 => x"8052", -- BEQ
000094 => x"c0b3", -- LDIL
000095 => x"181e", -- CMP
000096 => x"8019", -- BEQ
000097 => x"c0b4", -- LDIL
000098 => x"181e", -- CMP
000099 => x"8021", -- BEQ
000100 => x"c294", -- LDIL
000101 => x"ca83", -- LDIH
000102 => x"c0f0", -- LDIL
000103 => x"181e", -- CMP
000104 => x"f705", -- RBAEQ
000105 => x"c0e4", -- LDIL
000106 => x"181e", -- CMP
000107 => x"80e4", -- BEQ
000108 => x"c2c8", -- LDIL
000109 => x"ca85", -- LDIH
000110 => x"c0f7", -- LDIL
000111 => x"181e", -- CMP
000112 => x"f705", -- RBAEQ
000113 => x"c0f2", -- LDIL
000114 => x"181e", -- CMP
000115 => x"85da", -- BNE
000116 => x"2800", -- CLR
000117 => x"c080", -- LDIL
000118 => x"cc80", -- LDIH
000119 => x"ec99", -- MCR
000120 => x"3400", -- GT
000121 => x"c14a", -- LDIL
000122 => x"c906", -- LDIH
000123 => x"be04", -- BL
000124 => x"2800", -- CLR
000125 => x"2100", -- STUB
000126 => x"bca3", -- B
000127 => x"bc98", -- B
000128 => x"bc98", -- B
000129 => x"bc98", -- B
000130 => x"bc98", -- B
000131 => x"bc98", -- B
000132 => x"bc9b", -- B
000133 => x"c516", -- LDIL
000134 => x"c906", -- LDIH
000135 => x"be91", -- BL
000136 => x"be99", -- BL
000137 => x"edca", -- MCR
000138 => x"be97", -- BL
000139 => x"edc9", -- MCR
000140 => x"c034", -- LDIL
000141 => x"c805", -- LDIH
000142 => x"3404", -- GTL
000143 => x"be8a", -- BL
000144 => x"be90", -- BL
000145 => x"c47e", -- LDIL
000146 => x"cc4a", -- LDIH
000147 => x"180e", -- CMP
000148 => x"8489", -- BNE
000149 => x"be8b", -- BL
000150 => x"3f64", -- SFT
000151 => x"2066", -- STUB
000152 => x"be88", -- BL
000153 => x"20e6", -- STUB
000154 => x"be86", -- BL
000155 => x"2166", -- STUB
000156 => x"be84", -- BL
000157 => x"21e6", -- STUB
000158 => x"be82", -- BL
000159 => x"2266", -- STUB
000160 => x"be80", -- BL
000161 => x"22e6", -- STUB
000162 => x"be7e", -- BL
000163 => x"2366", -- STUB
000164 => x"c280", -- LDIL
000165 => x"ecda", -- MCR
000166 => x"ec5e", -- MCR
000167 => x"be79", -- BL
000168 => x"7f5a", -- STR
000169 => x"ec06", -- MRC
000170 => x"2806", -- EOR
000171 => x"ec0e", -- MCR
000172 => x"2400", -- LDUB
000173 => x"1858", -- CMP
000174 => x"85f9", -- BNE
000175 => x"bc56", -- B
000176 => x"c100", -- LDIL
000177 => x"be28", -- BL
000178 => x"c47e", -- LDIL
000179 => x"cc4a", -- LDIH
000180 => x"180d", -- CMP
000181 => x"8468", -- BNE
000182 => x"c102", -- LDIL
000183 => x"be22", -- BL
000184 => x"2055", -- STUB
000185 => x"c104", -- LDIL
000186 => x"be1f", -- BL
000187 => x"20d5", -- STUB
000188 => x"c106", -- LDIL
000189 => x"be1c", -- BL
000190 => x"2155", -- STUB
000191 => x"c108", -- LDIL
000192 => x"be19", -- BL
000193 => x"21d5", -- STUB
000194 => x"c10a", -- LDIL
000195 => x"be16", -- BL
000196 => x"2255", -- STUB
000197 => x"c10c", -- LDIL
000198 => x"be13", -- BL
000199 => x"22d5", -- STUB
000200 => x"c10e", -- LDIL
000201 => x"be10", -- BL
000202 => x"2355", -- STUB
000203 => x"c200", -- LDIL
000204 => x"ecca", -- MCR
000205 => x"ec4e", -- MCR
000206 => x"c010", -- LDIL
000207 => x"0940", -- ADD
000208 => x"be09", -- BL
000209 => x"7eca", -- STR
000210 => x"ec06", -- MRC
000211 => x"2805", -- EOR
000212 => x"ec0e", -- MCR
000213 => x"2400", -- LDUB
000214 => x"1848", -- CMP
000215 => x"85f7", -- BNE
000216 => x"bc2d", -- B
000217 => x"0370", -- MOV
000218 => x"be42", -- BL
000219 => x"3eb0", -- SFT
000220 => x"0121", -- INC
000221 => x"be3f", -- BL
000222 => x"26d3", -- ORR
000223 => x"3460", -- RET
000224 => x"c150", -- LDIL
000225 => x"c906", -- LDIH
000226 => x"be36", -- BL
000227 => x"be38", -- BL
000228 => x"3c80", -- SFT
000229 => x"be36", -- BL
000230 => x"2490", -- ORR
000231 => x"c47e", -- LDIL
000232 => x"cc4a", -- LDIH
000233 => x"1818", -- CMP
000234 => x"8433", -- BNE
000235 => x"be27", -- BL
000236 => x"3c94", -- SFT
000237 => x"2011", -- STUB
000238 => x"be24", -- BL
000239 => x"2091", -- STUB
000240 => x"be22", -- BL
000241 => x"2111", -- STUB
000242 => x"be20", -- BL
000243 => x"2191", -- STUB
000244 => x"be1e", -- BL
000245 => x"2211", -- STUB
000246 => x"be1c", -- BL
000247 => x"2291", -- STUB
000248 => x"be1a", -- BL
000249 => x"2311", -- STUB
000250 => x"2ad5", -- CLR
000251 => x"ecda", -- MCR
000252 => x"ec5e", -- MCR
000253 => x"be15", -- BL
000254 => x"7cda", -- STR
000255 => x"ec06", -- MRC
000256 => x"2801", -- EOR
000257 => x"ec0e", -- MCR
000258 => x"2400", -- LDUB
000259 => x"1858", -- CMP
000260 => x"85f9", -- BNE
000261 => x"ec11", -- MRC
000262 => x"ec8a", -- MCR
000263 => x"c174", -- LDIL
000264 => x"c906", -- LDIH
000265 => x"be0f", -- BL
000266 => x"ec06", -- MRC
000267 => x"2491", -- LDUB
000268 => x"1809", -- CMP
000269 => x"8015", -- BEQ
000270 => x"c520", -- LDIL
000271 => x"c907", -- LDIH
000272 => x"be08", -- BL
000273 => x"bcc9", -- B
000274 => x"0370", -- MOV
000275 => x"be08", -- BL
000276 => x"3c80", -- SFT
000277 => x"be06", -- BL
000278 => x"2490", -- ORR
000279 => x"3460", -- RET
000280 => x"bcc5", -- B
000281 => x"bcce", -- B
000282 => x"bcd2", -- B
000283 => x"bcd6", -- B
000284 => x"bc6b", -- B
000285 => x"bcba", -- B
000286 => x"bd30", -- B
000287 => x"bc69", -- B
000288 => x"bcbc", -- B
000289 => x"bcd5", -- B
000290 => x"c164", -- LDIL
000291 => x"c906", -- LDIH
000292 => x"beb9", -- BL
000293 => x"24aa", -- LDUBS
000294 => x"8016", -- BEQ
000295 => x"c0a2", -- LDIL
000296 => x"bec4", -- BL
000297 => x"24a2", -- LDUB
000298 => x"be1e", -- BL
000299 => x"24b3", -- LDUB
000300 => x"be1c", -- BL
000301 => x"24c4", -- LDUB
000302 => x"be1a", -- BL
000303 => x"24d5", -- LDUB
000304 => x"be18", -- BL
000305 => x"24e6", -- LDUB
000306 => x"be16", -- BL
000307 => x"c0a2", -- LDIL
000308 => x"beb8", -- BL
000309 => x"beb2", -- BL
000310 => x"c534", -- LDIL
000311 => x"c906", -- LDIH
000312 => x"bea5", -- BL
000313 => x"ee06", -- MRC
000314 => x"bee1", -- BL
000315 => x"beac", -- BL
000316 => x"beab", -- BL
000317 => x"c080", -- LDIL
000318 => x"ccc0", -- LDIH
000319 => x"1c01", -- STSR
000320 => x"2800", -- CLR
000321 => x"ed0f", -- MCR
000322 => x"ec88", -- MCR
000323 => x"ec8b", -- MCR
000324 => x"ec8c", -- MCR
000325 => x"ec8a", -- MCR
000326 => x"ec89", -- MCR
000327 => x"3400", -- GT
000328 => x"0370", -- MOV
000329 => x"3c90", -- SFT
000330 => x"bea2", -- BL
000331 => x"3c90", -- SFT
000332 => x"bea0", -- BL
000333 => x"3460", -- RET
000334 => x"c508", -- LDIL
000335 => x"c906", -- LDIH
000336 => x"be8d", -- BL
000337 => x"bea5", -- BL
000338 => x"c134", -- LDIL
000339 => x"c905", -- LDIH
000340 => x"3424", -- GTL
000341 => x"ecca", -- MCR
000342 => x"be91", -- BL
000343 => x"c280", -- LDIL
000344 => x"c00f", -- LDIL
000345 => x"2058", -- ANDS
000346 => x"840a", -- BNE
000347 => x"be8c", -- BL
000348 => x"c0a4", -- LDIL
000349 => x"be8f", -- BL
000350 => x"0250", -- MOV
000351 => x"bebc", -- BL
000352 => x"c0ba", -- LDIL
000353 => x"be8b", -- BL
000354 => x"c0a0", -- LDIL
000355 => x"be89", -- BL
000356 => x"7a5a", -- LDR
000357 => x"c0a0", -- LDIL
000358 => x"be86", -- BL
000359 => x"beb4", -- BL
000360 => x"c00f", -- LDIL
000361 => x"2058", -- ANDS
000362 => x"8414", -- BNE
000363 => x"c0a0", -- LDIL
000364 => x"be80", -- BL
000365 => x"be7f", -- BL
000366 => x"c010", -- LDIL
000367 => x"1250", -- SUB
000368 => x"c470", -- LDIL
000369 => x"2240", -- AND
000370 => x"c12e", -- LDIL
000371 => x"78c9", -- LDR
000372 => x"3c90", -- SFT
000373 => x"c880", -- LDIH
000374 => x"c020", -- LDIL
000375 => x"1818", -- CMP
000376 => x"f8c2", -- MVHI
000377 => x"be73", -- BL
000378 => x"c08f", -- LDIL
000379 => x"2014", -- AND
000380 => x"3409", -- TEQ
000381 => x"85f6", -- BNE
000382 => x"ec20", -- MRC
000383 => x"dc0f", -- STB
000384 => x"b804", -- BTS
000385 => x"c5fe", -- LDIL
000386 => x"343d", -- TEQ
000387 => x"85d5", -- BNE
000388 => x"be6d", -- BL
000389 => x"2800", -- CLR
000390 => x"3400", -- GT
000391 => x"bc54", -- B
000392 => x"bc93", -- B
000393 => x"c001", -- LDIL
000394 => x"ed0c", -- MCR
000395 => x"c050", -- LDIL
000396 => x"c83f", -- LDIH
000397 => x"ed0a", -- MCR
000398 => x"c000", -- LDIL
000399 => x"c801", -- LDIH
000400 => x"bea9", -- BL
000401 => x"c142", -- LDIL
000402 => x"c906", -- LDIH
000403 => x"be4a", -- BL
000404 => x"c150", -- LDIL
000405 => x"c906", -- LDIH
000406 => x"be47", -- BL
000407 => x"be5a", -- BL
000408 => x"3c80", -- SFT
000409 => x"be58", -- BL
000410 => x"2410", -- ORR
000411 => x"c4fe", -- LDIL
000412 => x"ccca", -- LDIH
000413 => x"1809", -- CMP
000414 => x"8439", -- BNE
000415 => x"c100", -- LDIL
000416 => x"0290", -- MOV
000417 => x"be2f", -- BL
000418 => x"be4f", -- BL
000419 => x"3c80", -- SFT
000420 => x"be4d", -- BL
000421 => x"2690", -- ORR
000422 => x"3ed4", -- SFT
000423 => x"2055", -- STUB
000424 => x"c102", -- LDIL
000425 => x"be27", -- BL
000426 => x"be47", -- BL
000427 => x"3c80", -- SFT
000428 => x"be45", -- BL
000429 => x"2690", -- ORR
000430 => x"20d5", -- STUB
000431 => x"c104", -- LDIL
000432 => x"be20", -- BL
000433 => x"c106", -- LDIL
000434 => x"be3f", -- BL
000435 => x"0180", -- MOV
000436 => x"be8b", -- BL
000437 => x"0121", -- INC
000438 => x"c010", -- LDIL
000439 => x"1828", -- CMP
000440 => x"85fa", -- BNE
000441 => x"2ad5", -- CLR
000442 => x"be37", -- BL
000443 => x"0180", -- MOV
000444 => x"be83", -- BL
000445 => x"0121", -- INC
000446 => x"2400", -- LDUB
000447 => x"02d1", -- INC
000448 => x"1858", -- CMP
000449 => x"85f9", -- BNE
000450 => x"c001", -- LDIL
000451 => x"ed0c", -- MCR
000452 => x"c050", -- LDIL
000453 => x"c83f", -- LDIH
000454 => x"ed0a", -- MCR
000455 => x"c00c", -- LDIL
000456 => x"c801", -- LDIH
000457 => x"be70", -- BL
000458 => x"c174", -- LDIL
000459 => x"c906", -- LDIH
000460 => x"be11", -- BL
000461 => x"c690", -- LDIL
000462 => x"ca80", -- LDIH
000463 => x"3450", -- GT
000464 => x"0370", -- MOV
000465 => x"3dd0", -- SFT
000466 => x"be6d", -- BL
000467 => x"0121", -- INC
000468 => x"01d0", -- MOV
000469 => x"be6a", -- BL
000470 => x"3460", -- RET
000471 => x"c504", -- LDIL
000472 => x"c907", -- LDIH
000473 => x"be04", -- BL
000474 => x"bcba", -- B
000475 => x"bc94", -- B
000476 => x"bca5", -- B
000477 => x"01f0", -- MOV
000478 => x"7829", -- LDR
000479 => x"c080", -- LDIL
000480 => x"ccff", -- LDIH
000481 => x"2081", -- AND
000482 => x"3c98", -- SFTS
000483 => x"8003", -- BEQ
000484 => x"be08", -- BL
000485 => x"bdf9", -- B
000486 => x"3430", -- RET
000487 => x"0170", -- MOV
000488 => x"c08d", -- LDIL
000489 => x"be03", -- BL
000490 => x"c08a", -- LDIL
000491 => x"03a0", -- MOV
000492 => x"ec22", -- MRC
000493 => x"dc05", -- STB
000494 => x"b9fe", -- BTS
000495 => x"ed18", -- MCR
000496 => x"3470", -- RET
000497 => x"ec20", -- MRC
000498 => x"dc8f", -- STBI
000499 => x"b9fe", -- BTS
000500 => x"c800", -- LDIH
000501 => x"3470", -- RET
000502 => x"0170", -- MOV
000503 => x"c200", -- LDIL
000504 => x"c184", -- LDIL
000505 => x"bff8", -- BL
000506 => x"c0c6", -- LDIL
000507 => x"1809", -- CMP
000508 => x"9003", -- BMI
000509 => x"c0a0", -- LDIL
000510 => x"1001", -- SUB
000511 => x"c0b0", -- LDIL
000512 => x"1809", -- CMP
000513 => x"91f8", -- BMI
000514 => x"c0c6", -- LDIL
000515 => x"1818", -- CMP
000516 => x"91f5", -- BMI
000517 => x"c0b9", -- LDIL
000518 => x"1818", -- CMP
000519 => x"a404", -- BLS
000520 => x"c0c1", -- LDIL
000521 => x"1809", -- CMP
000522 => x"a1ef", -- BHI
000523 => x"0080", -- MOV
000524 => x"bfe0", -- BL
000525 => x"c030", -- LDIL
000526 => x"1090", -- SUB
000527 => x"c009", -- LDIL
000528 => x"1809", -- CMP
000529 => x"a402", -- BLS
000530 => x"0497", -- DEC
000531 => x"3e42", -- SFT
000131 => x"bc9b", -- B
000132 => x"c528", -- LDIL
000133 => x"c906", -- LDIH
000134 => x"be91", -- BL
000135 => x"be99", -- BL
000136 => x"edca", -- MCR
000137 => x"be97", -- BL
000138 => x"edc9", -- MCR
000139 => x"c036", -- LDIL
000140 => x"c805", -- LDIH
000141 => x"3404", -- GTL
000142 => x"be8a", -- BL
000143 => x"be90", -- BL
000144 => x"c47e", -- LDIL
000145 => x"cc4a", -- LDIH
000146 => x"180e", -- CMP
000147 => x"8489", -- BNE
000148 => x"be8b", -- BL
000149 => x"3f64", -- SFT
000150 => x"2066", -- STUB
000151 => x"be88", -- BL
000152 => x"20e6", -- STUB
000153 => x"be86", -- BL
000154 => x"2166", -- STUB
000155 => x"be84", -- BL
000156 => x"21e6", -- STUB
000157 => x"be82", -- BL
000158 => x"2266", -- STUB
000159 => x"be80", -- BL
000160 => x"22e6", -- STUB
000161 => x"be7e", -- BL
000162 => x"2366", -- STUB
000163 => x"c280", -- LDIL
000164 => x"ecda", -- MCR
000165 => x"ec5e", -- MCR
000166 => x"be79", -- BL
000167 => x"7f5a", -- STR
000168 => x"ec06", -- MRC
000169 => x"2806", -- EOR
000170 => x"ec0e", -- MCR
000171 => x"2400", -- LDUB
000172 => x"1858", -- CMP
000173 => x"85f9", -- BNE
000174 => x"bc56", -- B
000175 => x"c100", -- LDIL
000176 => x"be28", -- BL
000177 => x"c47e", -- LDIL
000178 => x"cc4a", -- LDIH
000179 => x"180d", -- CMP
000180 => x"8468", -- BNE
000181 => x"c102", -- LDIL
000182 => x"be22", -- BL
000183 => x"2055", -- STUB
000184 => x"c104", -- LDIL
000185 => x"be1f", -- BL
000186 => x"20d5", -- STUB
000187 => x"c106", -- LDIL
000188 => x"be1c", -- BL
000189 => x"2155", -- STUB
000190 => x"c108", -- LDIL
000191 => x"be19", -- BL
000192 => x"21d5", -- STUB
000193 => x"c10a", -- LDIL
000194 => x"be16", -- BL
000195 => x"2255", -- STUB
000196 => x"c10c", -- LDIL
000197 => x"be13", -- BL
000198 => x"22d5", -- STUB
000199 => x"c10e", -- LDIL
000200 => x"be10", -- BL
000201 => x"2355", -- STUB
000202 => x"c200", -- LDIL
000203 => x"ecca", -- MCR
000204 => x"ec4e", -- MCR
000205 => x"c010", -- LDIL
000206 => x"0940", -- ADD
000207 => x"be09", -- BL
000208 => x"7eca", -- STR
000209 => x"ec06", -- MRC
000210 => x"2805", -- EOR
000211 => x"ec0e", -- MCR
000212 => x"2400", -- LDUB
000213 => x"1848", -- CMP
000214 => x"85f7", -- BNE
000215 => x"bc2d", -- B
000216 => x"0370", -- MOV
000217 => x"be42", -- BL
000218 => x"3eb0", -- SFT
000219 => x"0121", -- INC
000220 => x"be3f", -- BL
000221 => x"26d3", -- ORR
000222 => x"3460", -- RET
000223 => x"c162", -- LDIL
000224 => x"c906", -- LDIH
000225 => x"be36", -- BL
000226 => x"be38", -- BL
000227 => x"3c80", -- SFT
000228 => x"be36", -- BL
000229 => x"2490", -- ORR
000230 => x"c47e", -- LDIL
000231 => x"cc4a", -- LDIH
000232 => x"1818", -- CMP
000233 => x"8433", -- BNE
000234 => x"be27", -- BL
000235 => x"3c94", -- SFT
000236 => x"2011", -- STUB
000237 => x"be24", -- BL
000238 => x"2091", -- STUB
000239 => x"be22", -- BL
000240 => x"2111", -- STUB
000241 => x"be20", -- BL
000242 => x"2191", -- STUB
000243 => x"be1e", -- BL
000244 => x"2211", -- STUB
000245 => x"be1c", -- BL
000246 => x"2291", -- STUB
000247 => x"be1a", -- BL
000248 => x"2311", -- STUB
000249 => x"2ad5", -- CLR
000250 => x"ecda", -- MCR
000251 => x"ec5e", -- MCR
000252 => x"be15", -- BL
000253 => x"7cda", -- STR
000254 => x"ec06", -- MRC
000255 => x"2801", -- EOR
000256 => x"ec0e", -- MCR
000257 => x"2400", -- LDUB
000258 => x"1858", -- CMP
000259 => x"85f9", -- BNE
000260 => x"ec11", -- MRC
000261 => x"ec8a", -- MCR
000262 => x"c506", -- LDIL
000263 => x"c906", -- LDIH
000264 => x"be0f", -- BL
000265 => x"ec06", -- MRC
000266 => x"2491", -- LDUB
000267 => x"1809", -- CMP
000268 => x"8015", -- BEQ
000269 => x"c52c", -- LDIL
000270 => x"c907", -- LDIH
000271 => x"be08", -- BL
000272 => x"bccb", -- B
000273 => x"0370", -- MOV
000274 => x"be08", -- BL
000275 => x"3c80", -- SFT
000276 => x"be06", -- BL
000277 => x"2490", -- ORR
000278 => x"3460", -- RET
000279 => x"bcc7", -- B
000280 => x"bcd0", -- B
000281 => x"bcd4", -- B
000282 => x"bcd8", -- B
000283 => x"bc6d", -- B
000284 => x"bcbc", -- B
000285 => x"bd30", -- B
000286 => x"bc6b", -- B
000287 => x"bcbe", -- B
000288 => x"bcd7", -- B
000289 => x"c176", -- LDIL
000290 => x"c906", -- LDIH
000291 => x"bebb", -- BL
000292 => x"24aa", -- LDUBS
000293 => x"8016", -- BEQ
000294 => x"c0a2", -- LDIL
000295 => x"bec6", -- BL
000296 => x"24a2", -- LDUB
000297 => x"be20", -- BL
000298 => x"24b3", -- LDUB
000299 => x"be1e", -- BL
000300 => x"24c4", -- LDUB
000301 => x"be1c", -- BL
000302 => x"24d5", -- LDUB
000303 => x"be1a", -- BL
000304 => x"24e6", -- LDUB
000305 => x"be18", -- BL
000306 => x"c0a2", -- LDIL
000307 => x"beba", -- BL
000308 => x"beb4", -- BL
000309 => x"c546", -- LDIL
000310 => x"c906", -- LDIH
000311 => x"bea7", -- BL
000312 => x"ee06", -- MRC
000313 => x"bee3", -- BL
000314 => x"beae", -- BL
000315 => x"bead", -- BL
000316 => x"beac", -- BL
000317 => x"beab", -- BL
000318 => x"c080", -- LDIL
000319 => x"ccc0", -- LDIH
000320 => x"1c01", -- STSR
000321 => x"2800", -- CLR
000322 => x"ed0f", -- MCR
000323 => x"ec88", -- MCR
000324 => x"ec8b", -- MCR
000325 => x"ec8c", -- MCR
000326 => x"ec8a", -- MCR
000327 => x"ec89", -- MCR
000328 => x"3400", -- GT
000329 => x"0370", -- MOV
000330 => x"3c90", -- SFT
000331 => x"bea2", -- BL
000332 => x"3c90", -- SFT
000333 => x"bea0", -- BL
000334 => x"3460", -- RET
000335 => x"c51a", -- LDIL
000336 => x"c906", -- LDIH
000337 => x"be8d", -- BL
000338 => x"bea5", -- BL
000339 => x"c136", -- LDIL
000340 => x"c905", -- LDIH
000341 => x"3424", -- GTL
000342 => x"ecca", -- MCR
000343 => x"be91", -- BL
000344 => x"c280", -- LDIL
000345 => x"c00f", -- LDIL
000346 => x"2058", -- ANDS
000347 => x"840a", -- BNE
000348 => x"be8c", -- BL
000349 => x"c0a4", -- LDIL
000350 => x"be8f", -- BL
000351 => x"0250", -- MOV
000352 => x"bebc", -- BL
000353 => x"c0ba", -- LDIL
000354 => x"be8b", -- BL
000355 => x"c0a0", -- LDIL
000356 => x"be89", -- BL
000357 => x"7a5a", -- LDR
000358 => x"c0a0", -- LDIL
000359 => x"be86", -- BL
000360 => x"beb4", -- BL
000361 => x"c00f", -- LDIL
000362 => x"2058", -- ANDS
000363 => x"8414", -- BNE
000364 => x"c0a0", -- LDIL
000365 => x"be80", -- BL
000366 => x"be7f", -- BL
000367 => x"c010", -- LDIL
000368 => x"1250", -- SUB
000369 => x"c470", -- LDIL
000370 => x"2240", -- AND
000371 => x"c12e", -- LDIL
000372 => x"78c9", -- LDR
000373 => x"3c90", -- SFT
000374 => x"c880", -- LDIH
000375 => x"c020", -- LDIL
000376 => x"1818", -- CMP
000377 => x"f8c2", -- MVHI
000378 => x"be73", -- BL
000379 => x"c08f", -- LDIL
000380 => x"2014", -- AND
000381 => x"3409", -- TEQ
000382 => x"85f6", -- BNE
000383 => x"ec20", -- MRC
000384 => x"dc0f", -- STB
000385 => x"b804", -- BTS
000386 => x"c5fe", -- LDIL
000387 => x"343d", -- TEQ
000388 => x"85d5", -- BNE
000389 => x"be6d", -- BL
000390 => x"2800", -- CLR
000391 => x"3400", -- GT
000392 => x"bc54", -- B
000393 => x"bc93", -- B
000394 => x"c001", -- LDIL
000395 => x"ed0c", -- MCR
000396 => x"c050", -- LDIL
000397 => x"c83f", -- LDIH
000398 => x"ed0a", -- MCR
000399 => x"c000", -- LDIL
000400 => x"c801", -- LDIH
000401 => x"bea9", -- BL
000402 => x"c154", -- LDIL
000403 => x"c906", -- LDIH
000404 => x"be4a", -- BL
000405 => x"c162", -- LDIL
000406 => x"c906", -- LDIH
000407 => x"be47", -- BL
000408 => x"be5a", -- BL
000409 => x"3c80", -- SFT
000410 => x"be58", -- BL
000411 => x"2410", -- ORR
000412 => x"c4fe", -- LDIL
000413 => x"ccca", -- LDIH
000414 => x"1809", -- CMP
000415 => x"8439", -- BNE
000416 => x"c100", -- LDIL
000417 => x"0290", -- MOV
000418 => x"be2f", -- BL
000419 => x"be4f", -- BL
000420 => x"3c80", -- SFT
000421 => x"be4d", -- BL
000422 => x"2690", -- ORR
000423 => x"3ed4", -- SFT
000424 => x"2055", -- STUB
000425 => x"c102", -- LDIL
000426 => x"be27", -- BL
000427 => x"be47", -- BL
000428 => x"3c80", -- SFT
000429 => x"be45", -- BL
000430 => x"2690", -- ORR
000431 => x"20d5", -- STUB
000432 => x"c104", -- LDIL
000433 => x"be20", -- BL
000434 => x"c106", -- LDIL
000435 => x"be3f", -- BL
000436 => x"0180", -- MOV
000437 => x"be8b", -- BL
000438 => x"0121", -- INC
000439 => x"c010", -- LDIL
000440 => x"1828", -- CMP
000441 => x"85fa", -- BNE
000442 => x"2ad5", -- CLR
000443 => x"be37", -- BL
000444 => x"0180", -- MOV
000445 => x"be83", -- BL
000446 => x"0121", -- INC
000447 => x"2400", -- LDUB
000448 => x"02d1", -- INC
000449 => x"1858", -- CMP
000450 => x"85f9", -- BNE
000451 => x"c001", -- LDIL
000452 => x"ed0c", -- MCR
000453 => x"c050", -- LDIL
000454 => x"c83f", -- LDIH
000455 => x"ed0a", -- MCR
000456 => x"c00c", -- LDIL
000457 => x"c801", -- LDIH
000458 => x"be70", -- BL
000459 => x"c506", -- LDIL
000460 => x"c906", -- LDIH
000461 => x"be11", -- BL
000462 => x"c68e", -- LDIL
000463 => x"ca80", -- LDIH
000464 => x"3450", -- GT
000465 => x"0370", -- MOV
000466 => x"3dd0", -- SFT
000467 => x"be6d", -- BL
000468 => x"0121", -- INC
000469 => x"01d0", -- MOV
000470 => x"be6a", -- BL
000471 => x"3460", -- RET
000472 => x"c510", -- LDIL
000473 => x"c907", -- LDIH
000474 => x"be04", -- BL
000475 => x"bcba", -- B
000476 => x"bc94", -- B
000477 => x"bca5", -- B
000478 => x"01f0", -- MOV
000479 => x"7829", -- LDR
000480 => x"c080", -- LDIL
000481 => x"ccff", -- LDIH
000482 => x"2081", -- AND
000483 => x"3c98", -- SFTS
000484 => x"8003", -- BEQ
000485 => x"be08", -- BL
000486 => x"bdf9", -- B
000487 => x"3430", -- RET
000488 => x"0170", -- MOV
000489 => x"c08d", -- LDIL
000490 => x"be03", -- BL
000491 => x"c08a", -- LDIL
000492 => x"03a0", -- MOV
000493 => x"ec22", -- MRC
000494 => x"dc05", -- STB
000495 => x"b9fe", -- BTS
000496 => x"ed18", -- MCR
000497 => x"3470", -- RET
000498 => x"ec20", -- MRC
000499 => x"dc8f", -- STBI
000500 => x"b9fe", -- BTS
000501 => x"c800", -- LDIH
000502 => x"3470", -- RET
000503 => x"0170", -- MOV
000504 => x"c200", -- LDIL
000505 => x"c184", -- LDIL
000506 => x"bff8", -- BL
000507 => x"c0c6", -- LDIL
000508 => x"1809", -- CMP
000509 => x"9003", -- BMI
000510 => x"c0a0", -- LDIL
000511 => x"1001", -- SUB
000512 => x"c0b0", -- LDIL
000513 => x"1809", -- CMP
000514 => x"91f8", -- BMI
000515 => x"c0c6", -- LDIL
000516 => x"1818", -- CMP
000517 => x"91f5", -- BMI
000518 => x"c0b9", -- LDIL
000519 => x"1818", -- CMP
000520 => x"a404", -- BLS
000521 => x"c0c1", -- LDIL
000522 => x"1809", -- CMP
000523 => x"a1ef", -- BHI
000524 => x"0080", -- MOV
000525 => x"bfe0", -- BL
000526 => x"c030", -- LDIL
000527 => x"1090", -- SUB
000528 => x"c009", -- LDIL
000529 => x"1809", -- CMP
000530 => x"a402", -- BLS
000531 => x"0497", -- DEC
000532 => x"3e42", -- SFT
000533 => x"3e42", -- SFT
000534 => x"3e42", -- SFT
000535 => x"2641", -- ORR
000536 => x"05b9", -- DECS
000537 => x"85e0", -- BNE
000538 => x"3420", -- RET
000539 => x"0370", -- MOV
000540 => x"3d42", -- SFT
000541 => x"3d22", -- SFT
000535 => x"3e42", -- SFT
000536 => x"2641", -- ORR
000537 => x"05b9", -- DECS
000538 => x"85e0", -- BNE
000539 => x"3420", -- RET
000540 => x"0370", -- MOV
000541 => x"3d42", -- SFT
000542 => x"3d22", -- SFT
000543 => x"3d22", -- SFT
000544 => x"be0f", -- BL
000545 => x"bfcb", -- BL
000546 => x"3d40", -- SFT
000547 => x"be0c", -- BL
000548 => x"bfc8", -- BL
000549 => x"3d45", -- SFT
000550 => x"3d25", -- SFT
000544 => x"3d22", -- SFT
000545 => x"be0f", -- BL
000546 => x"bfcb", -- BL
000547 => x"3d40", -- SFT
000548 => x"be0c", -- BL
000549 => x"bfc8", -- BL
000550 => x"3d45", -- SFT
000551 => x"3d25", -- SFT
000552 => x"3d25", -- SFT
000553 => x"be06", -- BL
000554 => x"bfc2", -- BL
000555 => x"0140", -- MOV
000556 => x"be03", -- BL
000557 => x"bfbf", -- BL
000558 => x"3460", -- RET
000559 => x"c08f", -- LDIL
000560 => x"2121", -- AND
000561 => x"c089", -- LDIL
000562 => x"181a", -- CMP
000563 => x"8803", -- BCS
000564 => x"c0b0", -- LDIL
000565 => x"bc02", -- B
000566 => x"c0b7", -- LDIL
000567 => x"0892", -- ADD
000568 => x"3470", -- RET
000569 => x"ed0b", -- MCR
000570 => x"ec22", -- MRC
000571 => x"dc03", -- STB
000572 => x"b9fe", -- BTS
000573 => x"ec23", -- MRC
000574 => x"3470", -- RET
000575 => x"00f0", -- MOV
000576 => x"c050", -- LDIL
000577 => x"c837", -- LDIH
000578 => x"ed0a", -- MCR
000579 => x"c001", -- LDIL
000580 => x"ed0c", -- MCR
000581 => x"c006", -- LDIL
000582 => x"bff3", -- BL
000583 => x"c050", -- LDIL
000584 => x"c83f", -- LDIH
000585 => x"ed0a", -- MCR
000586 => x"c000", -- LDIL
000587 => x"c805", -- LDIH
000588 => x"bfed", -- BL
000589 => x"dc01", -- STB
000590 => x"b805", -- BTS
000591 => x"c530", -- LDIL
000592 => x"c907", -- LDIH
000593 => x"bf8c", -- BL
000594 => x"bc42", -- B
000595 => x"c040", -- LDIL
000596 => x"c83f", -- LDIH
000597 => x"ed0a", -- MCR
000598 => x"c001", -- LDIL
000599 => x"ed0c", -- MCR
000600 => x"3c20", -- SFT
000601 => x"c802", -- LDIH
000602 => x"bfdf", -- BL
000603 => x"03a0", -- MOV
000604 => x"cb80", -- LDIH
000605 => x"3ff0", -- SFT
000606 => x"0030", -- MOV
000607 => x"c800", -- LDIH
000608 => x"2407", -- ORR
000609 => x"bfd8", -- BL
000610 => x"2800", -- CLR
000611 => x"ed0c", -- MCR
000612 => x"c050", -- LDIL
000613 => x"c83f", -- LDIH
000614 => x"ed0a", -- MCR
000615 => x"c001", -- LDIL
000616 => x"ed0c", -- MCR
000617 => x"c000", -- LDIL
000618 => x"c805", -- LDIH
000619 => x"bfce", -- BL
000620 => x"dc00", -- STB
000621 => x"b9fc", -- BTS
000622 => x"3410", -- RET
000623 => x"00f0", -- MOV
000624 => x"c040", -- LDIL
000625 => x"c83f", -- LDIH
000626 => x"ed0a", -- MCR
000627 => x"c001", -- LDIL
000628 => x"ed0c", -- MCR
000629 => x"3c20", -- SFT
000630 => x"c803", -- LDIH
000631 => x"bfc2", -- BL
000632 => x"0020", -- MOV
000633 => x"c800", -- LDIH
000634 => x"3c00", -- SFT
000635 => x"bfbe", -- BL
000636 => x"29b3", -- CLR
000637 => x"ed3c", -- MCR
000638 => x"0180", -- MOV
000639 => x"c980", -- LDIH
000640 => x"3410", -- RET
000641 => x"e5b0", -- CDP
000642 => x"ec30", -- MRC
000643 => x"dc06", -- STB
000644 => x"b9fe", -- BTS
000645 => x"c306", -- LDIL
000646 => x"200e", -- ANDS
000647 => x"840a", -- BNE
000648 => x"ecb1", -- MRC
000649 => x"ef32", -- MRC
000650 => x"2800", -- CLR
000651 => x"009a", -- INCS
000652 => x"0f60", -- ADC
000653 => x"ed99", -- MCR
000654 => x"edea", -- MCR
000655 => x"ef34", -- MRC
000656 => x"3470", -- RET
000657 => x"c542", -- LDIL
000658 => x"c907", -- LDIH
000659 => x"bf4a", -- BL
000660 => x"c550", -- LDIL
000661 => x"c907", -- LDIH
000662 => x"bf47", -- BL
000663 => x"bf5a", -- BL
000664 => x"2800", -- CLR
000665 => x"3400", -- GT
000666 => x"0170", -- MOV
000667 => x"bf56", -- BL
000668 => x"c08d", -- LDIL
000669 => x"1809", -- CMP
000670 => x"f702", -- RBAEQ
000671 => x"c088", -- LDIL
000672 => x"1809", -- CMP
000673 => x"802c", -- BEQ
000674 => x"bdf9", -- B
000675 => x"c516", -- LDIL
000676 => x"c906", -- LDIH
000677 => x"bf38", -- BL
000678 => x"bf50", -- BL
000679 => x"edca", -- MCR
000680 => x"bf4e", -- BL
000681 => x"edc9", -- MCR
000682 => x"bff0", -- BL
000683 => x"bf3c", -- BL
000684 => x"c524", -- LDIL
000685 => x"c906", -- LDIH
000686 => x"bf2f", -- BL
000687 => x"bf47", -- BL
000688 => x"02c0", -- MOV
000689 => x"bfe9", -- BL
000690 => x"345d", -- TEQ
000691 => x"801a", -- BEQ
000692 => x"06d1", -- DEC
000693 => x"bf32", -- BL
000694 => x"bfcb", -- BL
000695 => x"c540", -- LDIL
000696 => x"c906", -- LDIH
000697 => x"bf24", -- BL
000698 => x"0260", -- MOV
000699 => x"bf60", -- BL
000700 => x"c320", -- LDIL
000701 => x"c1ae", -- LDIL
000702 => x"00e0", -- MOV
000703 => x"bf2d", -- BL
000704 => x"3cc0", -- SFT
000705 => x"c880", -- LDIH
000706 => x"181e", -- CMP
000707 => x"f8c3", -- MVHI
000708 => x"bf28", -- BL
000709 => x"00c0", -- MOV
000710 => x"c880", -- LDIH
000711 => x"181e", -- CMP
000712 => x"f8c3", -- MVHI
000713 => x"bf23", -- BL
000714 => x"eca0", -- MRC
000715 => x"dc9f", -- STBI
000716 => x"b9e6", -- BTS
000717 => x"bf1a", -- BL
000718 => x"c69c", -- LDIL
000719 => x"ca80", -- LDIH
000720 => x"3450", -- GT
000721 => x"0d0a", -- .DW
000722 => x"0d0a", -- .DW
000723 => x"4174", -- .DW
000724 => x"6c61", -- .DW
000725 => x"732d", -- .DW
000726 => x"324b", -- .DW
000727 => x"2042", -- .DW
000728 => x"6f6f", -- .DW
000729 => x"746c", -- .DW
000730 => x"6f61", -- .DW
000731 => x"6465", -- .DW
000732 => x"7220", -- .DW
000733 => x"2d20", -- .DW
000734 => x"5632", -- .DW
000735 => x"3031", -- .DW
000736 => x"3430", -- .DW
000737 => x"3431", -- .DW
000738 => x"370d", -- .DW
000739 => x"0a62", -- .DW
000740 => x"7920", -- .DW
000741 => x"5374", -- .DW
000742 => x"6570", -- .DW
000743 => x"6861", -- .DW
000744 => x"6e20", -- .DW
000745 => x"4e6f", -- .DW
000746 => x"6c74", -- .DW
000747 => x"696e", -- .DW
000748 => x"672c", -- .DW
000749 => x"2073", -- .DW
000750 => x"746e", -- .DW
000751 => x"6f6c", -- .DW
000752 => x"7469", -- .DW
000753 => x"6e67", -- .DW
000754 => x"4067", -- .DW
000755 => x"6d61", -- .DW
000756 => x"696c", -- .DW
000757 => x"2e63", -- .DW
000758 => x"6f6d", -- .DW
000759 => x"0d0a", -- .DW
000760 => x"7777", -- .DW
000761 => x"772e", -- .DW
000762 => x"6f70", -- .DW
000763 => x"656e", -- .DW
000764 => x"636f", -- .DW
000765 => x"7265", -- .DW
000766 => x"732e", -- .DW
000767 => x"6f72", -- .DW
000768 => x"672f", -- .DW
000769 => x"7072", -- .DW
000770 => x"6f6a", -- .DW
000771 => x"6563", -- .DW
000772 => x"742c", -- .DW
000773 => x"6174", -- .DW
000774 => x"6c61", -- .DW
000775 => x"735f", -- .DW
000776 => x"636f", -- .DW
000777 => x"7265", -- .DW
000778 => x"0d0a", -- .DW
000779 => x"0000", -- .DW
000780 => x"0d0a", -- .DW
000781 => x"426f", -- .DW
000782 => x"6f74", -- .DW
000783 => x"2070", -- .DW
000784 => x"6167", -- .DW
000785 => x"653a", -- .DW
000786 => x"2030", -- .DW
000787 => x"7800", -- .DW
000788 => x"0d0a", -- .DW
000789 => x"436c", -- .DW
000790 => x"6f63", -- .DW
000791 => x"6b28", -- .DW
000792 => x"487a", -- .DW
000793 => x"293a", -- .DW
000794 => x"2030", -- .DW
000795 => x"7800", -- .DW
000796 => x"426f", -- .DW
000797 => x"6f74", -- .DW
000798 => x"696e", -- .DW
000799 => x"670d", -- .DW
000800 => x"0a00", -- .DW
000801 => x"4275", -- .DW
000802 => x"726e", -- .DW
000803 => x"2045", -- .DW
000804 => x"4550", -- .DW
000805 => x"524f", -- .DW
000806 => x"4d0d", -- .DW
000807 => x"0a00", -- .DW
000808 => x"4177", -- .DW
000809 => x"6169", -- .DW
000810 => x"7469", -- .DW
000811 => x"6e67", -- .DW
000812 => x"2064", -- .DW
000813 => x"6174", -- .DW
000814 => x"612e", -- .DW
000815 => x"2e2e", -- .DW
000816 => x"0d0a", -- .DW
000817 => x"0000", -- .DW
000818 => x"5374", -- .DW
000819 => x"6172", -- .DW
000820 => x"7469", -- .DW
000821 => x"6e67", -- .DW
000822 => x"2069", -- .DW
000823 => x"6d61", -- .DW
000824 => x"6765", -- .DW
000825 => x"2000", -- .DW
000826 => x"446f", -- .DW
000827 => x"776e", -- .DW
000828 => x"6c6f", -- .DW
000829 => x"6164", -- .DW
000830 => x"2063", -- .DW
000831 => x"6f6d", -- .DW
000832 => x"706c", -- .DW
000833 => x"6574", -- .DW
000834 => x"650d", -- .DW
000835 => x"0a00", -- .DW
000836 => x"5061", -- .DW
000837 => x"6765", -- .DW
000838 => x"2028", -- .DW
000839 => x"3468", -- .DW
000840 => x"293a", -- .DW
000841 => x"2024", -- .DW
000842 => x"0000", -- .DW
000843 => x"4164", -- .DW
000844 => x"6472", -- .DW
000845 => x"2028", -- .DW
000846 => x"3868", -- .DW
000847 => x"293a", -- .DW
000848 => x"2024", -- .DW
000849 => x"0000", -- .DW
000850 => x"2377", -- .DW
000851 => x"6f72", -- .DW
000852 => x"6473", -- .DW
000853 => x"2028", -- .DW
000854 => x"3468", -- .DW
000855 => x"293a", -- .DW
000856 => x"2024", -- .DW
000857 => x"0000", -- .DW
000858 => x"4368", -- .DW
000859 => x"6563", -- .DW
000860 => x"6b73", -- .DW
000861 => x"756d", -- .DW
000862 => x"3a20", -- .DW
000863 => x"2400", -- .DW
000864 => x"202d", -- .DW
000865 => x"3e20", -- .DW
000866 => x"2400", -- .DW
000867 => x"0d0a", -- .DW
000868 => x"636d", -- .DW
000869 => x"642f", -- .DW
000870 => x"626f", -- .DW
000871 => x"6f74", -- .DW
000872 => x"2d73", -- .DW
000873 => x"7769", -- .DW
000874 => x"7463", -- .DW
000875 => x"683a", -- .DW
000876 => x"0d0a", -- .DW
000877 => x"2030", -- .DW
000878 => x"2f27", -- .DW
000879 => x"3030", -- .DW
000880 => x"273a", -- .DW
000881 => x"2052", -- .DW
000882 => x"6573", -- .DW
000883 => x"7461", -- .DW
000884 => x"7274", -- .DW
000885 => x"2063", -- .DW
000886 => x"6f6e", -- .DW
000887 => x"736f", -- .DW
000888 => x"6c65", -- .DW
000889 => x"0d0a", -- .DW
000890 => x"2031", -- .DW
000891 => x"2f27", -- .DW
000892 => x"3031", -- .DW
000893 => x"273a", -- .DW
000894 => x"2042", -- .DW
000895 => x"6f6f", -- .DW
000896 => x"7420", -- .DW
000897 => x"5541", -- .DW
000898 => x"5254", -- .DW
000899 => x"0d0a", -- .DW
000900 => x"2032", -- .DW
000901 => x"2f27", -- .DW
000902 => x"3130", -- .DW
000903 => x"273a", -- .DW
000904 => x"2042", -- .DW
000905 => x"6f6f", -- .DW
000906 => x"7420", -- .DW
000907 => x"4545", -- .DW
000908 => x"5052", -- .DW
000909 => x"4f4d", -- .DW
000910 => x"0d0a", -- .DW
000911 => x"2033", -- .DW
000912 => x"2f27", -- .DW
000913 => x"3131", -- .DW
000914 => x"273a", -- .DW
000915 => x"2042", -- .DW
000916 => x"6f6f", -- .DW
000917 => x"7420", -- .DW
000918 => x"6d65", -- .DW
000919 => x"6d6f", -- .DW
000920 => x"7279", -- .DW
000921 => x"0d0a", -- .DW
000922 => x"0000", -- .DW
000923 => x"2034", -- .DW
000924 => x"3a20", -- .DW
000925 => x"426f", -- .DW
000926 => x"6f74", -- .DW
000927 => x"2057", -- .DW
000928 => x"420d", -- .DW
000929 => x"0a20", -- .DW
000930 => x"703a", -- .DW
000931 => x"2042", -- .DW
000932 => x"7572", -- .DW
000933 => x"6e20", -- .DW
000934 => x"4545", -- .DW
000935 => x"5052", -- .DW
000936 => x"4f4d", -- .DW
000937 => x"0d0a", -- .DW
000938 => x"2064", -- .DW
000939 => x"3a20", -- .DW
000940 => x"5241", -- .DW
000941 => x"4d20", -- .DW
000942 => x"6475", -- .DW
000943 => x"6d70", -- .DW
000944 => x"0d0a", -- .DW
000945 => x"2072", -- .DW
000946 => x"3a20", -- .DW
000947 => x"5265", -- .DW
000948 => x"7365", -- .DW
000949 => x"740d", -- .DW
000950 => x"0a20", -- .DW
000951 => x"773a", -- .DW
000952 => x"2057", -- .DW
000953 => x"4220", -- .DW
000954 => x"6475", -- .DW
000955 => x"6d70", -- .DW
000956 => x"0d0a", -- .DW
000957 => x"0000", -- .DW
000958 => x"636d", -- .DW
000959 => x"643a", -- .DW
000960 => x"3e20", -- .DW
000961 => x"0000", -- .DW
000962 => x"494d", -- .DW
000963 => x"4147", -- .DW
000964 => x"4520", -- .DW
000965 => x"4552", -- .DW
000966 => x"5221", -- .DW
000967 => x"0d0a", -- .DW
000968 => x"0000", -- .DW
000969 => x"0d0a", -- .DW
000970 => x"4952", -- .DW
000971 => x"5120", -- .DW
000972 => x"4552", -- .DW
000973 => x"5221", -- .DW
000974 => x"0d0a", -- .DW
000975 => x"0000", -- .DW
000976 => x"4348", -- .DW
000977 => x"4543", -- .DW
000978 => x"4b53", -- .DW
000979 => x"554d", -- .DW
000980 => x"2045", -- .DW
000981 => x"5252", -- .DW
000982 => x"210d", -- .DW
000983 => x"0a00", -- .DW
000984 => x"5350", -- .DW
000985 => x"492f", -- .DW
000986 => x"4545", -- .DW
000987 => x"5052", -- .DW
000988 => x"4f4d", -- .DW
000989 => x"2045", -- .DW
000990 => x"5252", -- .DW
000991 => x"210d", -- .DW
000992 => x"0a00", -- .DW
000993 => x"5742", -- .DW
000994 => x"2042", -- .DW
000995 => x"5553", -- .DW
000996 => x"2045", -- .DW
000997 => x"5252", -- .DW
000998 => x"210d", -- .DW
000999 => x"0a00", -- .DW
001000 => x"5072", -- .DW
001001 => x"6573", -- .DW
001002 => x"7320", -- .DW
001003 => x"616e", -- .DW
001004 => x"7920", -- .DW
001005 => x"6b65", -- .DW
001006 => x"790d", -- .DW
001007 => x"0a00", -- .DW
000553 => x"3d25", -- SFT
000554 => x"be06", -- BL
000555 => x"bfc2", -- BL
000556 => x"0140", -- MOV
000557 => x"be03", -- BL
000558 => x"bfbf", -- BL
000559 => x"3460", -- RET
000560 => x"c08f", -- LDIL
000561 => x"2121", -- AND
000562 => x"c089", -- LDIL
000563 => x"181a", -- CMP
000564 => x"8803", -- BCS
000565 => x"c0b0", -- LDIL
000566 => x"bc02", -- B
000567 => x"c0b7", -- LDIL
000568 => x"0892", -- ADD
000569 => x"3470", -- RET
000570 => x"ed0b", -- MCR
000571 => x"ec22", -- MRC
000572 => x"dc03", -- STB
000573 => x"b9fe", -- BTS
000574 => x"ec23", -- MRC
000575 => x"3470", -- RET
000576 => x"00f0", -- MOV
000577 => x"c050", -- LDIL
000578 => x"c837", -- LDIH
000579 => x"ed0a", -- MCR
000580 => x"c001", -- LDIL
000581 => x"ed0c", -- MCR
000582 => x"c006", -- LDIL
000583 => x"bff3", -- BL
000584 => x"c050", -- LDIL
000585 => x"c83f", -- LDIH
000586 => x"ed0a", -- MCR
000587 => x"c000", -- LDIL
000588 => x"c805", -- LDIH
000589 => x"bfed", -- BL
000590 => x"dc01", -- STB
000591 => x"b805", -- BTS
000592 => x"c53c", -- LDIL
000593 => x"c907", -- LDIH
000594 => x"bf8c", -- BL
000595 => x"bc42", -- B
000596 => x"c040", -- LDIL
000597 => x"c83f", -- LDIH
000598 => x"ed0a", -- MCR
000599 => x"c001", -- LDIL
000600 => x"ed0c", -- MCR
000601 => x"3c20", -- SFT
000602 => x"c802", -- LDIH
000603 => x"bfdf", -- BL
000604 => x"03a0", -- MOV
000605 => x"cb80", -- LDIH
000606 => x"3ff0", -- SFT
000607 => x"0030", -- MOV
000608 => x"c800", -- LDIH
000609 => x"2407", -- ORR
000610 => x"bfd8", -- BL
000611 => x"2800", -- CLR
000612 => x"ed0c", -- MCR
000613 => x"c050", -- LDIL
000614 => x"c83f", -- LDIH
000615 => x"ed0a", -- MCR
000616 => x"c001", -- LDIL
000617 => x"ed0c", -- MCR
000618 => x"c000", -- LDIL
000619 => x"c805", -- LDIH
000620 => x"bfce", -- BL
000621 => x"dc00", -- STB
000622 => x"b9fc", -- BTS
000623 => x"3410", -- RET
000624 => x"00f0", -- MOV
000625 => x"c040", -- LDIL
000626 => x"c83f", -- LDIH
000627 => x"ed0a", -- MCR
000628 => x"c001", -- LDIL
000629 => x"ed0c", -- MCR
000630 => x"3c20", -- SFT
000631 => x"c803", -- LDIH
000632 => x"bfc2", -- BL
000633 => x"0020", -- MOV
000634 => x"c800", -- LDIH
000635 => x"3c00", -- SFT
000636 => x"bfbe", -- BL
000637 => x"29b3", -- CLR
000638 => x"ed3c", -- MCR
000639 => x"0180", -- MOV
000640 => x"c980", -- LDIH
000641 => x"3410", -- RET
000642 => x"e5b0", -- CDP
000643 => x"ec30", -- MRC
000644 => x"dc06", -- STB
000645 => x"b9fe", -- BTS
000646 => x"c306", -- LDIL
000647 => x"200e", -- ANDS
000648 => x"840a", -- BNE
000649 => x"ecb1", -- MRC
000650 => x"ef32", -- MRC
000651 => x"2800", -- CLR
000652 => x"009a", -- INCS
000653 => x"0f60", -- ADC
000654 => x"ed99", -- MCR
000655 => x"edea", -- MCR
000656 => x"ef34", -- MRC
000657 => x"3470", -- RET
000658 => x"c54e", -- LDIL
000659 => x"c907", -- LDIH
000660 => x"bf4a", -- BL
000661 => x"c55c", -- LDIL
000662 => x"c907", -- LDIH
000663 => x"bf47", -- BL
000664 => x"bf5a", -- BL
000665 => x"2800", -- CLR
000666 => x"3400", -- GT
000667 => x"0170", -- MOV
000668 => x"bf56", -- BL
000669 => x"c08d", -- LDIL
000670 => x"1809", -- CMP
000671 => x"f702", -- RBAEQ
000672 => x"c088", -- LDIL
000673 => x"1809", -- CMP
000674 => x"8034", -- BEQ
000675 => x"bdf9", -- B
000676 => x"c528", -- LDIL
000677 => x"c906", -- LDIH
000678 => x"bf38", -- BL
000679 => x"bf50", -- BL
000680 => x"edca", -- MCR
000681 => x"bf4e", -- BL
000682 => x"edc9", -- MCR
000683 => x"bff0", -- BL
000684 => x"bf3c", -- BL
000685 => x"c536", -- LDIL
000686 => x"c906", -- LDIH
000687 => x"bf2f", -- BL
000688 => x"bf47", -- BL
000689 => x"02c0", -- MOV
000690 => x"bfe9", -- BL
000691 => x"bf35", -- BL
000692 => x"345d", -- TEQ
000693 => x"8021", -- BEQ
000694 => x"06d1", -- DEC
000695 => x"bf31", -- BL
000696 => x"c0a4", -- LDIL
000697 => x"bf34", -- BL
000698 => x"ee32", -- MRC
000699 => x"bf61", -- BL
000700 => x"ee31", -- MRC
000701 => x"bf5f", -- BL
000702 => x"c0ba", -- LDIL
000703 => x"bf2e", -- BL
000704 => x"c0a0", -- LDIL
000705 => x"bf2c", -- BL
000706 => x"bfc0", -- BL
000707 => x"0260", -- MOV
000708 => x"bf58", -- BL
000709 => x"c320", -- LDIL
000710 => x"c1ae", -- LDIL
000711 => x"00e0", -- MOV
000712 => x"bf25", -- BL
000713 => x"3cc0", -- SFT
000714 => x"c880", -- LDIH
000715 => x"181e", -- CMP
000716 => x"f8c3", -- MVHI
000717 => x"bf20", -- BL
000718 => x"00c0", -- MOV
000719 => x"c880", -- LDIH
000720 => x"181e", -- CMP
000721 => x"f8c3", -- MVHI
000722 => x"bf1b", -- BL
000723 => x"eca0", -- MRC
000724 => x"dc9f", -- STBI
000725 => x"b9df", -- BTS
000726 => x"bf12", -- BL
000727 => x"c69a", -- LDIL
000728 => x"ca80", -- LDIH
000729 => x"3450", -- GT
000730 => x"0d0a", -- .DW
000731 => x"0d0a", -- .DW
000732 => x"4174", -- .DW
000733 => x"6c61", -- .DW
000734 => x"732d", -- .DW
000735 => x"324b", -- .DW
000736 => x"2042", -- .DW
000737 => x"6f6f", -- .DW
000738 => x"746c", -- .DW
000739 => x"6f61", -- .DW
000740 => x"6465", -- .DW
000741 => x"7220", -- .DW
000742 => x"2d20", -- .DW
000743 => x"5632", -- .DW
000744 => x"3031", -- .DW
000745 => x"3430", -- .DW
000746 => x"3431", -- .DW
000747 => x"390d", -- .DW
000748 => x"0a62", -- .DW
000749 => x"7920", -- .DW
000750 => x"5374", -- .DW
000751 => x"6570", -- .DW
000752 => x"6861", -- .DW
000753 => x"6e20", -- .DW
000754 => x"4e6f", -- .DW
000755 => x"6c74", -- .DW
000756 => x"696e", -- .DW
000757 => x"672c", -- .DW
000758 => x"2073", -- .DW
000759 => x"746e", -- .DW
000760 => x"6f6c", -- .DW
000761 => x"7469", -- .DW
000762 => x"6e67", -- .DW
000763 => x"4067", -- .DW
000764 => x"6d61", -- .DW
000765 => x"696c", -- .DW
000766 => x"2e63", -- .DW
000767 => x"6f6d", -- .DW
000768 => x"0d0a", -- .DW
000769 => x"7777", -- .DW
000770 => x"772e", -- .DW
000771 => x"6f70", -- .DW
000772 => x"656e", -- .DW
000773 => x"636f", -- .DW
000774 => x"7265", -- .DW
000775 => x"732e", -- .DW
000776 => x"6f72", -- .DW
000777 => x"672f", -- .DW
000778 => x"7072", -- .DW
000779 => x"6f6a", -- .DW
000780 => x"6563", -- .DW
000781 => x"742c", -- .DW
000782 => x"6174", -- .DW
000783 => x"6c61", -- .DW
000784 => x"735f", -- .DW
000785 => x"636f", -- .DW
000786 => x"7265", -- .DW
000787 => x"0d0a", -- .DW
000788 => x"0000", -- .DW
000789 => x"0d0a", -- .DW
000790 => x"426f", -- .DW
000791 => x"6f74", -- .DW
000792 => x"2070", -- .DW
000793 => x"6167", -- .DW
000794 => x"653a", -- .DW
000795 => x"2030", -- .DW
000796 => x"7800", -- .DW
000797 => x"0d0a", -- .DW
000798 => x"436c", -- .DW
000799 => x"6f63", -- .DW
000800 => x"6b28", -- .DW
000801 => x"487a", -- .DW
000802 => x"293a", -- .DW
000803 => x"2030", -- .DW
000804 => x"7800", -- .DW
000805 => x"426f", -- .DW
000806 => x"6f74", -- .DW
000807 => x"696e", -- .DW
000808 => x"670d", -- .DW
000809 => x"0a00", -- .DW
000810 => x"4275", -- .DW
000811 => x"726e", -- .DW
000812 => x"2045", -- .DW
000813 => x"4550", -- .DW
000814 => x"524f", -- .DW
000815 => x"4d0d", -- .DW
000816 => x"0a00", -- .DW
000817 => x"4177", -- .DW
000818 => x"6169", -- .DW
000819 => x"7469", -- .DW
000820 => x"6e67", -- .DW
000821 => x"2064", -- .DW
000822 => x"6174", -- .DW
000823 => x"612e", -- .DW
000824 => x"2e2e", -- .DW
000825 => x"0d0a", -- .DW
000826 => x"0000", -- .DW
000827 => x"5374", -- .DW
000828 => x"6172", -- .DW
000829 => x"7469", -- .DW
000830 => x"6e67", -- .DW
000831 => x"2069", -- .DW
000832 => x"6d61", -- .DW
000833 => x"6765", -- .DW
000834 => x"2000", -- .DW
000835 => x"446f", -- .DW
000836 => x"776e", -- .DW
000837 => x"6c6f", -- .DW
000838 => x"6164", -- .DW
000839 => x"2063", -- .DW
000840 => x"6f6d", -- .DW
000841 => x"706c", -- .DW
000842 => x"6574", -- .DW
000843 => x"650d", -- .DW
000844 => x"0a00", -- .DW
000845 => x"5061", -- .DW
000846 => x"6765", -- .DW
000847 => x"2028", -- .DW
000848 => x"3468", -- .DW
000849 => x"293a", -- .DW
000850 => x"2024", -- .DW
000851 => x"0000", -- .DW
000852 => x"4164", -- .DW
000853 => x"6472", -- .DW
000854 => x"2028", -- .DW
000855 => x"3868", -- .DW
000856 => x"293a", -- .DW
000857 => x"2024", -- .DW
000858 => x"0000", -- .DW
000859 => x"2377", -- .DW
000860 => x"6f72", -- .DW
000861 => x"6473", -- .DW
000862 => x"2028", -- .DW
000863 => x"3468", -- .DW
000864 => x"293a", -- .DW
000865 => x"2024", -- .DW
000866 => x"0000", -- .DW
000867 => x"4368", -- .DW
000868 => x"6563", -- .DW
000869 => x"6b73", -- .DW
000870 => x"756d", -- .DW
000871 => x"3a20", -- .DW
000872 => x"2400", -- .DW
000873 => x"0d0a", -- .DW
000874 => x"636d", -- .DW
000875 => x"642f", -- .DW
000876 => x"626f", -- .DW
000877 => x"6f74", -- .DW
000878 => x"2d73", -- .DW
000879 => x"7769", -- .DW
000880 => x"7463", -- .DW
000881 => x"683a", -- .DW
000882 => x"0d0a", -- .DW
000883 => x"2030", -- .DW
000884 => x"2f27", -- .DW
000885 => x"3030", -- .DW
000886 => x"273a", -- .DW
000887 => x"2052", -- .DW
000888 => x"6573", -- .DW
000889 => x"7461", -- .DW
000890 => x"7274", -- .DW
000891 => x"2063", -- .DW
000892 => x"6f6e", -- .DW
000893 => x"736f", -- .DW
000894 => x"6c65", -- .DW
000895 => x"0d0a", -- .DW
000896 => x"2031", -- .DW
000897 => x"2f27", -- .DW
000898 => x"3031", -- .DW
000899 => x"273a", -- .DW
000900 => x"2042", -- .DW
000901 => x"6f6f", -- .DW
000902 => x"7420", -- .DW
000903 => x"5541", -- .DW
000904 => x"5254", -- .DW
000905 => x"0d0a", -- .DW
000906 => x"2032", -- .DW
000907 => x"2f27", -- .DW
000908 => x"3130", -- .DW
000909 => x"273a", -- .DW
000910 => x"2042", -- .DW
000911 => x"6f6f", -- .DW
000912 => x"7420", -- .DW
000913 => x"4545", -- .DW
000914 => x"5052", -- .DW
000915 => x"4f4d", -- .DW
000916 => x"0d0a", -- .DW
000917 => x"2033", -- .DW
000918 => x"2f27", -- .DW
000919 => x"3131", -- .DW
000920 => x"273a", -- .DW
000921 => x"2042", -- .DW
000922 => x"6f6f", -- .DW
000923 => x"7420", -- .DW
000924 => x"6d65", -- .DW
000925 => x"6d6f", -- .DW
000926 => x"7279", -- .DW
000927 => x"0d0a", -- .DW
000928 => x"0000", -- .DW
000929 => x"2034", -- .DW
000930 => x"3a20", -- .DW
000931 => x"426f", -- .DW
000932 => x"6f74", -- .DW
000933 => x"2057", -- .DW
000934 => x"420d", -- .DW
000935 => x"0a20", -- .DW
000936 => x"703a", -- .DW
000937 => x"2042", -- .DW
000938 => x"7572", -- .DW
000939 => x"6e20", -- .DW
000940 => x"4545", -- .DW
000941 => x"5052", -- .DW
000942 => x"4f4d", -- .DW
000943 => x"0d0a", -- .DW
000944 => x"2064", -- .DW
000945 => x"3a20", -- .DW
000946 => x"5241", -- .DW
000947 => x"4d20", -- .DW
000948 => x"6475", -- .DW
000949 => x"6d70", -- .DW
000950 => x"0d0a", -- .DW
000951 => x"2072", -- .DW
000952 => x"3a20", -- .DW
000953 => x"5265", -- .DW
000954 => x"7365", -- .DW
000955 => x"740d", -- .DW
000956 => x"0a20", -- .DW
000957 => x"773a", -- .DW
000958 => x"2057", -- .DW
000959 => x"4220", -- .DW
000960 => x"6475", -- .DW
000961 => x"6d70", -- .DW
000962 => x"0d0a", -- .DW
000963 => x"0000", -- .DW
000964 => x"636d", -- .DW
000965 => x"643a", -- .DW
000966 => x"3e20", -- .DW
000967 => x"0000", -- .DW
000968 => x"494d", -- .DW
000969 => x"4147", -- .DW
000970 => x"4520", -- .DW
000971 => x"4552", -- .DW
000972 => x"5221", -- .DW
000973 => x"0d0a", -- .DW
000974 => x"0000", -- .DW
000975 => x"0d0a", -- .DW
000976 => x"4952", -- .DW
000977 => x"5120", -- .DW
000978 => x"4552", -- .DW
000979 => x"5221", -- .DW
000980 => x"0d0a", -- .DW
000981 => x"0000", -- .DW
000982 => x"4348", -- .DW
000983 => x"4543", -- .DW
000984 => x"4b53", -- .DW
000985 => x"554d", -- .DW
000986 => x"2045", -- .DW
000987 => x"5252", -- .DW
000988 => x"210d", -- .DW
000989 => x"0a00", -- .DW
000990 => x"5350", -- .DW
000991 => x"492f", -- .DW
000992 => x"4545", -- .DW
000993 => x"5052", -- .DW
000994 => x"4f4d", -- .DW
000995 => x"2045", -- .DW
000996 => x"5252", -- .DW
000997 => x"210d", -- .DW
000998 => x"0a00", -- .DW
000999 => x"5742", -- .DW
001000 => x"2042", -- .DW
001001 => x"5553", -- .DW
001002 => x"2045", -- .DW
001003 => x"5252", -- .DW
001004 => x"210d", -- .DW
001005 => x"0a00", -- .DW
001006 => x"5072", -- .DW
001007 => x"6573", -- .DW
001008 => x"7320", -- .DW
001009 => x"616e", -- .DW
001010 => x"7920", -- .DW
001011 => x"6b65", -- .DW
001012 => x"790d", -- .DW
001013 => x"0a00", -- .DW
others => x"0000" -- NOP
);
------------------------------------------------------
/trunk/rtl/ATLAS_pkg.vhd
4,7 → 4,7
-- # All architecture configurations, options, signal #
-- # definitions and components are listed here. #
-- # **************************************************** #
-- # Last modified: 09.04.2014 #
-- # Last modified: 19.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
139,62 → 139,64
constant ctrl_alu_usec_c : natural := 22; -- alu use MSR(carry_flag)
constant ctrl_alu_usez_c : natural := 23; -- alu use MSR(zero_flag)
constant ctrl_fupdate_c : natural := 24; -- msr flag update enable
constant ctrl_alu_cf_opt_c : natural := 25; -- option for carry in (normal/invert)
constant ctrl_alu_zf_opt_c : natural := 26; -- option for zero in (AND/OR)
 
-- Bit Manipulation --
constant ctrl_tf_store_c : natural := 25; -- store bit to t-flag
constant ctrl_tf_inv_c : natural := 26; -- invert bit to be store in t-flag
constant ctrl_get_par_c : natural := 27; -- get parity bit
constant ctrl_tf_store_c : natural := 27; -- store bit to t-flag
constant ctrl_tf_inv_c : natural := 28; -- invert bit to be store in t-flag
constant ctrl_get_par_c : natural := 29; -- get parity bit
 
-- Coprocessor Access --
constant ctrl_cp_acc_c : natural := 28; -- coprocessor operation
constant ctrl_cp_trans_c : natural := 29; -- coprocessor data transfer
constant ctrl_cp_wr_c : natural := 30; -- write to coprocessor
constant ctrl_cp_id_c : natural := 31; -- coprocessor id bit
constant ctrl_cp_acc_c : natural := 30; -- coprocessor operation
constant ctrl_cp_trans_c : natural := 31; -- coprocessor data transfer
constant ctrl_cp_wr_c : natural := 32; -- write to coprocessor
constant ctrl_cp_id_c : natural := 33; -- coprocessor id bit
 
-- System Register Access --
constant ctrl_msr_wr_c : natural := 32; -- write to mcr
constant ctrl_msr_rd_c : natural := 33; -- read from mcr
constant ctrl_pc_wr_c : natural := 34; -- write pc
constant ctrl_msr_wr_c : natural := 34; -- write to mcr
constant ctrl_msr_rd_c : natural := 35; -- read from mcr
constant ctrl_pc_wr_c : natural := 36; -- write pc
 
-- Branch/Context Control --
constant ctrl_cond_0_c : natural := 35; -- condition code bit 0
constant ctrl_cond_1_c : natural := 36; -- condition code bit 1
constant ctrl_cond_2_c : natural := 37; -- condition code bit 2
constant ctrl_cond_3_c : natural := 38; -- condition code bit 3
constant ctrl_branch_c : natural := 39; -- is branch operation
constant ctrl_link_c : natural := 40; -- store old pc to lr
constant ctrl_syscall_c : natural := 41; -- is a system call
constant ctrl_cmd_err_c : natural := 42; -- invalid/unauthorized operation
constant ctrl_ctx_down_c : natural := 43; -- go to user mode
constant ctrl_restsm_c : natural := 44; -- restore saved mode
constant ctrl_cond_0_c : natural := 37; -- condition code bit 0
constant ctrl_cond_1_c : natural := 38; -- condition code bit 1
constant ctrl_cond_2_c : natural := 39; -- condition code bit 2
constant ctrl_cond_3_c : natural := 40; -- condition code bit 3
constant ctrl_branch_c : natural := 41; -- is branch operation
constant ctrl_link_c : natural := 42; -- store old pc to lr
constant ctrl_syscall_c : natural := 43; -- is a system call
constant ctrl_cmd_err_c : natural := 44; -- invalid/unauthorized operation
constant ctrl_ctx_down_c : natural := 45; -- go to user mode
constant ctrl_restsm_c : natural := 46; -- restore saved mode
 
-- Memory Access --
constant ctrl_mem_acc_c : natural := 45; -- request d-mem access
constant ctrl_mem_wr_c : natural := 46; -- write to d-mem
constant ctrl_mem_bpba_c : natural := 47; -- use bypassed base address
constant ctrl_mem_daa_c : natural := 48; -- use delayed address
constant ctrl_mem_acc_c : natural := 47; -- request d-mem access
constant ctrl_mem_wr_c : natural := 48; -- write to d-mem
constant ctrl_mem_bpba_c : natural := 49; -- use bypassed base address
constant ctrl_mem_daa_c : natural := 50; -- use delayed address
 
-- Multiply-and-Acuumulate Unit --
constant ctrl_use_mac_c : natural := 49; -- use MAC unit
constant ctrl_load_mac_c : natural := 50; -- load addition buffer for MAC
constant ctrl_use_offs_c : natural := 51; -- use loaded offset
constant ctrl_use_mac_c : natural := 51; -- use MAC unit
constant ctrl_load_mac_c : natural := 52; -- load addition buffer for MAC
constant ctrl_use_offs_c : natural := 53; -- use loaded offset
 
-- Sleep command --
constant ctrl_sleep_c : natural := 52; -- go to sleep
constant ctrl_sleep_c : natural := 54; -- go to sleep
 
-- Conditional write back --
constant ctrl_cond_wb_c : natural := 53; -- is cond write back?
constant ctrl_cond_wb_c : natural := 55; -- is cond write back?
 
-- -- EX Forwarding --
-- constant ctrl_a_ex_ma_fw_c : natural := 54; -- obsolete
-- constant ctrl_a_ex_wb_fw_c : natural := 55; -- obsolete
-- constant ctrl_b_ex_ma_fw_c : natural := 56; -- obsolete
-- constant ctrl_b_ex_wb_fw_c : natural := 57; -- obsolete
-- constant ctrl_c_ex_wb_fw_c : natural := 58; -- obsolete
-- constant ctrl_a_ex_ma_fw_c : natural := 56; -- obsolete
-- constant ctrl_a_ex_wb_fw_c : natural := 57; -- obsolete
-- constant ctrl_b_ex_ma_fw_c : natural := 58; -- obsolete
-- constant ctrl_b_ex_wb_fw_c : natural := 59; -- obsolete
-- constant ctrl_c_ex_wb_fw_c : natural := 60; -- obsolete
 
-- Bus Size --
constant ctrl_width_c : natural := 54; -- control bus size
-- constant ctrl_width_c : natural := 59; -- obsolete
constant ctrl_width_c : natural := 56; -- control bus size
-- constant ctrl_width_c : natural := 61; -- obsolete
 
-- Progress Redefinitions --
constant ctrl_wb_en_c : natural := ctrl_rd_wb_c; -- valid write back
213,8 → 215,6
constant ctrl_re_xint_c : natural := ctrl_rb_1_c; -- re-enable ext interrupts (global)
constant ctrl_msr_am_0_c : natural := ctrl_ra_1_c; -- MSR access mode bit 0
constant ctrl_msr_am_1_c : natural := ctrl_ra_2_c; -- MSR access mode bit 1
constant ctrl_alu_cf_opt_c : natural := ctrl_rd_2_c; -- option for carry in (normal/invert)
constant ctrl_alu_zf_opt_c : natural := ctrl_rd_1_c; -- option for zero in (AND/OR)
 
 
-- Coprocessor Control Bus ----------------------------------------------------------------
/trunk/rtl/OP_DEC.vhd
3,7 → 3,7
-- # **************************************************** #
-- # OpCode decoding unit. #
-- # **************************************************** #
-- # Last modified: 23.03.2014 #
-- # Last modified: 19.04.2014 #
-- # **************************************************** #
-- # by Stephan Nolting 4788, Hanover, Germany #
-- ########################################################
145,21 → 145,23
 
when fs_cpx_c => -- extended compare with flags // store to msr if s = 0
CTRL_O(ctrl_alu_fs_2_c downto ctrl_alu_fs_0_c) <= alu_sbc_c; -- compare by subtraction with flags
CTRL_O(ctrl_alu_usec_c) <= '1'; -- use carry input
CTRL_O(ctrl_alu_usez_c) <= '1'; -- use zero input
CTRL_O(ctrl_rd_wb_c) <= '0'; -- disable write back
CTRL_O(ctrl_msr_am_1_c) <= INSTR_INT(6); -- only for MSR immediate write access
CTRL_O(ctrl_msr_am_0_c) <= INSTR_INT(5); -- only for MSR immediate write access
IMM_O(msr_sys_z_flag_c) <= INSTR_INT(0); -- only for MSR immediate write access
IMM_O(msr_usr_z_flag_c) <= INSTR_INT(0); -- only for MSR immediate write access
IMM_O(msr_sys_c_flag_c) <= INSTR_INT(1); -- only for MSR immediate write access
IMM_O(msr_usr_c_flag_c) <= INSTR_INT(1); -- only for MSR immediate write access
IMM_O(msr_sys_o_flag_c) <= INSTR_INT(2); -- only for MSR immediate write access
IMM_O(msr_usr_o_flag_c) <= INSTR_INT(2); -- only for MSR immediate write access
IMM_O(msr_sys_n_flag_c) <= INSTR_INT(7); -- only for MSR immediate write access
IMM_O(msr_usr_n_flag_c) <= INSTR_INT(7); -- only for MSR immediate write access
IMM_O(msr_sys_t_flag_c) <= INSTR_INT(8); -- only for MSR immediate write access
IMM_O(msr_usr_t_flag_c) <= INSTR_INT(8); -- only for MSR immediate write access
CTRL_O(ctrl_alu_usec_c) <= '1'; -- use carry input
CTRL_O(ctrl_alu_usez_c) <= '1'; -- use zero input
CTRL_O(ctrl_rd_wb_c) <= '0'; -- disable write back
CTRL_O(ctrl_msr_am_1_c) <= INSTR_INT(6); -- only for MSR immediate write access
CTRL_O(ctrl_msr_am_0_c) <= INSTR_INT(5); -- only for MSR immediate write access
CTRL_O(ctrl_alu_cf_opt_c) <= INSTR_INT(9); -- invert carry flag option?
CTRL_O(ctrl_alu_zf_opt_c) <= INSTR_INT(8); -- use old zero flag option?
IMM_O(msr_sys_z_flag_c) <= INSTR_INT(0); -- only for MSR immediate write access
IMM_O(msr_usr_z_flag_c) <= INSTR_INT(0); -- only for MSR immediate write access
IMM_O(msr_sys_c_flag_c) <= INSTR_INT(1); -- only for MSR immediate write access
IMM_O(msr_usr_c_flag_c) <= INSTR_INT(1); -- only for MSR immediate write access
IMM_O(msr_sys_o_flag_c) <= INSTR_INT(2); -- only for MSR immediate write access
IMM_O(msr_usr_o_flag_c) <= INSTR_INT(2); -- only for MSR immediate write access
IMM_O(msr_sys_n_flag_c) <= INSTR_INT(7); -- only for MSR immediate write access
IMM_O(msr_usr_n_flag_c) <= INSTR_INT(7); -- only for MSR immediate write access
IMM_O(msr_sys_t_flag_c) <= INSTR_INT(8); -- only for MSR immediate write access
IMM_O(msr_usr_t_flag_c) <= INSTR_INT(8); -- only for MSR immediate write access
if (INSTR_INT(3) = '0') then -- store to MSR
if ((M_FLAG_I = user_mode_c) and (INSTR_INT(6 downto 5) /= "11")) then
CTRL_O(ctrl_cmd_err_c) <= '1'; -- access violation -> cmd_err trap
/trunk/doc/Atlas 2k Processor Documentary.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/software/bootloader/atlas2k_bootloader.asm
2,7 → 2,7
; *****************************************************************************************************************
; ATLAS 2K Bootloader
;
; Bootloader MEM (ROM) pages starting at 0x8000
; Bootloader (ROM) pages starting at 0x8000
;
; Options:
; -> load image via UART
10,6 → 10,7
; -> load image from SPI EEPROM
; -> burn image to SPI EEPROM
; -> make hex dump from memory (any page)
; -> make hex word dump from Wishbone address
;
; Boot configuration via CP1.COM_0_CORE.SYS_IN (bits 1 downto 0):
; -> "00": Launch console
34,7 → 35,7
; usr_r4: Image name (4,5)
; usr_r5: Image name (6,7)
; usr_r6: Image name (8,9)
; usr_r7: GP global variable
; usr_r7: GP variable
; LFSR_poly: Checksum computation
; *****************************************************************************************************************
; *****************************************************************************************************************
64,13 → 65,12
; Configuration constans
; -----------------------------------------------------------------------------
.equ uart_baud_c #2400 ; com0_core.UART default baud rate
; keep the baud rate low - eeprom programming is done without buffering!
; keep the baud rate low - EEPROM programming is done without buffering!
 
 
; *****************************************************************************************************************
; Exception Vector Table
; *****************************************************************************************************************
 
reset_vec: b reset
x_int0_vec: b boot_irq_error ; fatal error
x_int1_vec: b boot_irq_error ; fatal error
83,9 → 83,9
; *****************************************************************************************************************
boot_irq_error:
; restore bootloader page
ldil r0, #0x00 ; = 0x8000
ldil r0, #0x00
ldih r0, #0x80
mcr #1, sys1_core, r0, #2 ; set d-page
mcr #1, sys1_core, r0, #2 ; set d-page = 0x8000
 
; set alarm lights
ldih r0, #0b10011001
121,8 → 121,7
 
; setup Wishbone bus controller
mcr #1, com1_core, r0, #0 ; set WB ctrl reg (burst size = 1, all options disabled)
ldil r0, #0x02 ; offset = 1 word
mcr #1, com1_core, r0, #3 ; set WB address offset reg
mcr #1, com1_core, r0, #3 ; clear WB address offset reg
ldil r0, #100 ; timeout = 100 cycles
mcr #1, com1_core, r0, #5 ; set WB timeout reg
 
588,6 → 587,8
; start the image
start_image_no_text:
bl uart_linebreak
bl uart_linebreak
bl uart_linebreak
 
; re-init MSR
ldil r1, #0x00
1283,6 → 1284,7
bl receive_hex_word
mov r5, r4
bl user_wait ; wait for user
bl uart_linebreak
 
; download word from wishbone net
wb_dump_loop:
1290,13 → 1292,21
beq wb_dump_end
dec r5, r5, #1
 
; print address (32 bit)
bl uart_linebreak
bl wb_read_word
ldil r1, #'$'
bl uart_sendbyte
mrc #1, r4, com1_core, #2 ; get hi address
bl print_hex_string
mrc #1, r4, com1_core, #1 ; get lo address
bl print_hex_string
ldil r1, #58 ;':'
bl uart_sendbyte
 
; print hex data word
ldil r2, low[string_wbhexpre]
ldih r2, high[string_wbhexpre]
bl uart_print
ldil r1, #32
bl uart_sendbyte
bl wb_read_word
mov r4, r6 ; data from wishbone
bl print_hex_string
 
1333,10 → 1343,11
gt r5
 
 
 
; *****************************************************************************************************************
; ROM: Text strings
; *****************************************************************************************************************
string_intro0: .stringz "\n\nAtlas-2K Bootloader - V20140417\nby Stephan Nolting, stnolting@gmail.com\nwww.opencores.org/project,atlas_core\n"
string_intro0: .stringz "\n\nAtlas-2K Bootloader - V20140419\nby Stephan Nolting, stnolting@gmail.com\nwww.opencores.org/project,atlas_core\n"
string_intro3: .stringz "\nBoot page: 0x"
string_intro4: .stringz "\nClock(Hz): 0x"
 
1349,7 → 1360,6
string_ewbadr: .stringz "Addr (8h): $"
string_ewbnum: .stringz "#words (4h): $"
string_checksum: .stringz "Checksum: $"
string_wbhexpre: .stringz " -> $"
 
 
string_menu0: .stringz "\ncmd/boot-switch:\n 0/'00': Restart console\n 1/'01': Boot UART\n 2/'10': Boot EEPROM\n 3/'11': Boot memory\n"
/trunk/software/examples/blink_demo/boot_init.vhd
0,0 → 1,32
000000 => x"cafe",
000001 => x"0017",
000002 => x"aa75",
000003 => x"424c",
000004 => x"494e",
000005 => x"4b5f",
000006 => x"4445",
000007 => x"4d4f",
000008 => x"bc05",
000009 => x"bc00",
000010 => x"bc00",
000011 => x"bc00",
000012 => x"bc00",
000013 => x"2800",
000014 => x"ed0f",
000015 => x"c2b2",
000016 => x"be09",
000017 => x"ed0f",
000018 => x"3c00",
000019 => x"0001",
000020 => x"c08f",
000021 => x"2001",
000022 => x"3c00",
000023 => x"ed0f",
000024 => x"bdf7",
000025 => x"c77f",
000026 => x"0769",
000027 => x"85ff",
000028 => x"06d9",
000029 => x"85fc",
000030 => x"3470",
others => x"0000"
/trunk/software/examples/random_numbers/boot_init.vhd
0,0 → 1,199
000000 => x"cafe",
000001 => x"00be",
000002 => x"7740",
000003 => x"5241",
000004 => x"4e44",
000005 => x"4f4d",
000006 => x"5f4e",
000007 => x"554d",
000008 => x"bc0b",
000009 => x"bc04",
000010 => x"bc03",
000011 => x"bc02",
000012 => x"bc01",
000013 => x"be31",
000014 => x"c110",
000015 => x"c901",
000016 => x"be21",
000017 => x"be2d",
000018 => x"bc00",
000019 => x"c12c",
000020 => x"c901",
000021 => x"be19",
000022 => x"c144",
000023 => x"c901",
000024 => x"be19",
000025 => x"be34",
000026 => x"ec4d",
000027 => x"be23",
000028 => x"c160",
000029 => x"c901",
000030 => x"be13",
000031 => x"be2e",
000032 => x"d24f",
000033 => x"ec4e",
000034 => x"be1c",
000035 => x"c0b0",
000036 => x"be1f",
000037 => x"c0f8",
000038 => x"be1d",
000039 => x"ee05",
000040 => x"be4a",
000041 => x"be15",
000042 => x"ec20",
000043 => x"dc0f",
000044 => x"b9ea",
000045 => x"bdf6",
000046 => x"c5ff",
000047 => x"0270",
000048 => x"bc03",
000049 => x"29b3",
000050 => x"0270",
000051 => x"7829",
000052 => x"c080",
000053 => x"ccff",
000054 => x"2081",
000055 => x"3c98",
000056 => x"8003",
000057 => x"be0a",
000058 => x"bdf9",
000059 => x"03c0",
000060 => x"343b",
000061 => x"f707",
000062 => x"0170",
000063 => x"c08d",
000064 => x"be03",
000065 => x"c08a",
000066 => x"03a0",
000067 => x"ec22",
000068 => x"dc05",
000069 => x"b9fe",
000070 => x"ed18",
000071 => x"3470",
000072 => x"ec20",
000073 => x"dc8f",
000074 => x"b9fe",
000075 => x"c800",
000076 => x"3470",
000077 => x"0170",
000078 => x"c200",
000079 => x"c184",
000080 => x"bff8",
000081 => x"c0c6",
000082 => x"1809",
000083 => x"9003",
000084 => x"c0a0",
000085 => x"1001",
000086 => x"c0b0",
000087 => x"1809",
000088 => x"91f8",
000089 => x"c0c6",
000090 => x"1818",
000091 => x"91f5",
000092 => x"c0b9",
000093 => x"1818",
000094 => x"a404",
000095 => x"c0c1",
000096 => x"1809",
000097 => x"a1ef",
000098 => x"0080",
000099 => x"bfe0",
000100 => x"c030",
000101 => x"1090",
000102 => x"c009",
000103 => x"1809",
000104 => x"a402",
000105 => x"0497",
000106 => x"3e42",
000107 => x"3e42",
000108 => x"3e42",
000109 => x"3e42",
000110 => x"2641",
000111 => x"05b9",
000112 => x"85e0",
000113 => x"3420",
000114 => x"0370",
000115 => x"3d42",
000116 => x"3d22",
000117 => x"3d22",
000118 => x"3d22",
000119 => x"be0f",
000120 => x"bfcb",
000121 => x"3d40",
000122 => x"be0c",
000123 => x"bfc8",
000124 => x"3d45",
000125 => x"3d25",
000126 => x"3d25",
000127 => x"3d25",
000128 => x"be06",
000129 => x"bfc2",
000130 => x"0140",
000131 => x"be03",
000132 => x"bfbf",
000133 => x"3460",
000134 => x"c08f",
000135 => x"2121",
000136 => x"c089",
000137 => x"181a",
000138 => x"8803",
000139 => x"c0b0",
000140 => x"bc02",
000141 => x"c0b7",
000142 => x"0892",
000143 => x"3470",
000144 => x"4578",
000145 => x"6365",
000146 => x"7074",
000147 => x"696f",
000148 => x"6e2f",
000149 => x"696e",
000150 => x"7465",
000151 => x"7272",
000152 => x"7570",
000153 => x"7420",
000154 => x"6572",
000155 => x"726f",
000156 => x"7221",
000157 => x"0000",
000158 => x"5261",
000159 => x"6e64",
000160 => x"6f6d",
000161 => x"204e",
000162 => x"756d",
000163 => x"6265",
000164 => x"7220",
000165 => x"4765",
000166 => x"6e65",
000167 => x"7261",
000168 => x"746f",
000169 => x"7200",
000170 => x"456e",
000171 => x"7465",
000172 => x"7220",
000173 => x"4c46",
000174 => x"5352",
000175 => x"2073",
000176 => x"6565",
000177 => x"6420",
000178 => x"2834",
000179 => x"6865",
000180 => x"7829",
000181 => x"3a20",
000182 => x"3078",
000183 => x"0000",
000184 => x"456e",
000185 => x"7465",
000186 => x"7220",
000187 => x"4c46",
000188 => x"5352",
000189 => x"2074",
000190 => x"6170",
000191 => x"7320",
000192 => x"2834",
000193 => x"6865",
000194 => x"7829",
000195 => x"3a20",
000196 => x"3078",
000197 => x"0000",
others => x"0000"
/trunk/software/examples/random_numbers/out.bin Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.