OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /avuc/trunk
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/avuc_pkg.vhd
0,0 → 1,15
---------------------------------------------------------------------------------------
-- Copyright 2008 by Fernando Blanco <ferblanco@anagramix.com>
-- Description: Package for AVUC
---------------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
 
package avuc_pkg is
 
-- Possible states for avuc:
constant AVUC_STATE_RUNNING : std_logic := '0';
constant AVUC_STATE_STOPPED : std_logic := '1';
 
end package avuc_pkg;
avuc_pkg.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.