OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /bustap-jtag
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/trunk/rtl/up_monitor.v
1,27 → 1,36
//**************************************************************
// Module : up_monitor.v
// Platform : Windows xp sp2
// Platform : Windows xp sp2, Ubuntu 10.04
// Simulator : Modelsim 6.5b
// Synthesizer : QuartusII 10.1 sp1
// Place and Route : QuartusII 10.1 sp1
// Targets device : Cyclone III
// Synthesizer : QuartusII 10.1 sp1, PlanAhead 14.2
// Place and Route : QuartusII 10.1 sp1, PlanAhead 14.2
// Targets device : Cyclone III, Zynq-7000
// Author : Bibo Yang (ash_riple@hotmail.com)
// Organization : www.opencores.org
// Revision : 2.2
// Date : 2012/03/28
// Description : Top level glue logic to group together
// Revision : 2.3
// Date : 2012/11/19
// Description : Top level: transaction record generation
// and glue logic to group together
// the JTAG input and output modules.
//**************************************************************
 
`timescale 1ns/1ns
`include "vendor.h"
 
module up_monitor (
input clk,
input wr_en,rd_en,
input [15:2] addr_in,
input [31:0] data_in
`ifdef XILINX `ifdef AXI_IP
icontrol0, icontrol1, icontrol2,
`endif `endif
clk,
wr_en,rd_en,
addr_in,
data_in
);
 
input clk;
input wr_en,rd_en;
input [15:2] addr_in;
input [31:0] data_in;
/////////////////////////////////////////////////
// Registers and wires announcment
/////////////////////////////////////////////////
166,7 → 175,7
/////////////////////////////////////////////////
// Instantiate vendor specific JTAG functions
/////////////////////////////////////////////////
 
`ifdef ALTERA
// index 0, instantiate capture fifo, as output
virtual_jtag_adda_fifo u_virtual_jtag_adda_fifo (
.clk(clk),
215,5 → 224,81
defparam
u_virtual_jtag_adda_trig.trig_width = 56,
u_virtual_jtag_adda_trig.pnum_width = 10;
`endif
 
`ifdef XILINX
 
`ifdef AXI_IP
// external ICON
inout [35:0] icontrol0, icontrol1, icontrol2;
`else
// internal ICON
wire [35:0] icontrol0, icontrol1, icontrol2;
`endif
 
// index 0, instantiate capture fifo, as output
chipscope_vio_adda_fifo u_chipscope_vio_adda_fifo (
.wr_in(capture_wr || pretrig_wr),
.data_in(capture_in),
.rd_in(pretrig_rd),
.clk(clk),
.icon_ctrl(icontrol0)
);
defparam
u_chipscope_vio_adda_fifo.data_width = 82,
u_chipscope_vio_adda_fifo.addr_width = 10,
u_chipscope_vio_adda_fifo.al_full_val = 511;
 
// index 1, instantiate capture mask, as input
chipscope_vio_addr_mask u_chipscope_vio_addr_mask (
// inclusive
.mask_out0(addr_mask0),
.mask_out1(addr_mask1),
.mask_out2(addr_mask2),
.mask_out3(addr_mask3),
.mask_out4(addr_mask4),
.mask_out5(addr_mask5),
.mask_out6(addr_mask6),
.mask_out7(addr_mask7),
// exclusive
.mask_out8(addr_mask8),
.mask_out9(addr_mask9),
.mask_out10(addr_mask10),
.mask_out11(addr_mask11),
.mask_out12(addr_mask12),
.mask_out13(addr_mask13),
.mask_out14(addr_mask14),
.mask_out15(addr_mask15),
.clk(clk),
.icon_ctrl(icontrol1)
);
defparam
u_chipscope_vio_addr_mask.mask_index = 4,
u_chipscope_vio_addr_mask.mask_enabl = 4,
u_chipscope_vio_addr_mask.addr_width = 32;
 
// index 2, instantiate capture trigger, as input
chipscope_vio_adda_trig u_chipscope_vio_adda_trig (
.trig_out(trig_cond),
.pnum_out(pretrig_num),
.clk(clk),
.icon_ctrl(icontrol2)
);
defparam
u_chipscope_vio_adda_trig.trig_width = 56,
u_chipscope_vio_adda_trig.pnum_width = 10;
 
`ifdef AXI_IP
// external ICON
`else
// internal ICON
chipscope_icon u_chipscope_icon (
.CONTROL0(icontrol0),
.CONTROL1(icontrol1),
.CONTROL2(icontrol2)
);
`endif
 
`endif
 
endmodule
/trunk/rtl/xilinx/coregen/scfifo.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$75244<,[o}e~g`n;"2*73>(-80!<m4/+])[WGIOL*!=6>:;12345?<89:;<=>?0528456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012355=78:K8<>O?022046633932<<5>12924?OIX\^1MIJ]A=;94;7438:1EC^ZT;CG@WD;13:5=<5>0;KMTPR=_LK757>110924?OIX\^1[HL39;2=5==683E^X][[:SFB@ATBKJ626=0>9:33>JSSX\^1hlzn_bmvjq:>294:56?<:NWWTPR=ZMKOH_KLC=31>586i2;?6D@_UU8B@ATFZMKOH_2>3;2=5d=6<3CE\XZ5AEFQFRTFLMX7=>4?>0`850<NFY__6LJKR@PGEABU]5;?6=0>b:36>LHW]]0JHI\MWSCG@WS;9=0;2<o4178JJUSS2HNO^L\KAEFQ843=87;i7<85IORVP?GCL[KYHLJKRM>21?699h1::7GAPTV9EABUJ^XJHI\31483:4d<9?0BB][[:@FGVGQUIMNY@1?::1<20>712@D[YY4KI@FJ843=87;?7<85IORVP?BNJMC7=84?>07853<H]]Z^X7J@AEM?50<768?0=;4@UURVP?BHJME7=84?>0185?OIX\^1^HILE@>3>586;2;1EC^ZT;PFCFCE490;2<?41;KMTPR=ZZ^J0=4?>0385?OIX\^1^^ZM<183:4=5<289H??6;38JJUSS2HNO^LZFEIKDL97=87;27?4FNQWW>DBCZK^BIEGHH=394;733;0BB][[:EKSEAOW480;2<:42;KMTPR=L@ZIHD^31;2=50=52F__\XZ5DNRB@JV;93:5=85=:NWWTPR=LFZIHB^31;2=51=4:3CE\XZ5DHCGM956294:86==:HLSQQ<CAKNB0>?50?36?64=G\^[YY4KO@FL867=87;>7><5OTVSQQ<CGKND0>?50?087<733=0BB][[:EKSEAOW4:0;2<:44;KMTPR=L@ZIHD^33;2=55=32@D[YY4XE@>0>58692>1EC^ZT;UFEI:4294:=6:5IORVP?QBI\686=0>5:69KPRW]]0OC]OKOQ>0>586=2>1CXZ_UU8GKUDCGY686=0>;7082D2<>HKJ468NA@CBED773?KJMLONA@CBEDGFI8:0;KHIFGDEBC@ANOLM;69\ESKFWa=0r98;oai;01/156=?:3CE\XZ5DHLB8=7=87;875<5IORVP?BNFK63=7>1139;6?OIX\^1hd`38083:43<0;0DYY^ZT;FLQQG;080;2<;4838LQQVR\3NDYYL38083:42<0;0DYY^ZT;flqq:?93:5=?57:HLSQQ<PMK]N0:4?>018<?OIX\^1[HLXEM>4>586;221EC^ZT;UFFRCR4>0;2<948;MVPUSS2LIH\L\FTX>4>58630>05=>62:;B0>?FIH205LONA@CB6>G1<2KJ:L64A@CB2DGF991JMLONA@C5EDGFIHK37LJKR@>3:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:d=FLMXJ044?>99B@ATF404n7LJKR@PGEABU494m7LJKR@PGEABU48:5j6OKDSCQ@DBCZ5;:2k5NDEPBVAGCL[6:>3?>;@FGVDTCIMNY0<=50?d8EABUI[NJHI\312<e?DBCZHXOMIJ]<06=54=FLMXJ^IOKDS>21?69n2KOH_O]D@FGV9726l1JHI\NRECG@W:66l1JHI\NRECG@W:56l1JHI\NRECG@W:46l1JHI\NRECG@W:36l1JHI\NRECG@W:26l1JHI\NRECG@W:16l1JHI\NRECG@W:06l1JHI\NRECG@W:?6l1JHI\NRECG@W:>6o1JHI\NRECG@WJ;87;;7LJKR@PGEABUD5;;2<>4AEFQEWBFLMXG0<?1119B@ATFZMKOH_B313<24>GCL[KYHLJKRM>27;773HNO^L\KAEFQH9736880MIJ]ASFB@ATK48?1<3??;@FGVDTCIMNY@1?:>g9B@ATFZMKOH_B31?d8EABUI[NJHI\C<3<e?DBCZHXOMIJ]L=1=b>GCL[KYHLJKRM>7:c=FLMXJ^IOKDSN?1;`<IMNYM_JNDEPO838a3HNO^L\KAEFQH919n2KOH_O]D@FGVI:?6o1JHI\NRECG@WJ;17l0MIJ]ASFB@ATR494:<6OKDSCQ@DBCZ\6:<3??;@FGVDTCIMNYY1?>>028EABUI[NJHI\Z<00=55=FLMXJ^IOKDSW?5686:2KOH_O]D@FGVP:6<3:5==5NDEPBVAGCL[_7=90i;@FGVDTCIMNYY1?1f:CG@WGULHNO^X2=>g9B@ATFZMKOH_[33?d8EABUI[NJHI\Z<5<e?DBCZHXOMIJ]U=7=b>GCL[KYHLJKRT>5:c=FLMXJ^IOKDSW?3;`<IMNYM_JNDEPV8=8a3HNO^L\KAEFQQ9?9n2KOH_O[IDJJCM:768;0MIJ]AUKFLLAO480;2k5NDEPBPLCOANB7=364AEFQF96902KOH_L31?:8EABUJ58546OKDS@?7;><IMNYN1:18:CG@WD;=720MIJ]B=4=<>GCL[H7;364AEFQF9>9i2KOH_L39;2=<>GCL[H753h4AEFQFQOB@@MC0=0>1:CG@WDSALBBKE2>:1<e?DBCZK^BIEGHH=3=a>GCL[H\^LJKR=2=b>GCL[H\^LJKR=33:c=FLMXI[_OKDS>25;`<IMNYNZ\NDEP?578692KOH_LXR@FGV974294m7LJKRCUQEABU4895j6OKDS@TVDBCZ5;?2<?4AEFQFRTFLMX7=84?>g9B@ATE_[KOH_2>5?g8EABUJ^XJHI\31?g8EABUJ^XJHI\32?g8EABUJ^XJHI\33?g8EABUJ^XJHI\34?g8EABUJ^XJHI\35?g8EABUJ^XJHI\36?g8EABUJ^XJHI\37?g8EABUJ^XJHI\38?g8EABUJ^XJHI\39?d8EABUJ^XJHI\C<1<24>GCL[H\^LJKRM>24;773HNO^OY]AEFQH97668:0MIJ]BVPB@ATK4885==5NDEPASWGCL[F7=>0>0:CG@WDPZHNO^A2>4?31?DBCZK]YMIJ]L=36>58682KOH_LXR@FGVI:6=7l0MIJ]BVPB@ATK484m7LJKRCUQEABUD585j6OKDS@TVDBCZE682k5NDEPASWGCL[F783h4AEFQFRTFLMXG080i;@FGVGQUIMNY@181f:CG@WDPZHNO^A28>g9B@ATE_[KOH_B38?d8EABUJ^XJHI\C<8<e?DBCZK]YMIJ]U=2=55=FLMXI[_OKDSW?558682KOH_LXR@FGVP:697;;7LJKRCUQEABU]5;92<>4AEFQFRTFLMX^0<=1139B@ATE_[KOH_[31583:46<IMNYNZ\NDEPV8429n2KOH_LXR@FGVP:66o1JHI\MWSCG@WS;:7l0MIJ]BVPB@ATR4:4m7LJKRCUQEABU]5>5j6OKDS@TVDBCZ\6>2k5NDEPASWGCL[_7:3h4AEFQFRTFLMX^0:0i;@FGVGQUIMNYY161f:CG@WDPZHNO^X26>29BHI?<IZSEOR\JG048FHKXAGLDSLJKRDQP[WGIOL20NX]PIODL5>E53J<j7NO\C@FFMKGf3JKXOLJJIO@a?FGTKHNNCXZNb:ABWFGCMF__N?5LE008GMCDCVFBOH@\E^VZT@4<K@>0OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7<2IGGL;4CMIB52=DDBK:H?94CMIB5A203JF@M<J79:AOODTCKEAj7NBDASF@HNJf3JF@M_JLLJW7?FJLJ<1H@FL>9:AOOGQUKEAj7NBDBVP@HNJf3JF@NZ\LLJW6?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=643JFY56M@MLKWP@B6<2ID^HQHEOGQEQOHFVCEJB94CSGBP@B63M=0HOG[ESPg?ACKIPOOSZ\FTD08@L><L@KOE1>19:FJEAO;99427IGNDH>25;?<L@KOE1?=>89GMDBN489556JFAEK?518e3MCJHD2>5;2==>BNIMC7=806;EKB@L:6>730HDOKI=34:<=CAHNB0<619:FJEAO;90437IGNDH>2:<=CAHNB0?>19:FJEAO;:8427IGNDH>16;?<L@KOE1<<>89GMDBN4;>556JFAEK?608>3MCJHD2=6?;8@LGCA58<245KI@FJ87>912NBMIG328<;?AOFL@69245KI@FJ8669j2NBMIG33083:<=CAHNB0>?18:FJEAO;;720HDOKI=6=<>BNIMC79364DHCGM90902NBMIG37?:8@LGCA52546JFAEK?=;><L@HOE1>19:FJFAO;99427IGMDH>25;?<L@HOE1?=>89GMGBN489556JFBEK?518e3MCIHD2>5;2==>BNJMC7=806;EKA@L:6>730HDLKI=34:<=CAKNB0<619:FJFAO;90437IGMDH>2:<=CAKNB0?>19:FJFAO;:8427IGMDH>16;?<L@HOE1<<>89GMGBN4;>556JFBEK?608>3MCIHD2=6?;8@LDCA58<245KICFJ87>912NBNIG328<;?AOEL@69245KICFJ8669j2NBNIG33083:<=CAKNB0>?18:FJFAO;;720HDLKI=6=<>BNJMC79364DH@GM90902NBNIG37?:8@LDCA52546JFBEK?=;1<L@DJ0=07;EKME977611OECO310<;?AOII5;9255KIOC?568?3MCEM1?;>99GMKG;9<437IGAA=35:==CAGK7=:07;EKME97?611OECO318<4?AOII5;546JFN@>14;><L@DJ0??18:FJJD:5:720HD@N<31=<>BNFH698364DHLB873902NBBL2=6?:8@LHF4;=546JFN@>1<;><L@DJ0?717:FJJD:5611OECO331<;?AOII59:255KIOC?778?3MCEM1=<>99GMKG;;=437IGAA=16:==CAGK7?;07;EKME950611OECO339<;?AOII5922:5KIOC?7;><L@DJ09>18:FJJD:39720HD@N<50=<>BNFH6??364DHLB812902NBBL2;5?:8@LHF4=<546JFN@>73;><L@DJ09618:FJJD:317=0HD@N<5<;?AOII5?;255KIOC?148?3MCEM1;=>99GMKG;=:437IGAA=77:==CAGK79807;EKME931611OECO356<;?AOII5?3255KIOC?1<803MCEM1;18:FJJD:18720HD@N<73=<>BNFH6=>364DHLB835902NBBL294?:8@LHF4??546JFN@>52;><L@DJ0;918:FJJD:10720HD@N<7;=3>BNFH6=255KIOC?358?3MCEM19>>99GMKG;?;437IGAA=50:==CAGK7;907;EKME912611OECO377<;?AOII5=<255KIOC?3=8?3MCEM196>69GMKG;?720HD@N<92=e>BNFH63=7>18:FJJD:?97=0HD@N<9<4?AOII535;6JFNC>3:==CAGH7==07;EKMF976611OECL313<;?AOIJ5;8255KIO@?518?3MCEN1?:>99GMKD;9?437IGAB=34:==CAGH7=507;EKMF97>6>1OECL31?:8@LHE4;:546JFNC>15;><L@DI0?<18:FJJG:5;720HD@M<36=<>BNFK699364DHLA870902NBBO2=7?:8@LHE4;2546JFNC>1=;1<L@DI0?07;EKMF957611OECL330<;?AOIJ599255KIO@?768?3MCEN1=;>99GMKD;;<437IGAB=15:==CAGH7?:07;EKMF95?611OECL338<4?AOIJ59546JFNC>74;><L@DI09?18:FJJG:3:720HD@M<51=<>BNFK6?8364DHLA813902NBBO2;6?:8@LHE4==546JFNC>7<;><L@DI09717:FJJG:3611OECL351<;?AOIJ5?:255KIO@?178?3MCEN1;<>99GMKD;==437IGAB=76:==CAGH79;07;EKMF930611OECL359<;?AOIJ5?22:5KIO@?1;><L@DI0;>18:FJJG:19720HD@M<70=<>BNFK6=?364DHLA832902NBBO295?:8@LHE4?<546JFNC>53;><L@DI0;618:FJJG:117=0HD@M<7<;?AOIJ5=;255KIO@?348?3MCEN19=>99GMKD;?:437IGAB=57:==CAGH7;807;EKMF911611OECL376<;?AOIJ5=3255KIO@?3<803MCEN1918:FJJG:?87k0HD@M<9394;><L@DI05?17:FJJG:?6>1OECL39?c8@LVFL@Z7<3m4DHRB@LV;93:5m6JFP@FJT979i2NB\LJFP=0=g>BNXHNB\1=50?c8@LVFL@Z7?3o4DHRA@LV;87i0HD^MDHR?5?69i2NB\OJFP=3=e>BNXKNB\1<1c:FJTGBNX591<3o4DHRA@LV;;720HBOKO=2==>BHIME7==06;EMB@J:69730HBOKO=31:<=CGHND0<=19:FLEAI;9=4i7IANDN>21?6912NDMIA314<:?AIFLF6::374DNCGK970601OCLJ@<0:==>BHIME7=407;EMB@J:6601OCLJ@<32==>BHIME7><06;EMB@J:5:730HBOKO=00:<=CGHND0?:19:FLEAI;:<427IANDN>12;?<LFKOC1<8>89GKDBH4;2556J@AEM?6<8?3MEJHB2=>89GKDBH4::5n6J@AEM?74<7601OCLJ@<23=<>BHIME7?364DNCGK92902NDMIA35?:8@JGCG5<546J@AEM?3;><LFKOC1618:FLEAI;17=0HBOPRDE;?AIELF6;245KOCFL846912NDNIA310<:?AIELF6:>374DN@GK974601OCOJ@<06=f>BHJME7=84?>89GKGBH48?556J@BEM?538>3MEIHB2>7?;8@JDCG5;3245KOCFL84?902NDNIA31?;8@JDCG58;245KOCFL877912NDNIA323<:?AIELF69?374DN@GK943601OCOJ@<37==>BHJME7>;06;EMA@J:5?730HBLKO=0;:<=CGKND0?718:FLFAI;:730HBLKO=13:g=CGKND0>?50?;8@JDCG59:255KOCFL868?3MEIHB2;>99GKGBH4<437IAMDN>5:==CGKND0:07;EMA@J:?611OCOJ@<8<4?AIEW[OLm6J@P@FLT969k2ND\LJ@P=394;g<LFZJHB^31?c8@JVFLFZ7>3m4DNRB@JV;;3:5m6J@P@FLT959i2ND\OJ@P=2=g>BHXKND\1?50?c8@JVELFZ7=3o4DNRA@JV;:7i0HB^MDNR?7?69i2ND\OJ@P=1=<>BH]]K7<374DNWWE977601OCXZN<03==>BH]]K7=?06;EMVPD:6;730HB[[A=37:<=CG\^J0<;19:FLQQG;9?427IAZT@>23;?<LF__M1?7>89GKPRF483546J@UUC?5;?<LF__M1<?>89GKPRF4;;556J@UUC?678>3ME^XL2=3?;8@JSSI58?245KOTVB873912NDYYO327<:?AIR\H69;374DNWWE94?601OCXZN<3;=<>BH]]K7>374DNWWE957601OCXZN<23==>BH]]K7??06;EMVPD:4;730HB[[A=17:<=CG\^J0>;19:FLQQG;;?427IAZT@>03;?<LF__M1=7>89GKPRF4:3546J@UUC?7;?<LF__M1:?>89GKPRF4=;556J@UUC?078>3ME^XL2;3?;8@JSSI5>?245KOTVB813912NDYYO347<:?AIR\H6?;374DNWWE92?601OCXZN<5;=<>BH]]K78374DNWWE937601OCXZN<43==>BH]]K79?06;EMVPD:2;730HB[[A=77:<=CG\^J08;19:FLQQG;=?427IAZT@>63;?<LF__M1;7>89GKPRF4<3546J@UUC?1;?<LF__M18?>89GKPRF4?;556J@UUC?278>3ME^XL293?;8@JSSI5<?245KOTVB833912NDYYO367<:?AIR\H6=;374DNWWE90?601OCXZN<7;=<>BH]]K7:374DNWWE917601OCXZN<63==>BH]]K7;?06;EMVPD:0;730HB[[A=57:<=CG\^J0:;19:FLQQG;??427IAZT@>43;?<LF__M197>89GKPRF4>3546J@UUC?3;?<LF__M16?>c9GKPRF41;1<374DNWWE9>6611OCXZN<9<;?AIR\H62255KOTVA858>3ME^XO2>0?;8@JSSJ5;:245KOTVA844912NDYYL312<:?AIR\K6:8374DNWWF972601OCXZM<04==>BH]]H7=:06;EMVPG:60730HB[[B=3::==CG\^I0<06;EMVPG:58730HB[[B=02:<=CG\^I0?<19:FLQQD;::427IAZTC>10;?<LF__N1<:>89GKPRE4;<556J@UU@?628>3ME^XO2=8?;8@JSSJ582255KOTVA878>3ME^XO2<0?;8@JSSJ59:245KOTVA864912NDYYL332<:?AIR\K688374DNWWF952601OCXZM<24==>BH]]H7?:06;EMVPG:40730HB[[B=1::==CG\^I0>06;EMVPG:38730HB[[B=62:<=CG\^I09<19:FLQQD;<:427IAZTC>70;?<LF__N1::>89GKPRE4=<556J@UU@?028>3ME^XO2;8?;8@JSSJ5>2255KOTVA818>3ME^XO2:0?;8@JSSJ5?:245KOTVA804912NDYYL352<:?AIR\K6>8374DNWWF932601OCXZM<44==>BH]]H79:06;EMVPG:20730HB[[B=7::==CG\^I0806;EMVPG:18730HB[[B=42:<=CG\^I0;<19:FLQQD;>:427IAZTC>50;?<LF__N18:>89GKPRE4?<556J@UU@?228>3ME^XO298?;8@JSSJ5<2255KOTVA838>3ME^XO280?;8@JSSJ5=:245KOTVA824912NDYYL372<:?AIR\K6<8374DNWWF912601OCXZM<64==>BH]]H7;:06;EMVPG:00730HB[[B=5::==CG\^I0:06;EMVPG:?87h0HB[[B=:2>58>3ME^XO271?:8@JSSJ52546J@UU@?=;e<MJI[M_G[Y=2=g>CDKYKYEYW31?a8AFEWI[C_U1<1c:G@GUGUA]S7?3m4EBASEWOSQ5>5o6KLCQCQMQ_;=7i0INM_ASKW]909m2OHO]O]IU[?3?69k2OHO]O]IU[?3;5<MGK<7H@NREGM<>CII[NNBA64EOCQ@@HR;2OEN:5JNCUQAK><MGH\^H@C8:GMFRTBF\h0ICQJCB]QADBd3LDTINMPWSKWAd=BFVZ\^JO[E018AKYTLKC_I_\PIOKW[S0682L>O9HIC5D645@A89;;7K9IFGDEBC@ANOLMJ85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H?4G29DJA7<A;1B<?5F139J67=N;;1B8?5F599JJLRWW9:37D@FTQ]35==NF@^[S=<7;HLJPUY7;11BBDZ__16;?LHN\YU;955FNHVS[50?3@DBX]Q?799JJLRWW9237D@FTQ]3===NF@^[S=O7;HLJPUY7J11BBDZ__1A;?LHN\YU;H55FNHVS[5C?3@DBX]Q?F89JJLRT\H^N;6GAIU]342=NF@^T<<94IOKW[5403@DBXR><7:KMMQY7<>1BBDZP0458MKOSW9<<7D@FT^243>OIA]U;4:5FNHV\4<1<AGC_S=O8;HLJPZ6E?2CEEYQ?C69JJLRX8M=0ECG[_1G4?LHN\V:M;6GAIU]242=NF@^T=<94IOKW[4403@DBXR?<7:KMMQY6<>1BBDZP1458MKOSW8<<7D@FT^343>OIA]U:4:5FNHV\5<1<AGC_S<O8;HLJPZ7E?2CEEYQ>C69JJLRX9M=0ECG[_0G4?LHN\V;M;6GAIU]142=NF@^T><94IOKW[7403@DBXR<<7:KMMQY5<>1BBDZP2458MKOSW;<<7D@FT^043>OIA]U94:5FNHV\6<1<AGC_S?O8;HLJPZ4E?2CEEYQ=C69JJLRX:M=0ECG[_3G4?LHN\V8M;6GAIU]042=NF@^T?<94IOKW[6403@DBXR=<7:KMMQY4<>1BBDZP3458MKOSW:<<7D@FT^143>OIA]U84:5FNHV\7<1<AGC_S>O8;HLJPZ5E?2CEEYQ<C69JJLRX;M=0ECG[_2G4?LHN\V9M;6GAIU]742=NF@^T8<94IOKW[1403@DBXR:<7:KMMQY3<>1BBDZP4458MKOSW=<<7D@FT^643>OIA]U?4:5FNHV\0<1<AGC_S9O8;HLJPZ2E?2CEEYQ;C69JJLRX<M=0ECG[_5G4?LHN\V>M;6GAIU]642=NF@^T9<94IOKW[0403@DBXR;<7:KMMQY2<>1BBDZP5458MKOSW<<<7D@FT^743>OIA]U>4:5FNHV\1<1<AGC_S8O8;HLJPZ3E?2CEEYQ:C69JJLRX=M=0ECG[_4G4?LHN\V?M;6GAIU]542=NF@^T:<94IOKW[3403@DBXR8<7:KMMQY1<>1BBDZP6458MKOSW?<<7D@FT^443>OIA]U=4:5FNHV\2<1<AGC_S;O8;HLJPZ0E?2CEEYQ9C69JJLRX>M=0ECG[_7G4?LHN\V<M;6GAIU]442=NF@^T;<94IOKW[2403@DBXR9<7:KMMQY0<>1BBDZP7458MKOSW><<7D@FT^543>OIA]U<4:5FNHV\3<1<AGC_S:O8;HLJPZ1E?2CEEYQ8C69JJLRX?M=0ECG[_6G4?LHN\V=M:6GAIU]B2>OIA]UI56GAIU]EMICc3@DAINZKBHVFVWb<AG@NOY]MIUGQV6=NF_80@D84LNCGAA0<DFI@II94LNEJGDJ33E__>95CUU17?ISS<=1GYY;;;MWW20=J[NEE96CZXB[`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O21?K703GO_[B\D4:LLJ@7<G8=0C]ZFMHXF[UTNE@^BZH]PNFA2?U><X'83tiQC4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K6;QI\LISSFD90\_K9;QQGKKC63X20^LCM19G2<>TFEK9=I<h4R@O\A]RBFZCDBROi;SCN[@^SMGYBCCQM8:PBIZKHLLi0^IOKDSG@G969l2XOMIJ]EBA?558c3[NJHI\JCB>25;`<ZMKOH_KLC=31>58c3[NJHI\JCB>26;e<ZMKOH_KLC=3=g>TCIMNYINM32?a8VAGCL[OHO1=1c:PGEABUMJI783m4RECG@WCDK5?5o6\KAEFQAFE;>7i0^IOKDSG@G919k2XOMIJ]EBA?<;c<ZMKOH_KLC=;94;e<ZMKOH_KLC=;=5<=ULHNO^RM@LMKPMJHX@^IDBKGHa:PFEAYAA[Y_o6\JAE]TMAROWHi0^HOK_VKGPMYE=2XNKNKm;SGDG@G;83:556\JGBGB858e3[OLOHO]EFAFg>TBOJOJ^HILEMa8V@ADMHXNKNKZ6:PFCFCEj2XNKNKM<183:<=UMNINN1>17:PFCFCED>1YIJMJBTf8V@ADDBKYH_MCKd9QABEKCHXO^NBDLd9QABEKCHXO^NBDU69QABEKCK20^HILLJ@O<>TBOJF@NX74RRVB85<76>1Y_YO30?;8VVRE490;2:5]SU@?4;b<ZZ^YM@O]SUPBI`=U[]XJAL\\TSCNH`=U[]XJAL\\TSCNQ2=U[]XJAO64RRVQEHDK02XXX_OBBTf8VVRUMNKY_Y\JGd9QWQTBOHXXX_KHLd9QWQTBOHXXX_KHU69QWQTBOK20^^Z]EF@O<>TT\[OLNX?>;SQWV@AXX[CD^DZV_@32?WUSZLMT\_G@RHVZ[G?<Zly~`y2?>89Qavsk|5;556\jstnw878>3[oxyaz33?;8V`urd}6?245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?601Yi~{ct=;=5>U?3ZK]IIO[A69PFLRBZ[;:7^F]EF]F\QTFK]UEKNk4SIPFCZKNFVYBVH?<;RKN[FIKD@YBCCQLHDAHe>UNEVNNZDMJ8:QHMUYWAG<0_B[]CD58WWPFDVK<7^\YAM]A2>USI]_X?6ZKP59WVPC33]S[I;<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!Bxnp\gkruWldTtb2?>075?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,gkruWld=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw30?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:66?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1<1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8681<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7838;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>6:32<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYdq5<5:95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/LalqkrXkfexRmv<6<50>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(Eje~byQlotlw[f;07<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz30?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\hpr;97<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz32?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\hpr;;7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz34?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\hpr;=7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz36?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\hpr;?7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~T`xz38?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\hpr;17<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|30?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\|jt;97<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|32?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\|jt;;7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|34?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\|jt;=7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|36?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\|jt;?7<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~Ttb|38?46?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\|jt;17<>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!ZP^FLSKYNF_U2SbQfnw7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0=0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5;59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:56<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov?7;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{<5<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(kfex1;15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}6=28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkr;?7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw8=82i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$ob{at=;=1<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~byQ?589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}U:945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjqY5=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,d`f(ck&nh#naznu]01<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~byQ;589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}U>945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjqY1=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,d`f(ck&nh#naznu]41<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~byQ7589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}U2:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k8%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k8%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k8%}=1:11728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,chs&jky~t`jt=2=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%ol|}yogw848182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&mfyu laspzj`r;:7<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/abvwim}682;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n< glw{*bk\8T$la< b1738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,chs&ngP=P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(ods"jcT2\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j8$k`{w.foX7X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n< glw{*bk\<T$la~ bs478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,r4:76<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j8$z<2?>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;97?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?31?361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`6*p64;4>:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h>"x><3<210=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)q95959;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!y1=1=503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(~86?2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n< v0>7:4353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*ehey:>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%hc`~>6e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} gnkmcZcjx}sT`hoyioe\ahvsqVEYS;Q`6d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} gnkmcZcjx}sT`hoyioe\ahvsqVEYS;Q`14`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUmnRg:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!}al]fiur~W`>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j r`o\vaYbfVc:9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.pg[uhszVzgy~?30?]jjs1c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[8_\CKBX<=8TcRokd^2\KPRXpfx7<3?>_HLU[5103\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Ob`aY`k9$hcx`{[8_\CKBX<=8TcRokd^2\KPRXpfx7=3?>769V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Aljk_fa3*firf}Q2QRIAD^676ZiXimnT<RAZT^zlv94998=<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*KflmUlo= lotlw_<[XOGNT89<Po^cg`Z6XG\^Ttb|33?3232=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| M`fg[be7&je~byU6]^EM@Z23:VeTmijP0^MVPZ~hz5>5=<98;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS0WTKCJP450\kZgclV:TCXZPxnp?1;76?>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IdbcWni;"naznuY:YZAILV>?>RaPaef\4ZIR\Vrd~181105;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cnde]dg5(dg|dW4SPGOF\014XgVkohR>POTV\|jt;>7;:=:94U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'DkohRil0/alqkr\1TULBIQ;43]l[dbcW9UDYYQwos>4:470?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-NeabXoj:%ob{atZ;^[BHCW=>9SbQnde]3[JSSWqey050>1658Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@okd^e`4+eh}g~P5PQHNE]707YhWhnoS=Q@UU]{kw:>68;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{<1<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphs484>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{<3<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphs4:4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{<5<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphs4<4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{<7<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphs4>4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{<9<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphs404=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[8_\CKBX<=8TcRokd^2\KPR;97<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT9\]DJAY3<;UdSljk_1]LQQ:56?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU6]^EM@Z23:VeTmijP0^MVP959>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV7R_FLG[125WfUjhiQ?_NWW8181k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW4SPGOF\014XgVkohR>POTV?1;0d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P5PQHNE]707YhWhnoS=Q@UU>5:3e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Q2QRIAD^676ZiXimnT<RAZT=5=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R3VSJ@K_561[jYflmU;SB[[<9<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS0WTKCJP450\kZgclV:TCXZ39?77?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX8<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQ>559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZ42<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dS>;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\002<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U>995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^460>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW>??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP8468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY>=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj><0<6<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;7>3;7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4:46<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=6=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:080:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;>7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>4:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=161599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6404>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\501<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R<:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X;<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^663>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T9894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z02?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P7458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V2>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\=36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"no}rxlfp969>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k9%laxv!c`pq}kcs484=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,`ewt~fl~7>38?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m?/fov|+efz{seiy2<>738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP<P hm0,n537<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT1\,div(j{?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX6X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\;T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP8P hmr,nw03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86;2884U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>3:4323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e7';7=3;9;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m?/w3?5;72=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:0?0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28786=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k9%}=1=1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c1-u59599<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2;>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:368<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/abvwim}6;2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n? glw{*fguzpdnx1?1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-dip~)khxyuck{<3<54>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*aj}q$hm|vndv?7;063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[1_-ch7)e8<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_4[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS;W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW>S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[5_-chu)ez?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?30?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;87;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x><0<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p6484:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o<!y1=0=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)q9585=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n? v0>0:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f7(~8682<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?0;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';783?:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!lolr317=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,gjkw9<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSk{cl^alig3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*tfeVl~`aQib^k63>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-qehYa}efTe9h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-qehYpzVoeSd?8c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FmijPgba3*be7&je~byU6]^EM@Z22=VeTmijP0^MVPZ~hz5;5=<9l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GjhiQhcb2-cf6)kfexV7R_FLG[132WfUjhiQ?_NWW[}iu4;4:=:m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DkohRilc1,dg5(dg|dW4SPGOF\003XgVkohR>POTV\|jt;;7;:;n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EhnoSjml0/e`4+eh}g~P5PQHNE]710YhWhnoS=Q@UU]{kw:368;<o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JimnTknm?.fa3*firf}Q2QRIAD^661ZiXimnT<RAZT^zlv93998=h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*KflmUlon>!gb2-gjsi|R3VSJ@K_576[jYflmU;SB[[_ymq83869>n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+HgclVmho= hc1,`kphsS0WTKCJP447\kZgclV:TCXZPxnp?2;769>i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+HgclVmho= hc1,`kphsS0WTKCJP447\kZgclV:TCXZPxnp?3;76?j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,IdbcWnih<#il0/alqkr\1TULBIQ;54]l[dbcW9UDYYQwos>;:470k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-NeabXoji;"jm?.bmvjq]>UVMEHR::5^m\eabX8VE^XRv`r=;=543f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<0<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;<7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8082i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=4=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by28>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~743;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphs404<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^?ZWNDOS9;:_n]b`aY7WF__0<081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|R3VSJ@K_576[jYflmU;SB[[<3<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexV7R_FLG[132WfUjhiQ?_NWW868092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{atZ;^[BHCW=?>SbQnde]3[JSS4=4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^?ZWNDOS9;:_n]b`aY7WF__08081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|R3VSJ@K_576[jYflmU;SB[[<7<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexV7R_FLG[132WfUjhiQ?_NWW828092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{atZ;^[BHCW=?>SbQnde]3[JSS414<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^?ZWNDOS9;:_n]b`aY7WF__040:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|V;>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZ4212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^16=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexR::9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|V?>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZ0212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^56=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexR6:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|V3>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6494>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6484>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64;4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64:4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64=4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64<4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64?4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb64>4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6414>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6404>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W9?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X9<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y5=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z52k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[13d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\10e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczPd0]51f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byQk1^56g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexRj>_97`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dSi?P9418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pzVzexQltq2858?92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x?h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"zbp^pbiZtcWld?i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"zbp^pbiZtcWld:?>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{kfSz|Peo76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*Kj}qUyhR||t^cpv`aXOGNT=?Q`_LW[[7Yh98?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"Cbuy]tvZtt|Vkx~hiPGOF\57YhWD_SS<Q`101g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*TT\VL^@AQHEO6;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*au{}n:"j||t^dvhi(u{}Uo=964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/fpppa7)o{ySk{cl/pppZb5<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$k}{d0,dvvrXn|fg"}{_e17g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hi30?6`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}Uyij2=>5`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|VxnkR>;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlmT>9m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtbo5;58o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`W89o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnULBIQ>2^m\IP^X:Ve?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,swYu{}Ujkh_FLG[44XgVG^TR?Po528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+rtXzz~Tm~|jg^f205=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim({UyyQnssgd[a44k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig5c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&}yS}{_bmnf45a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-tvZvi|{6;2Rgav0]JJSY7k2_XI_QYIRKAH@5<^JI27[GJW^VZT@0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=16:UFE929>2]NM1;16:UFE909>2]NM1916:UFE9>902]NM1750?48S@G;17=0[HOC<1<4?RCFD5;5;6YJAM>1:<=PMHF7?7>17:UFEI:46>1\IL[30?58S@GR484<7ZKNU=0==>QBI\686=08;VGBQ959>2]NN1>16:UFF979>2]NN1<16:UFF959>2]NN1:16:UFF939>2]NN1816:UFF919>2]NN1618:UFF9?=87<0[HL39?:8S@DPM5:546YJBVG?5;><_LH\I1<18:UFFRC;;720[HLXE=6=<>QBJ^O79364WD@TA909i2]NNZK37;2=<>QBJ^O7;374WD@TAI:7601\IOYJL=3==>QBJ^OG0?06;VGAS@J;;730[HLXEM>7:<=PMK]N@1;19:UFFRCK4?4i7ZKMWDN?3?6912]NNZKC<6<:?RCE_L_7<374WD@TAP:6601\IOYJU=0==>QBJ^O^0>06;VGAS@S;<730[HLXET>6:<=PMK]NY181b:UFFRCR4>0;245XECUFQ919k2]YEYKPMNFF[De<_[C_IRC@DD]A`>QUA]OT[DJ[H^Cg?RTN\LU\EIZG_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB3f8\VRXIM\JBNKK_LC@VJYFKJ_TNL]FC^OBGWIXIMNXYOQIF69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw7>_b{1k0SRQWLOO\[Z6XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-NeabXoji;"jm?.bmvjq]>UVMEHR::5^m\eabX8VE^XRv`r=6=54>23VUTTA@B_^]2[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| M`fg[be7&je~byU6]^EM@Z23:VeTmijP0^MVPZ~hz5>5=<6n;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EhnoSjml0/e`4+eh}g~P5PQHNE]710YhWhnoS=Q@UU]{kw:>68;396QP_YNMIZYX;VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HgclVmh<#m`uovX=XY@FMU?8?Q`_`fg[5YH]]Usc26>03;e>YXWQFEARQP4^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@okd^e`g5(`k9$hcx`{[8_\CKBX<<?TcRokd^2\KPRXpfx7>3?>849\[Z^KFDUTS8QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GjhiQhc1,`kphsS0WTKCJP450\kZgclV:TCXZPxnp?6;760h1TSRVCNL]\[3YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Ob`aY`kj:%kn>!cnwmp^?ZWNDOS9;:_n]b`aY7WF__Sua}<6<25=3<WVUS@CCP_^5\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Baef\cf6)kfexV7R_FLG[125WfUjhiQ?_NWW[}iu4>4:=;=4_^][HKKXWV2TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!Bmtz\swYu{}Ujkh_FLG[44XgVG^TR?Po0357>YXWQFEARQP9^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+HkrpVxoS}{_`qqabY@FMU:>RaPMTZ\6Zi69820naePmdo\c`hXoldn~dmPcrvq5==edbUfi`Qheo]svlkX`ndR?>8:`ooZkbeVmnbR~}il]tmaroW;;37obd_lgn[bciWyxbaRyfduj\74b<jeaTahcPgdl\twojW~xj|}k}_w4\54b<jeaTahcPgdl\twojW~xj|}k}_w4\64b<jeaTahcPgdl\twojW~xj|}k}_w4\7c=edbUfi`Qheo]wku733kf`S`kb_fgm[s1X9Vrxx<=4bmi\i`kXagz~xRlcobi20>dkcVgnaRaztqww[gjhkb90oae8;bmntdtbl2njxlQlotlw858c3mkmRm`uov?5;b<lh~jSnaznu>1:a=ci}kTob{at=1=`>bf|hUhcx`{<5<g?agsiVidycz35?f8`drfWje~by29>e9geqgXkfex191d:fbpdYdg|d050i;ecweZeh}g~757>1d:fbpdYdg|d040:;ea\wv5<lmg=7iga<1<4?aoi48:5;6jfn=32:2=cag6:>394dhl?56803mce0<:17:fjj9726>1oec2>6?58`lh;9>4<7iga<0:=3>bnf5;22;5kio>2:2=cag69<394dhl?64803mce0?<17:fjj9446>1oec2=4?58`lh;:<4<7iga<34=3>bnf58<2:5kio>1<;1<l`d7>409;ekm87803mce0>>17:fjj9566>1oec2<2?58`lh;;:4<7iga<26=3>bnf59>2:5kio>02;1<l`d7?:08;ekm86>9?2nbb1=6>79gmk:46>1oec2;0?58`lh;<84<7iga<50=3>bnf5>82:5kio>70;1<l`d78808;ekm8109?2nbb1:8>69gmk:307=0hd`348<5?aoi4=4<7iga<42=3>bnf5?:2:5kio>66;1<l`d79>08;ekm8029?2nbb1;:>69gmk:2>7=0hd`356<4?aoi4<25;6jfn=7::3=cag6>2:5kio>54;1<l`d7:<08;ekm8349?2nbb18<>69gmk:1<7=0hd`364<4?aoi4?<5;6jfn=44:2=cag6=4394dhl?2<813mce0;08;ekm8269?2nbb19>>69gmk:0:7=0hd`372<4?aoi4>>5;6jfn=56:2=cag6<:394dhl?32803mce0:617:fjj91>6?1oec28>69gmk:?8730hd`38083:2=cag63=384dhl?<;0<l`d75394dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=255kotv?528?3me~x1?7>99gkpr;904<7iazt=3=<>bh}}69<364dnww877902ndyy2=2?:8`jss4;9546j`uu>10;><lf0?;18:flqq:5>720hb{{<35=<>bh}}694364dnww87?9?2ndyy2=>99gkpr;;9437iazt=12:==cg|~7??07;emvp954611ocxz335<;?air|59>255kotv?738?3me~x1=8>99gkpr;;1437iazt=1::2=cg|~7?364dnww816902ndyy2;1?:8`jss4=8546j`uu>77;><lf09:18:flqq:3=720hb{{<54=<>bh}}6?;364dnww81>902ndyy2;9?58`jss4=437iazt=73:==cg|~79<07;emvp935611ocxz352<;?air|5??255kotv?108?3me~x1;9>99gkpr;=>437iazt=7;:==cg|~79408;emvp93902ndyy290?:8`jss4?;546j`uu>56;><lf0;=18:flqq:1<720hb{{<77=<>bh}}6=:364dnww831902ndyy298?:8`jss4?35;6j`uu>5:==cg|~7;=07;emvp916611ocxz373<;?air|5=8255kotv?318?3me~x19:>99gkpr;??437iazt=54:==cg|~7;507;emvp91>6>1ocxz37?:8`jss41:5m6j`uu>;5?6902ndyy271?58`jss414<7iazt=;=1>cjx}s::6hffn]dakcui}eySobfhdl57=aaoeTkh`jr`vlvZrhx890jdh`_fgmawgsg{U}5R<=f:djbjY`mgoymya}_w;\6)&_aecet-M@RD"Dakcui}ey,<:!2058bl`hWnoeio{os]u=Z4Xpz~?7k{cl89jjussWkf`:6cjmnpz<>h`kkb`i<4nnc8kprw}}Ui`f=;;scnhjiwS9W%~lc!r.t5[kinf`~%~lc SER-WLKWDLU[^DC>8/pbi62<zhggcb~T1\,qeh(u'<Tbbgaiu,qeh)TLY$XE@^CE^RQMH51&{kf?95}alnlku]5U'xja#| v7]mklhn|'xja"]KP/QJIUJBWYXBA>8!r`oa?wbXj`dTocz}5:pg[`h?3{nT`bifc008vaYt|h~~Rhcafq\wv763{oxiyQmlj]qeh`nnf90~~z9;raemci68k:0nhffn.emciXoldn~lz`r^t:[7*|kVkohR`hc^aljvrui`d1="l_`rshlef|`eeSywe^c{mv;7$jUj|}bfc`vjkkYsqyoT~lmg=1.`[dvwd`ijxdaa_u{saZtcka7; nQnpqnjgdrnggUu}kPw`ak95*dWhz{`dmnthmm[qwmV}ooe3?,b]btujnkh~bccQ{yqg\sweo59&hSlvf_`fgvZqnl}b6??"l_`zj[dtr{lxT{dj{h<3/gZgaVk|y~k}_vkgpm;6$jUjtdQmurgq[roc|a7: nQnxh]geqgX`nd08;,b]b|lYnlV}bhyf24-a\e}oXz|yn~Ryfduj>5)eXiqcTxt~j=1.`[d~nW~xiQxievk94*dWhrbRzkauc\slbs`4<? nQnxhq\pact|V}bhyf24-a\e}otW}coSzgkti?;(fYfp`yTxfkjp^uj`qn:<%iTmug|_uqwvgYpam~c19"l_`zjwZrr{lxT{dj{h<6/gZgazUu}k20-a\gjkjggUh`bmd=0.`[firf}Uu}k20-a\`drfWje~byQxievk946+kVnnjl{ct^tbhpc:Jekeg^z}ioe/gZbnfV}bhyf283.`[aoiW~coxeQnxhq>5)eXl`dT{dj{h^pbgm;4:%iThd`PwhfwlZtcka7=8!mPdhl\slbs`V}joe3<2-a\`lhX`ndRykci?50)eXl`dT{dj{h^uqgm;5$jUocxzPrrv\rdj:8%iThb{{_vkgpm;?:%iTicomld]qhjet59&hSh`nbmg\vvrX{pdh1<"l_dpqkwYnfcohxdaa_u{sa86+kVoy~b|PiohfgqohfV~r|hQnxhq>4)eXm{xd~RgajdawmjhX|pznSolh<2/gZcuzfxTecdjcukljZr~xlUyhnf20-a\awthzVcefhm{inl\p|vbW~khd0>#c^gqvjtXag`noyg`n^vzt`Ypljb6<!mPesplvZoiblieb`Ptxrf[rtd`4:'oRhnmhnz9iy%iTjxbc_gnbcvYu{}U}ma3>,b]kevYfddexxRkbpu{>4)eX`hyTmac`su]eqij:8%iTdl}Payk\ewstm{7; nQgar]b|lYf|yn~0>#c^jbwZgaVh~h|20-a\lduXiqcT~iQlh`lmai;7$jUcm~Qnxh]qqvcu59&hSeo|_`zj[rtXkakebhb20-a\lduXiqcT{x}jr<2/gZnf{Vkse~Q{d`vb95*dWakxSlvfs^vgavr:8%iTdl}Paykp[qoc59&hSeo|_`zjwZrlmlz6<!mPh`q\e}otW}fjy3?,b]kevYfp`yTxkndx?2(fYoizUjtd}Ptrvqf86+kVbjRowir]wqvcu59&hSeo|_cc`opv:8%iTdl}Pd`vb[firf}7: nQgar]geqgXkfex~Qnxhq>4)eX`hyThlzn_bmvjquXzhic1="l_icp[agsiVidycz|_sf`l86+kVbjRjnt`]`kphs{V}joe3?,b]kevYci}kTob{atr]t`fn:8%iTdl}Pd`vb[firf}yT{mg=1.`[mgtW`dSnbd=1.`[mgtWdkxxh|Pcd?3(fYoizUfi`gaiu]emic:8%iTdl}Powgqbiip59&hSeo|_qplcZ`kinyTmug|=1.`[mgtWyxdkRhcafq\vdeo59&hSeo|_qplcZ`kinyT~img=1.`[mgtWyxdkRhcafq\sdeo59&hSeo|_qplcZ`kinyT{img=1.`[mgtWyxdkRhcafq\sweo59&hSeo|_sf\`drfWje~by3?,b]kevYulVxxx0>#c^jbwZtt|4;'oRfns^qoescXkl7; nQgar]pvvr:8%iTdl}Puoffvcjh4:'oRfns^tbhlb:8%iTdl}Pws]bgn;7$jUcm~Qxr^fbpdYdg|d1="l_icp[rtXzz~6<!mPilroahci|h~bccQ{yqg>4)eXadzgi`kat`vjkkYsqyoTmug|=0.`[lkwdlgnbyo{inl\p|vbW{khd0?#c^knticjmg~jxdaa_u{saZtcka7: nQfmqnfi`hsi}cdbRzvpd]tefn:9%iTe`~celgmpdrnggUu}kPweak94*dW`g{`hcjnucwmjhX|pznSz|lh<3/gZoia}U|~R~ats]uei;7$jUbbyk}f`af[qwm4:'oRcjmnpz[qwm4;'oRcff^djh`Yiido6NaoakRvqmka+kVgxkb`Pv`n>5)eXgy~badtnthmm[hicm4:'oRayesdokrYkg~7; nQrdnleaYki}oeot3>,b]sv`jhimUyij}20-a\twojWocmcRzvpd?2o}51$jU{~biPelrw}ZrozlycSl}|esv\rdj::%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkWhrb0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pbgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieUyhnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sdeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSzjlh<3367*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZquka7:<?<#c^rqkbYbey~rSyf}erj\j`af|lU}ma3<,b]svjaXmdzuRzvpd?3(fYwzfmTi`~{y^vzt`Yfp`y6<!mPpsmd[`kw|pUu}kPr`ak95*dWyxdkRkbpu{\p|vbW{nhd0>#c^rqkbYbey~rSywe^ubgm;7$jU{~biPelrw}Zr~xlU|hnf20-a\twi`Wlg{xtQ{yqg\sweo59&hS}|`g^dvhiYs`{oxdRo|sdpw[sgk58:9>!mPpsmd[cskdV~c~h}g_`qpawrX~hfTmug|=0217)eXx{elSk{cl^vkv`uoWhyxizPv`n\vdeo58:9?!mPpsmd[cskdV~c~h}g_`qpawrX~hfT~img=0217)eXx{elSk{cl^vkv`uoWhyxizPv`n\sdeo58:9?!mPpsmd[cskdV~c~h}g_`qpawrX~hfT{img=0217)eXx{elSk{cl^vkv`uoWhyxizPv`n\sweo58:9?!mPpsmd[cskdV~c~h}g_ogdeqcX~hf6==<>,b]svjaXn|fgSywe<2/gZvugnUmyabPtxrf[d~n{4:'oR~}of]eqijX|pznSolh<2/gZvugnUmyabPtxrf[wbd`4:'oR~}of]eqijX|pznSzolh<2/gZvugnUmyabPtxrf[rbd`4:'oR~}of]eqijX|pznSz|lh<2/gZtfkaUu}k20-a\vaYci}kTob{at^uj`qn:99&hSjPddrwl877:=&hSjPfsgr94*dW{nT|cz}_vkgpm;68%iT~img_u{sa86+kVxnkR}cibg\ijbbWhrb0>#c^pfcZukajoTabjj_sc`l86+kVxnkR}cibg\ijbbW{nhd0>#c^pfcZukajoTabjj_vc`l86+kVxnkR}cibg\ijbbW~nhd0>#c^pfcZukajoTabjj_vp`l86+kVyrbnf}ookyawYt|hmn1?"l_tlgaw`kg~Ugcz3?,b]vw`YdgdgdbRayesdokr;7$jU~hQlololjZsillxm`by20-a\qvcXllljyazPsdvwmkat59&hSx}j_emvpZtt|4;'oR{|e^g`g86+kVxiRklc^c{mv;7$jU~hQjcb]qefn:8%iTy~kPeba\vaeo59&hSx}j_da`[rgd`4:'oR{|e^g`gZqcka7; nQzsd]fgfYpzjb6<!mPurg\ahdblmooSkh=1.`[pubWocmc<8Pfmcdw86+kVxiRhxfu]geqgXkfex0>#c^tbhlbXdf}6<!mPw`ak[qwm4:'oRykci]w}uc:8%iT{Qncj]okr;7$jU|~Rjnt`]`kphsW~coxe3>0-a\swYcmy~c1<>=4-a\swYcmy~cSlvfs<3361*dW~xThh~{h^pbgm;6>%iT{Qkeqvk[wbd`4;;>9"l_vp\``vs`V}joe3>6-a\swYcmy~cSzjlh<3361*dW~xThh~{h^uqgm;6>%iT{Qirds>5)eX{U{by|Pwhfwl877$jU|~R~ats]tmaroWhrb0??,b]tvZvi|{U|eizg_sc`l82+kV}yS}`{r^uj`qnXzmic1<>#c^uq[uhszV}bhyfPw`ak91*dW~xT|cz}_vkgpmYpljb6=="l_vp\tkruW~coxeQxrbj>0)eX{Uyi~~`nrg\hdrbfjs6=!mPwsak[qwm4:v=i5|cgkek)`nnfUlick}aumq[s?X:%qv56{do]`jqte3~xTnd`Pcovq1>quWld37z|Plnejg44<{Uxxlzzs^doebuX{zwKL}m:a:BC|30>2O0?6<u\fc86<=<4jo0:?>>91g8264basg?3:7?4n4:4>3=#=1>19:j4}Rd:>0>?2:hm6<=<073e>445891X?lm564f94?74;9<:j7?=2118Wc?=><n1<7?<3142b?75:9<0h;86:182>4}Tnk0>454<bg8276619o0:><hk;wV751<7280:6<;={Rda>0>?2:hm6<=<073e>446nm1/9>m53`g8R0>22;q~?o851:w0f2<73t.8o=4<c:`52<<72k>1m44>51yK16g<,=;j6;86;[f6>6}4n3>96k4r$4:0>33?3-no6;8m;%db>30f3->:<7?4$52e>0>>3`?mi7>5$2a1>0`c3g9h=7>4;h7eg?6=,:i968hk;o1`5?7<3`?mm7>5$2a1>0`c3g9h=7<4;h7e=?6=,:i968hk;o1`5?5<3`?m47>5$2a1>0`c3g9h=7:4;h7e3?6=,:i968hk;o1`5?3<3`?m:7>5$2a1>0`c3g9h=784;h7e1?6=,:i968hk;o1`5?1<3`?m87>5$2a1>0`c3g9h=764;h7e7?6=,:i968hk;o1`5??<3`?m>7>5$2a1>0`c3g9h=7o4;h7e5?6=,:i968hk;o1`5?d<3`?nj7>5$2a1>0`c3g9h=7m4;h7fa?6=,:i968hk;o1`5?b<3`?nh7>5$2a1>0`c3g9h=7k4;h7fg?6=,:i968hk;o1`5?`<3`?nn7>5$2a1>0`c3g9h=7??;:k6ad<72-9h>7;id:l0g4<6921b9h750;&0g7<2nm1e?n?51398m0c?290/?n<55gf8j6e628907d;j7;29 6e52<lo7c=l1;37?>o2m?0;6)=l2;7e`>h4k80:965f5d694?"4k;0>ji5a3b3953=<a<o86=4+3b091cb<f:i:6<94;h7f6?6=,:i968hk;o1`5?7?32c>i<4?:%1`6?3al2d8o<4>9:9j1`6=83.8o?4:fe9m7f7=9h10e8ji:18'7f4==on0b>m>:0`8?l3cm3:1(>m=:4dg?k5d93;h76g:de83>!5d:3?mh6`<c082`>=n=mi1<7*<c386ba=i;j;1=h54i4fa>5<#;j819kj4n2a2>4`<3`?o57>5$2a1>0`c3g9h=7<?;:k6`=<72-9h>7;id:l0g4<5921b9i950;&0g7<2nm1e?n?52398m0b1290/?n<55gf8j6e62;907d;k5;29 6e52<lo7c=l1;07?>o2l=0;6)=l2;7e`>h4k809965f5e194?"4k;0>ji5a3b3963=<a<n96=4+3b091cb<f:i:6?94;h7g5?6=,:i968hk;o1`5?4?32c>h=4?:%1`6?3al2d8o<4=9:9j1fc=83.8o?4:fe9m7f7=:h10e8mk:18'7f4==on0b>m>:3`8?l3dk3:1(>m=:4dg?k5d938h76g:cc83>!5d:3?mh6`<c081`>=n=jk1<7*<c386ba=i;j;1>h54i4a:>5<#;j819kj4n2a2>7`<3`?h47>5$2a1>0`c3g9h=7=?;:k6g2<72-9h>7;id:l0g4<4921b9n850;&0g7<2nm1e?n?53398m0e2290/?n<55gf8j6e62:907d;l3;29 6e52<lo7c=l1;17?>o2k;0;6)=l2;7e`>h4k808965f5b394?"4k;0>ji5a3b3973=<a<i;6=4+3b091cb<f:i:6>94;h7ab?6=,:i968hk;o1`5?5?32c>nh4?:%1`6?3al2d8o<4<9:9j1gb=83.8o?4:fe9m7f7=;h10e8ll:18'7f4==on0b>m>:2`8?l3ej3:1(>m=:4dg?k5d939h76g:b`83>!5d:3?mh6`<c080`>=n=k21<7*<c386ba=i;j;1?h54i4`4>5<#;j819kj4n2a2>6`<3`?i:7>5$2a1>0`c3g9h=7:?;:k6f0<72-9h>7;id:l0g4<3921b9o:50;&0g7<2nm1e?n?54398m0d4290/?n<55gf8j6e62=907d;m2;29 6e52<lo7c=l1;67?>o2j80;6)=l2;7e`>h4k80?965f5c294?"4k;0>ji5a3b3903=<a<km6=4+3b091cb<f:i:6994;h434?6=,:i968hk;o1`5?2?32c>jk4?:%1`6?3al2d8o<4;9:9j1cd=83.8o?4:fe9m7f7=<h10e8h?:18'7f4==on0b>m>:5`8?l3b=3:1(>m=:4dg?k5d93>h76g:d`83>!5d:3?mh6`<c087`>=n=jl1<7*<c386ba=i;j;18h54i4a7>5<#;j819kj4n2a2>1`<3`?i57>5$2a1>0`c3g9h=7;?;:k6e`<72-9h>7;id:l0g4<2921b94h50;9j23>=831b:;k50;9j232=831d:8?50;&0g7<1=91e?n?50:9l21`=83.8o?49519m7f7=921d:9j50;&0g7<1=91e?n?52:9l21e=83.8o?49519m7f7=;21d:9l50;&0g7<1=91e?n?54:9l21g=83.8o?49519m7f7==21d:9750;&0g7<1=91e?n?56:9l21>=83.8o?49519m7f7=?21d:9950;&0g7<1=91e?n?58:9l210=83.8o?49519m7f7=121d:9;50;&0g7<1=91e?n?5a:9l212=83.8o?49519m7f7=j21d:9<50;&0g7<1=91e?n?5c:9l217=83.8o?49519m7f7=l21d:9>50;&0g7<1=91e?n?5e:9l26`=83.8o?49519m7f7=n21d:>k50;&0g7<1=91e?n?51198k35c290/?n<56428j6e628;07b8<c;29 6e52??;7c=l1;31?>i1;k0;6)=l2;464>h4k80:?65`62c94?"4k;0=9=5a3b3951=<g?926=4+3b09206<f:i:6<;4;n403?6=,:i96;;?;o1`5?7132e=?;4?:%1`6?0282d8o<4>7:9l263=83.8o?49519m7f7=9110c;=;:18'7f4=><:0b>m>:0;8?j04;3:1(>m=:773?k5d93;j76a93383>!5d:3<><6`<c082f>=h>:;1<7*<c38515=i;j;1=n54o713>5<#;j81:8>4n2a2>4b<3f<9j7>5$2a1>3373g9h=7?j;:m56`<72-9h>78:0:l0g4<6n21d:?m50;&0g7<1=91e?n?52198k34e290/?n<56428j6e62;;07b8=a;29 6e52??;7c=l1;01?>i1:00;6)=l2;464>h4k809?65`63:94?"4k;0=9=5a3b3961=<g?8<6=4+3b09206<f:i:6?;4;n412?6=,:i96;;?;o1`5?4132e=>84?:%1`6?0282d8o<4=7:9l272=83.8o?49519m7f7=:110c;<<:18'7f4=><:0b>m>:3;8?j0593:1(>m=:773?k5d938j76a92183>!5d:3<><6`<c081f>=h>8l1<7*<c38515=i;j;1>n54o73f>5<#;j81:8>4n2a2>7b<3f<:h7>5$2a1>3373g9h=7<j;:m55f<72-9h>78:0:l0g4<5n21d:<l50;&0g7<1=91e?n?53198k37f290/?n<56428j6e62:;07b8>9;29 6e52??;7c=l1;11?>i1910;6)=l2;464>h4k808?65`60494?"4k;0=9=5a3b3971=<g?;>6=4+3b09206<f:i:6>;4;n420?6=,:i96;;?;o1`5?5132e==>4?:%1`6?0282d8o<4<7:9l244=83.8o?49519m7f7=;110c;?>:18'7f4=><:0b>m>:2;8?j0683:1(>m=:773?k5d939j76a90g83>!5d:3<><6`<c080f>=h>9o1<7*<c38515=i;j;1?n54o72g>5<#;j81:8>4n2a2>6b<3f<;n7>5$2a1>3373g9h=7=j;:m54d<72-9h>78:0:l0g4<4n21d:=750;&0g7<1=91e?n?54198k36?290/?n<56428j6e62=;07b8?7;29 6e52??;7c=l1;61?>i18?0;6)=l2;464>h4k80??65`61794?"4k;0=9=5a3b3901=<g?:?6=4+3b09206<f:i:69;4;n437?6=,:i96;;?;o1`5?2132e=<?4?:%1`6?0282d8o<4;7:9l205=83.8o?49519m7f7=<110c;;=:18'7f4=><:0b>m>:5;8?j03m3:1(>m=:773?k5d93>j76a94283>!5d:3<><6`<c087f>=h>:21<7*<c38515=i;j;18n54o70g>5<#;j81:8>4n2a2>1b<3f<9>7>5$2a1>3373g9h=7:j;:m552<72-9h>78:0:l0g4<3n21d:=m50;&0g7<1=91e?n?55198k366290/?n<56428j6e62<;07b;nb;29 6e52<kj7c=l1;28?j3f13:1(>m=:4cb?k5d93;07b;n8;29 6e52<kj7c=l1;08?j3f?3:1(>m=:4cb?k5d93907b;n6;29 6e52<kj7c=l1;68?j3f=3:1(>m=:4cb?k5d93?07b;n4;29 6e52<kj7c=l1;48?j3f;3:1(>m=:4cb?k5d93=07b;n2;29 6e52<kj7c=l1;:8?j3f93:1(>m=:4cb?k5d93307b8:a;29?j02<3:17o;72;295?6=8r.?=l4:3c9K12`<@<9j7b=me;29?xd2080;6<4?:1y'04g=;9i0D89i;I70e>i48k0;66sm45794?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi89850;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e<==1<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a01>=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm45;94?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi89o50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e<=h1<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a01e=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm45f94?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi89k50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e<8n1<7:50;2x 17f2:h>7E;8f:J67d=nll0;66gif;29?l27k3:17b=mc;29?xd3;90;6>4?:1y'04g==>o0D89i;I70e>ocm3:17d=nb;29?j5ek3:17pl;1d83>1<729q/8<o53c78L01a3A?8m6gke;29?l`a2900e9>l:188k6dd2900qo:<1;297?6=8r.?=l4:7d9K12`<@<9j7djj:188m6ge2900c>ll:188yg26n3:187>50z&75d<4j<1C9:h4H41b?lbb2900ekh50;9j05e=831d?om50;9~f15529086=4?{%62e?30m2B>;k5G52c8mac=831b?ll50;9l7ge=831vn9<?:187>5<7s->:m7=m5:J63c=O=:k0eik50;9jbc<722c?<n4?::m0ff<722wi8>=50;194?6|,=;j689j;I74b>N2;h1bhh4?::k0eg<722e8nn4?::a077=83>1<7>t$53b>6d23A?<j6F:3`9j``<722cmj7>5;h63g?6=3f9io7>5;|`771<72:0;6=u+40c912c<@<=m7E;<a:kga?6=3`9jn7>5;n1ag?6=3th?>?4?:583>5}#<8k1?o;4H45e?M34i2coi7>5;hde>5<<a=:h6=44o2``>5<<uk>897>53;294~"39h0>;h5G56d8L05f3`nn6=44i2ca>5<<g:hh6=44}c617?6=<3:1<v*;1`80f0=O=>l0D8=n;hff>5<<aol1<75f41a94?=h;ki1<75rb515>5<4290;w):>a;74a>N2?o1C9>o4ieg94?=n;hh1<75`3ca94?=zj=8?6=4;:183!26i39i96F:7g9K16g<amo1<75ffg83>>o38j0;66a<bb83>>{e<:=1<7=50;2x 17f2<=n7E;8f:J67d=nll0;66g<ac83>>i4jj0;66sm43794?2=83:p(9?n:2`6?M30n2B>?l5fdd83>>oan3:17d:?c;29?j5ek3:17pl;3983>6<729q/8<o556g8L01a3A?8m6gke;29?l5fj3:17b=mc;29?xd3;00;6>4?:1y'04g==>o0D89i;I70e>ocm3:17d=nb;29?j5ek3:17pl;7`83>1<729q/8<o531;8L01a3A?8m6*<258:?lb12900ekm50;9j7f2=831d?n850;9~f11>290?6=4?{%62e?5712B>;k5G52c8 643201bh;4?::keg?6=3`9h87>5;n1`2?6=3th?i<4?:583>5}#<8k1?=74H45e?M34i2.8>946;hf5>5<<aoi1<75f3b694?=h;j<1<75rb5g3>5<3290;w):>a;13=>N2?o1C9>o4$207><=nl?0;66gic;29?l5d<3:17b=l6;29?xd2::0;694?:1y'04g=;9=0D89i;I70e>"4:=087dj9:188mc>=831bjn4?::m0g3<722wi9?j50;694?6|,=;j6>>6;I74b>N2;h1Ch>5+3c6904?<am<1<75ffb83>>o4k=0;66a<c783>>{e=:91<7:50;2x 17f2::27E;8f:J67d=Ol:1/?o:540;8ma0=831bjn4?::k0g1<722e8o;4?::a170=83>1<7>t$53b>66>3A?<j6F:3`9K`6=#;k>18<74$207><=nl?0;66gic;29?l5d<3:17b=l6;29?xd2;90;6>4?:1y'04g=;9<0D89i;I70e>Nc;2.8n94;189'772=;2co:7>5;hd`>5<<g:i=6=44}c702?6=;3:1<v*;1`8043=O=>l0D8=n;If0?!5e<3>:56*<2580?lb12900ekm50;9l7f0=831vn8<::187>5<7s->:m7=?9:J63c=O=:k0Di=4$2`7>17>3-998774ie494?=nnj0;66g<c583>>i4k?0;66sm53c94?2=83:p(9?n:22:?M30n2B>?l5+3369=>oc>3:17dhl:188m6e32900c>m9:188yg3513:187>50z&75d<4801C9:h4H41b?!55<330ei850;9jbf<722c8o94?::m0g3<722wi9?h50;194?6|,=;j6>>9;I74b>N2;h1Ch>5+3c6904?<,:8?6>5fd783>>oak3:17b=l6;29?xd2:j0;694?:1y'04g=;930D89i;I70e>"4:=027dj9:188mce=831b?n:50;9l7f0=831vn8=::180>5<7s->:m7=?6:J63c=O=:k0Di=4$2`7>17>3-9987=4ie494?=nnj0;66a<c783>>{e=;>1<7:50;2x 17f2::27E;8f:J67d=Ol:1/?o:540;8 643201bh;4?::keg?6=3`9h87>5;n1`2?6=3th>=i4?:0:5>0<d8rB>?l5+40c91<2<Rm?1hv?i:0f9g?g=;m09<7?j:e8a>6c=<90?=7k5}%f7>7=n;o;1<7*<c380b5=i;j;1<65f3d394?"4k;08i=5a3b394>=n==21<7*<c38602=i;j;1<65f55494?"4k;0>8:5a3b395>=n==?1<7*<c38602=i;j;1>65f55694?"4k;0>8:5a3b397>=n==91<7*<c38602=i;j;1865f55094?"4k;0>8:5a3b391>=n==;1<7*<c38602=i;j;1:65f52d94?"4k;0>8:5a3b393>=n=:o1<7*<c38602=i;j;1465f52f94?"4k;0>8:5a3b39=>=n=h0;6)=l2;7:?k5d93:07d;7:18'7f4==01e?n?51:9j12<72-9h>7;6;o1`5?4<3`?=6=4+3b091<=i;j;1?65f5483>!5d:3?27c=l1;68?l33290/?n<5589m7f7==21b9>4?:%1`6?3>3g9h=784;h71>5<#;j81945a3b393>=n=80;6)=l2;7:?k5d93207d;?:18'7f4==01e?n?59:9j5<2=83.8o?4>929m7f7=821b=4<50;&0g7<61:1e?n?51:9j5<6=83.8o?4>929m7f7=:21b=5h50;&0g7<61:1e?n?53:9j5=c=83.8o?4>929m7f7=<21b=5j50;&0g7<61:1e?n?55:9j5=e=83.8o?4>929m7f7=>21b=5l50;&0g7<61:1e?n?57:9j5=g=83.8o?4>929m7f7=021b=5750;&0g7<61:1e?n?59:9j5=>=83.8o?4>929m7f7=i21b=5950;&0g7<61:1e?n?5b:9j5=3=83.8o?4>929m7f7=k21b=5:50;&0g7<61:1e?n?5d:9j5=5=83.8o?4>929m7f7=m21b=5<50;&0g7<61:1e?n?5f:9j5=7=83.8o?4>929m7f7=9910e<6?:18'7f4=9090b>m>:038?l70n3:1(>m=:0;0?k5d93;976g>7d83>!5d:3;2?6`<c0827>=n9>n1<7*<c382=6=i;j;1=954i05`>5<#;j81=4=4n2a2>43<3`;<m7>5$2a1>4?43g9h=7?9;:k23<<72-9h>7?63:l0g4<6?21b=:650;&0g7<61:1e?n?51998m410290/?n<51818j6e628307d?86;29 6e528387c=l1;3b?>o6?<0;6)=l2;3:7>h4k80:n65f16694?"4k;0:5>5a3b395f=<a8=86=4+3b095<5<f:i:6<j4;h346?6=,:i96<7<;o1`5?7b32c:;<4?:%1`6?7>;2d8o<4>f:9j53`=83.8o?4>929m7f7=:910e<8j:18'7f4=9090b>m>:338?l71l3:1(>m=:0;0?k5d938976g>6b83>!5d:3;2?6`<c0817>=n9?h1<7*<c382=6=i;j;1>954i04b>5<#;j81=4=4n2a2>73<3`;=57>5$2a1>4?43g9h=7<9;:k22=<72-9h>7?63:l0g4<5?21b=;950;&0g7<61:1e?n?52998m401290/?n<51818j6e62;307d?94;29 6e528387c=l1;0b?>o6>:0;6)=l2;3:7>h4k809n65f17094?"4k;0:5>5a3b396f=<a8<:6=4+3b095<5<f:i:6?j4;h354?6=,:i96<7<;o1`5?4b32c:9k4?:%1`6?7>;2d8o<4=f:9j50c=83.8o?4>929m7f7=;910e<;k:18'7f4=9090b>m>:238?l72k3:1(>m=:0;0?k5d939976g>5c83>!5d:3;2?6`<c0807>=n9<31<7*<c382=6=i;j;1?954i07;>5<#;j81=4=4n2a2>63<3`;>;7>5$2a1>4?43g9h=7=9;:k213<72-9h>7?63:l0g4<4?21b=8;50;&0g7<61:1e?n?53998m433290/?n<51818j6e62:307d?:3;29 6e528387c=l1;1b?>o6=;0;6)=l2;3:7>h4k808n65f14394?"4k;0:5>5a3b397f=<a8?;6=4+3b095<5<f:i:6>j4;h37a?6=,:i96<7<;o1`5?5b32c:8i4?:%1`6?7>;2d8o<4<f:9j51e=83.8o?4>929m7f7=<910e<:m:18'7f4=9090b>m>:538?l73i3:1(>m=:0;0?k5d93>976g>4883>!5d:3;2?6`<c0877>=n9=21<7*<c382=6=i;j;18954i064>5<#;j81=4=4n2a2>13<3`;?:7>5$2a1>4?43g9h=7:9;:k200<72-9h>7?63:l0g4<3?21b=4850;&0g7<61:1e?n?54998m4?2290/?n<51818j6e62=307d?61;29 6e528387c=l1;6b?>o60?0;6)=l2;3:7>h4k80?n65f16`94?"4k;0:5>5a3b390f=<a8=;6=4+3b095<5<f:i:69j4;h351?6=,:i96<7<;o1`5?2b32c:9l4?:%1`6?7>;2d8o<4;f:9j51`=83.8o?4>929m7f7==910e<:;:18'7f4=9090b>m>:438?l5a;3:1(>m=:2d1?k5d93:07d=j7;29 6e52:o=7c=l1;28?l32l3:1(>m=:47`?k5d93:07d;:b;29 6e52<?h7c=l1;38?l32i3:1(>m=:47`?k5d93807d;:9;29 6e52<?h7c=l1;18?l3203:1(>m=:47`?k5d93>07d;:7;29 6e52<?h7c=l1;78?l32>3:1(>m=:47`?k5d93<07d;:5;29 6e52<?h7c=l1;58?l32<3:1(>m=:47`?k5d93207d;:3;29 6e52<?h7c=l1;;8?l?e290/?n<59`9m7f7=821b544?:%1`6??f3g9h=7?4;h;;>5<#;j815l5a3b396>=n1>0;6)=l2;;b?k5d93907d79:18'7f4=1h1e?n?54:9j=0<72-9h>77n;o1`5?3<3`3?6=4+3b09=d=i;j;1:65f9283>!5d:33j7c=l1;58?l?5290/?n<59`9m7f7=021b5<4?:%1`6??f3g9h=774;h3f3?6=,:i96<k9;o1`5?6<3`;n97>5$2a1>4c13g9h=7?4;h3f7?6=,:i96<k9;o1`5?4<3`;n>7>5$2a1>4c13g9h=7=4;h3f5?6=,:i96<k9;o1`5?2<3`;n<7>5$2a1>4c13g9h=7;4;h3gb?6=,:i96<k9;o1`5?0<3`;oi7>5$2a1>4c13g9h=794;h3g`?6=,:i96<k9;o1`5?><3`;oo7>5$2a1>4c13g9h=774;h3gf?6=,:i96<k9;o1`5?g<3`;om7>5$2a1>4c13g9h=7l4;h3g<?6=,:i96<k9;o1`5?e<3`;o;7>5$2a1>4c13g9h=7j4;h3g2?6=,:i96<k9;o1`5?c<3`;o97>5$2a1>4c13g9h=7h4;h3g0?6=,:i96<k9;o1`5?7732c:h>4?:%1`6?7b>2d8o<4>1:9j5a4=83.8o?4>e79m7f7=9;10e<j>:18'7f4=9l<0b>m>:018?l7c83:1(>m=:0g5?k5d93;?76g>cg83>!5d:3;n:6`<c0821>=n9jn1<7*<c382a3=i;j;1=;54i0a`>5<#;j81=h84n2a2>41<3`;hn7>5$2a1>4c13g9h=7?7;:k2gd<72-9h>7?j6:l0g4<6121b=n750;&0g7<6m?1e?n?51`98m4e?290/?n<51d48j6e628h07d?l7;29 6e528o=7c=l1;3`?>o6k?0;6)=l2;3f2>h4k80:h65f1b794?"4k;0:i;5a3b395`=<a8i?6=4+3b095`0<f:i:6<h4;h3`6?6=,:i96<k9;o1`5?4732c:o<4?:%1`6?7b>2d8o<4=1:9j5f6=83.8o?4>e79m7f7=:;10e<li:18'7f4=9l<0b>m>:318?l7em3:1(>m=:0g5?k5d938?76g>be83>!5d:3;n:6`<c0811>=n9ki1<7*<c382a3=i;j;1>;54i0`a>5<#;j81=h84n2a2>71<3`;im7>5$2a1>4c13g9h=7<7;:k2f<<72-9h>7?j6:l0g4<5121b=o950;&0g7<6m?1e?n?52`98m4d1290/?n<51d48j6e62;h07d?m5;29 6e528o=7c=l1;0`?>o6j=0;6)=l2;3f2>h4k809h65f1c194?"4k;0:i;5a3b396`=<a8h96=4+3b095`0<f:i:6?h4;h3a5?6=,:i96<k9;o1`5?5732c:n=4?:%1`6?7b>2d8o<4<1:9j5d`=83.8o?4>e79m7f7=;;10e<oj:18'7f4=9l<0b>m>:218?l7fk3:1(>m=:0g5?k5d939?76g>ac83>!5d:3;n:6`<c0801>=n9hk1<7*<c382a3=i;j;1?;54i0c:>5<#;j81=h84n2a2>61<3`;j47>5$2a1>4c13g9h=7=7;:k2e2<72-9h>7?j6:l0g4<4121b=l850;&0g7<6m?1e?n?53`98m4g2290/?n<51d48j6e62:h07d?n4;29 6e528o=7c=l1;1`?>o6i:0;6)=l2;3f2>h4k808h65f1`394?"4k;0:i;5a3b397`=<a8k;6=4+3b095`0<f:i:6>h4;h3:b?6=,:i96<k9;o1`5?2732c:5h4?:%1`6?7b>2d8o<4;1:9j5<b=83.8o?4>e79m7f7=<;10e<7l:18'7f4=9l<0b>m>:518?l7>j3:1(>m=:0g5?k5d93>?76g>9`83>!5d:3;n:6`<c0871>=n9031<7*<c382a3=i;j;18;54i0;;>5<#;j81=h84n2a2>11<3`;n57>5$2a1>4c13g9h=7:7;:k2a=<72-9h>7?j6:l0g4<3121b=h:50;&0g7<6m?1e?n?54`98m4b>290/?n<51d48j6e62=h07d?le;29 6e528o=7c=l1;6`?>o6k:0;6)=l2;3f2>h4k80?h65f1c:94?"4k;0:i;5a3b390`=<a8ko6=4+3b095`0<f:i:69h4;h3b6?6=,:i96<k9;o1`5?3732c:5:4?:%1`6?7b>2d8o<4:1:9ja0<722c9j:4?::kf`?6=3`8mn7>5;h1b=?6=3`9j47>5;n0:<?6=,:i96?78;o1`5?6<3f82:7>5$2a1>7?03g9h=7?4;n0:0?6=,:i96?78;o1`5?4<3f82?7>5$2a1>7?03g9h=7=4;n0:6?6=,:i96?78;o1`5?2<3f82=7>5$2a1>7?03g9h=7;4;n0:4?6=,:i96?78;o1`5?0<3f83j7>5$2a1>7?03g9h=794;n0;a?6=,:i96?78;o1`5?><3f83h7>5$2a1>7?03g9h=774;n0;g?6=,:i96?78;o1`5?g<3f83n7>5$2a1>7?03g9h=7l4;n0;=?6=,:i96?78;o1`5?e<3f8347>5$2a1>7?03g9h=7j4;n0;3?6=,:i96?78;o1`5?c<3f83:7>5$2a1>7?03g9h=7h4;n0;1?6=,:i96?78;o1`5?7732e9494?:%1`6?4>?2d8o<4>1:9l6=5=83.8o?4=969m7f7=9;10c?6=:18'7f4=:0=0b>m>:018?j4?93:1(>m=:3;4?k5d93;?76a=8183>!5d:382;6`<c0821>=h:>o1<7*<c381=2=i;j;1=;54o35g>5<#;j81>494n2a2>41<3f8<o7>5$2a1>7?03g9h=7?7;:m13g<72-9h>7<67:l0g4<6121d>:o50;&0g7<51>1e?n?51`98k71>290/?n<52858j6e628h07b<88;29 6e52;3<7c=l1;3`?>i5?>0;6)=l2;0:3>h4k80:h65`26494?"4k;095:5a3b395`=<g;=>6=4+3b096<1<f:i:6<h4;n047?6=,:i96?78;o1`5?4732e9;?4?:%1`6?4>?2d8o<4=1:9l627=83.8o?4=969m7f7=:;10c?9?:18'7f4=:0=0b>m>:318?j41n3:1(>m=:3;4?k5d938?76a=6d83>!5d:382;6`<c0811>=h:?n1<7*<c381=2=i;j;1>;54o34`>5<#;j81>494n2a2>71<3f8=n7>5$2a1>7?03g9h=7<7;:m12d<72-9h>7<67:l0g4<5121d>;650;&0g7<51>1e?n?52`98k700290/?n<52858j6e62;h07b<96;29 6e52;3<7c=l1;0`?>i5><0;6)=l2;0:3>h4k809h65`27694?"4k;095:5a3b396`=<g;<86=4+3b096<1<f:i:6?h4;n056?6=,:i96?78;o1`5?5732e9:<4?:%1`6?4>?2d8o<4<1:9l636=83.8o?4=969m7f7=;;10c?;i:18'7f4=:0=0b>m>:218?j42l3:1(>m=:3;4?k5d939?76a=5b83>!5d:382;6`<c0801>=h:<h1<7*<c381=2=i;j;1?;54o37b>5<#;j81>494n2a2>61<3f8>57>5$2a1>7?03g9h=7=7;:m11=<72-9h>7<67:l0g4<4121d>8950;&0g7<51>1e?n?53`98k731290/?n<52858j6e62:h07b<:5;29 6e52;3<7c=l1;1`?>i5==0;6)=l2;0:3>h4k808h65`24094?"4k;095:5a3b397`=<g;?:6=4+3b096<1<f:i:6>h4;n064?6=,:i96?78;o1`5?2732e98k4?:%1`6?4>?2d8o<4;1:9l61c=83.8o?4=969m7f7=<;10c?:k:18'7f4=:0=0b>m>:518?j43k3:1(>m=:3;4?k5d93>?76a=4c83>!5d:382;6`<c0871>=h:=k1<7*<c381=2=i;j;18;54o36:>5<#;j81>494n2a2>11<3f82m7>5$2a1>7?03g9h=7:7;:m1=<<72-9h>7<67:l0g4<3121d>4;50;&0g7<51>1e?n?54`98k7>f290/?n<52858j6e62=h07b<8f;29 6e52;3<7c=l1;6`?>i5?=0;6)=l2;0:3>h4k80?h65`27;94?"4k;095:5a3b390`=<g;?n6=4+3b096<1<f:i:69h4;n067?6=,:i96?78;o1`5?3732e9854?:%1`6?4>?2d8o<4:1:9l6`d=83.8o?4=e`9m7f7=821d>h750;&0g7<5mh1e?n?51:9l6`1=83.8o?4=e`9m7f7=:21d>h850;&0g7<5mh1e?n?53:9l6`3=83.8o?4=e`9m7f7=<21d>h:50;&0g7<5mh1e?n?55:9l6`5=83.8o?4=e`9m7f7=>21d>h<50;&0g7<5mh1e?n?57:9l6`7=83.8o?4=e`9m7f7=021d>h>50;&0g7<5mh1e?n?59:9l6a`=83.8o?4=e`9m7f7=i21d>ik50;&0g7<5mh1e?n?5b:9l6ae=83.8o?4=e`9m7f7=k21d>il50;&0g7<5mh1e?n?5d:9l6ag=83.8o?4=e`9m7f7=m21d>i750;&0g7<5mh1e?n?5f:9l6a>=83.8o?4=e`9m7f7=9910c?j8:18'7f4=:lk0b>m>:038?j4c>3:1(>m=:3gb?k5d93;976a=d483>!5d:38nm6`<c0827>=h:m>1<7*<c381ad=i;j;1=954o3f0>5<#;j81>ho4n2a2>43<3f8o=7>5$2a1>7cf3g9h=7?9;:m1`5<72-9h>7<ja:l0g4<6?21d>nh50;&0g7<5mh1e?n?51998k7eb290/?n<52dc8j6e628307b<ld;29 6e52;oj7c=l1;3b?>i5kj0;6)=l2;0fe>h4k80:n65`2b`94?"4k;09il5a3b395f=<g;ij6=4+3b096`g<f:i:6<j4;n0`=?6=,:i96?kn;o1`5?7b32e9o54?:%1`6?4bi2d8o<4>f:9l6f0=83.8o?4=e`9m7f7=:910c?m::18'7f4=:lk0b>m>:338?j4d<3:1(>m=:3gb?k5d938976a=c283>!5d:38nm6`<c0817>=h:j81<7*<c381ad=i;j;1>954o3a2>5<#;j81>ho4n2a2>73<3f8h<7>5$2a1>7cf3g9h=7<9;:m1fc<72-9h>7<ja:l0g4<5?21d>ok50;&0g7<5mh1e?n?52998k7dc290/?n<52dc8j6e62;307b<mb;29 6e52;oj7c=l1;0b?>i5jh0;6)=l2;0fe>h4k809n65`2c;94?"4k;09il5a3b396f=<g;h36=4+3b096`g<f:i:6?j4;n0a3?6=,:i96?kn;o1`5?4b32e9n;4?:%1`6?4bi2d8o<4=f:9l6g3=83.8o?4=e`9m7f7=;910c?l;:18'7f4=:lk0b>m>:238?j4e;3:1(>m=:3gb?k5d939976a=b383>!5d:38nm6`<c0807>=h:k:1<7*<c381ad=i;j;1?954o3ce>5<#;j81>ho4n2a2>63<3f8ji7>5$2a1>7cf3g9h=7=9;:m1ea<72-9h>7<ja:l0g4<4?21d>lm50;&0g7<5mh1e?n?53998k7ge290/?n<52dc8j6e62:307b<na;29 6e52;oj7c=l1;1b?>i5i00;6)=l2;0fe>h4k808n65`2`:94?"4k;09il5a3b397f=<g;k<6=4+3b096`g<f:i:6>j4;n0b1?6=,:i96?kn;o1`5?5b32e9m94?:%1`6?4bi2d8o<4<f:9l6d5=83.8o?4=e`9m7f7=<910c?o=:18'7f4=:lk0b>m>:538?j4f93:1(>m=:3gb?k5d93>976a=a183>!5d:38nm6`<c0877>=h:0l1<7*<c381ad=i;j;18954o3;f>5<#;j81>ho4n2a2>13<3f82h7>5$2a1>7cf3g9h=7:9;:m1=f<72-9h>7<ja:l0g4<3?21d>hj50;&0g7<5mh1e?n?54998k7cd290/?n<52dc8j6e62=307b<j8;29 6e52;oj7c=l1;6b?>i5lm0;6)=l2;0fe>h4k80?n65`2e094?"4k;09il5a3b390f=<g;i<6=4+3b096`g<f:i:69j4;n0ag?6=,:i96?kn;o1`5?2b32e9n<4?:%1`6?4bi2d8o<4;f:9l6d0=83.8o?4=e`9m7f7==910c?7m:18'7f4=:lk0b>m>:438?j5ci3:1(>m=:2f:?k5d93:07b=k8;29 6e52:n27c=l1;38?j5c?3:1(>m=:2f:?k5d93807b=k6;29 6e52:n27c=l1;18?j5c=3:1(>m=:2f:?k5d93>07b=k4;29 6e52:n27c=l1;78?j5c;3:1(>m=:2f:?k5d93<07b=k2;29 6e52:n27c=l1;58?j5c93:1(>m=:2f:?k5d93207b=lc;29 6e52:n27c=l1;;8?j27m3:17bhk:188f0>5290:6=4?{%62e?34j2B>;k5G52c8k6db2900qo;71;295?6=8r.?=l4<0b9K12`<@<9j7b=?b;29?xd1>90;65>50;2x 17f2:i<7E;8f:J67d=]l<0nw?=525825?752;?1>;4=7;0;>4?=9h0:n7?l:51975<z,:ho6;;j;o02<?6<f;9i6=5+30692>"49<0=7)=>6;48 6702?1/?<656:&05<<13-9:m784$23a>3=#;;?1:6*<2785?!55?3<0(><7:79'77?=>2.8>l49;%11f?0<,:8h6;5+33f92>"4:l0=7)==f;48 6572?1/?>?56:&077<13-98?784$217>3=#;:?1:6*<3785?!54?3<0(>=7:79'76?=>2.8?l49;%10f?0<,:9h6;5+32f92>"4;l0=7)=<f;48 6272?1/?9?56:&007<13-9??784$267>3=#;=?1:6*<4785?!53?3<0(>:7:79'71?=>2.88l49;%17f?0<,:>h6;5+35f92>"4<l0=7)=;f;48 6372?1/?8?56:&017<13-9>?784$277>3=#;<?1:6*<5785?!52?3<0(>;7:79'70?=>2.89l49;%16f?0<,:?h6;5+34f92>"4=l0=7)=:f;48 6072?1/?;?56:&027<13-9=?784$247>3=#;h?186*<a787?!5f?39in6*<cc875==#;mh1jh5a3ef957=i;mo1=?5+41297a`<,=::6>ji;%625?b53->:>7=m;%621?2<,=;=695+56;97ae<,<=j6>jl;o74f?753g?<o7?=;%135?5ej2.8<?4<049'05b=;9?0eho50;9jac<722c9j54?::k1bf<722c8i?4?::k0a0<722c8il4?:I627>=n;ln1<7F;1298m6`32900e>h8:188m6`f2900e>hk:188m36=83.8o?4:f:l0g4<732c>i7>5$2a1>0`<f:i:6<54i4f94?"4k;0>j6`<c081?>o2k3:1(>m=:4d8j6e62:10e;m50;&0g7<2n2d8o<4;;:k5f?6=,:i968h4n2a2>0=<a?k1<7*<c386b>h4k80=76g99;29 6e52<l0b>m>:698m3>=83.8o?4:f:l0g4<?32c=;7>5$2a1>0`<f:i:6454i7494?"4k;0>j6`<c08b?>o1=3:1(>m=:4d8j6e62k10e;:50;&0g7<2n2d8o<4l;:k6f?6=,:i968h4n2a2>a=<a0:1<7*<c38;b>h4k80;7E:>3:9j<`<72-9h>76i;o1`5?7<@=;876g6e;29 6e520n0b>m>:19K045<3`3h6=4+3b09=a=i;j;1=6F;1298md2=83.8o?4n3:l0g4<732cj>7>5$2a1>d5<f:i:6<54i`394?"4k;0j?6`<c081?>of83:1(>m=:`18j6e62:10eo>50;&0g7<f;2d8o<4;;:kbb?6=,:i96l=4n2a2>0=<aho1<7*<c38b7>h4k80=76gnd;29 6e52h90b>m>:698mde=83.8o?4n3:l0g4<?32cjn7>5$2a1>d5<f:i:6454i`c94?"4k;0j?6`<c08b?>of13:1(>m=:`18j6e62k10el650;&0g7<f;2d8o<4l;:k:b?6=,:i96l=4n2a2>a=<a8:<6=4+3b09550<f:i:6=54i026>5<#;j81==84n2a2>4=<a8:?6=4+3b09550<f:i:6?54i020>5<#;j81==84n2a2>6=<a8:96=4+3b09550<f:i:6954i022>5<#;j81==84n2a2>0=<a88:6=4+3b09550<f:i:6;54i003>5<#;j81==84n2a2>2=<a8;m6=4+3b09550<f:i:6554i03f>5<#;j81==84n2a2><=<a8;o6=4+3b09550<f:i:6l54i03`>5<#;j81==84n2a2>g=<a8;i6=4+3b09550<f:i:6n54i034>5<#;j81==84n2a2>a=<a8:h6=4+3b09550<f:i:6h54i023>5<#;j81==84n2a2>c=<a8826=4+3b0957><f:i:6=54i004>5<#;j81=?64n2a2>4=<a88=6=4+3b0957><f:i:6?54i006>5<#;j81=?64n2a2>6=<a88?6=4+3b0957><f:i:6954i000>5<#;j81=?64n2a2>0=<a8>86=4+3b0957><f:i:6;54i061>5<#;j81=?64n2a2>2=<a8>:6=4+3b0957><f:i:6554i063>5<#;j81=?64n2a2><=<a89m6=4+3b0957><f:i:6l54i01f>5<#;j81=?64n2a2>g=<a89o6=4+3b0957><f:i:6n54i01:>5<#;j81=?64n2a2>a=<a88n6=4+3b0957><f:i:6h54i001>5<#;j81=?64n2a2>c=<a8oh6=4+3b095`d<f:i:6=54i0gb>5<#;j81=hl4n2a2>4=<a8l96=4+3b095c7<f:i:6=54i0d3>5<#;j81=k?4n2a2>4=<g8lo6=4+3b095ce<f:i:6=54o0da>5<#;j81=km4n2a2>4=<g8lj6=4+3b095ce<f:i:6?54o0d:>5<#;j81=km4n2a2>6=<g8l36=4+3b095ce<f:i:6954o0d4>5<#;j81=km4n2a2>0=<g;;<6=4+3b095ce<f:i:6;54o335>5<#;j81=km4n2a2>2=<g;;>6=4+3b095ce<f:i:6554o337>5<#;j81=km4n2a2><=<g;;86=4+3b095ce<f:i:6l54o331>5<#;j81=km4n2a2>g=<g;;:6=4+3b095ce<f:i:6n54o32g>5<#;j81=km4n2a2>a=<g;:96=4+3b095ce<f:i:6h54o0d5>5<#;j81=km4n2a2>c=<g;8;6=4+3b0964`<f:i:6=54o33f>5<#;j81><h4n2a2>4=<g;;o6=4+3b0964`<f:i:6?54o33`>5<#;j81><h4n2a2>6=<g;;i6=4+3b0964`<f:i:6954o33b>5<#;j81><h4n2a2>0=<g;9j6=4+3b0964`<f:i:6;54o31:>5<#;j81><h4n2a2>2=<g;936=4+3b0964`<f:i:6554o314>5<#;j81><h4n2a2><=<g;9=6=4+3b0964`<f:i:6l54o316>5<#;j81><h4n2a2>g=<g;9?6=4+3b0964`<f:i:6n54o313>5<#;j81><h4n2a2>a=<g;8>6=4+3b0964`<f:i:6h54o33:>5<#;j81><h4n2a2>c=<g;9n6=4+3b0966b<f:i:6=54o31`>5<#;j81>>j4n2a2>4=<g;>?6=4+3b09615<f:i:6=54o361>5<#;j81>9=4n2a2>4=<a<>:6=4+3b09116<f:i:6=5G4018?l34n3:1(>m=:463?k5d93;0D9?<;:k67`<72-9h>7;;0:l0g4<532c>?i4?:%1`6?3382d8o<4<;:k623<72-9h>7;95:l0g4<73A>:?65f57694?"4k;0>:85a3b395>N39:10e88<:18'7f4==??0b>m>:39K045<3`?=>7>5$2a1>0023g9h=7=4H530?>o2>80;6)=l2;751>h4k80?76g:6183>!5d:3?=96`<c086?>o2=o0;6)=l2;751>h4k80=76g:5d83>!5d:3?=96`<c084?>{e>?;1<7?:1;294~"39h08o55G56d8L05f3Sn>6<8t17827?7?28>1=84>7;33>10=:l09j7=<:26900<3<38h6?l54281e?4>2:;1??4<0;'7gb=><o0b??7:19m66d=82.9jk4<049'756=;9?0(>?;:79'743=>2.8=;49;%123?0<,:;36;5+30;92>"49h0=7)=>b;48 67d2?1/?<j56:&05`<13-9:j784$203>3=#;;;1:6*<2385?!55;3<0(><::79'770=>2.8>:49;%11<?0<,:826;5+33c92>"4:k0=7)==c;48 64c2?1/??k56:&06c<13-98<784$212>3=#;:81:6*<3285?!54<3<0(>=::79'760=>2.8?:49;%10<?0<,:926;5+32c92>"4;k0=7)=<c;48 65c2?1/?>k56:&07c<13-9?<784$262>3=#;=81:6*<4285?!53<3<0(>:::79'710=>2.88:49;%17<?0<,:>26;5+35c92>"4<k0=7)=;c;48 62c2?1/?9k56:&00c<13-9><784$272>3=#;<81:6*<5285?!52<3<0(>;::79'700=>2.89:49;%16<?0<,:?26;5+34c92>"4=k0=7)=:c;48 63c2?1/?8k56:&01c<13-9=<784$242>3=#;?81:6*<6285?!51<3<0(>8::79'730=>2.8::49;%15<?0<,:<26;5+37c92>"4>k0=7)=9c;48 60c2?1/?;k56:&02c<13-9<<784$252>3=#;>81:6*<7285?!50<3<0(>9::79'720=>2.8;:49;%14<?0<,:=26;5+36c92>"4?k0=7)=8c;48 61c2?1/?:k56:&03c<13-93<784$2:2>3=#;181:6*<8285?!5?<3<0(>6::79'7=0=>2.84:49;%1;<?0<,:226;5+39c92>"40k0=7)=7c;48 6>c2?1/?5k56:&0<c<13-92<784$2;2>3=#;081:6*<9285?!5><3<0(>7::79'7<0=>2.85:49;%1:<?0<,:326;5+38c92>"41k0=7)=6c;48 6?c2?1/?4k56:&0=c<13-9j<784$2c2>3=#;h81:6*<a285?!5f<3<0(>o::49'7d0==2.8m:4<bc9'7f?=;kh0(>mn:2`a?!5dj3>:46*<dc8ea>h4lm0986`<dd810>"38908hk5+41397a`<,=;:6i<4$531>6d<,=;>685+40491>"2?008hn5+56c97ae<f<=i6?:4n45`>72<,:::6>lm;%136?57=2.?<i4<049j`=<722co57>5;nfb>5<<gmh1<75fe`83>>obj3:1D9?<;:kfg?6=@=;876gjf;29?l`7290C8<=4;hd2>5<O<8907bhk:188m7`?2900e?h6:18K045<3`8mm7>5H530?>o5nj0;66g=fe83>M26;21b>kk50;J756=<a:k36=44i2c:>5<<a:o96=44i2g0>5<O<8907d=j4;29L17432c8i84?::k0a=<72A>:?65f3d;94?N39:10e>km:18K045<3`9no7>5H530?>o4ml0;6E:>3:9j7``=83B?=>54i2d7>5<<a:l>6=4G4018?l5a>3:1D9?<;:k0b2<722c8j54?:I627>=n;o31<7F;1298m6`f2900e>hm:18K045<3`9mo7>5H530?>o4nm0;66g<fd83>M26;21b?kh50;J756=<g=:n6=44i7194?"4k;0=>6`<c083?>o193:1(>m=:708j6e62810e;>50;&0g7<1:2d8o<4=;:k6a?6=,:i96;<4n2a2>6=<a<n1<7*<c3856>h4k80?76g:c;29 6e52?80b>m>:498m3e=83.8o?492:l0g4<132c=n7>5$2a1>34<f:i:6:54i7c94?"4k;0=>6`<c08;?>o113:1(>m=:708j6e62010e;650;&0g7<1:2d8o<4n;:k53?6=,:i96;<4n2a2>g=<a?<1<7*<c3856>h4k80h76g95;29 6e52?80b>m>:e98m32=83.8o?492:l0g4<b32c>n7>5$2a1>34<f:i:6k54i6694?"4k;0<?6`<c083?M26;21b;?4?:%1`6?143g9h=7?4H530?>o093:1(>m=:618j6e62;1C8<=4;h53>5<#;j81;>5a3b397>N39:10e;h50;&0g7<0;2d8o<4;;I627>=n>l0;6)=l2;50?k5d93?0D9?<;:k4`?6=,:i96:=4n2a2>3=O<8907d9l:18'7f4=?:1e?n?57:J756=<a>h1<7*<c3847>h4k8037E:>3:9j3d<72-9h>79<;o1`5??<@=;876g89;29 6e52>90b>m>:`9K045<3`=36=4+3b0936=i;j;1n6F;1298m21=83.8o?483:l0g4<d3A>:?65f7783>!5d:3=87c=l1;f8L17432c<97>5$2a1>25<f:i:6h5G4018?l0c290/?n<5729m7f7=n2B?=>54i9694?"4k;03?6`<c083?M26;21b4?4?:%1`6?>43g9h=7?4H530?>o?93:1(>m=:918j6e62;1C8<=4;h:3>5<#;j814>5a3b397>N39:10e:h50;&0g7<?;2d8o<4;;I627>=n0m0;6)=l2;:0?k5d93?0D9?<;:k;g?6=,:i965=4n2a2>3=O<8907d6m:18'7f4=0:1e?n?57:J756=<a1k1<7*<c38;7>h4k8037E:>3:9j<<<72-9h>76<;o1`5??<@=;876g78;29 6e52190b>m>:`9K045<3`2<6=4+3b09<6=i;j;1n6F;1298m=0=83.8o?473:l0g4<d3A>:?65f8483>!5d:3287c=l1;f8L17432c<i7>5$2a1>=5<f:i:6h5G4018?lg0290/?n<5a79m7f7=821bm84?:%1`6?g13g9h=7?4;hc7>5<#;j81m;5a3b396>=ni;0;6)=l2;c5?k5d93907do>:18'7f4=i?1e?n?54:9je5<72-9h>7o9;o1`5?3<3`h;6=4+3b09e3=i;j;1:65fag83>!5d:3k=7c=l1;58?lgb290/?n<5a79m7f7=021bmi4?:%1`6?g13g9h=774;hc`>5<#;j81m;5a3b39e>=nik0;6)=l2;c5?k5d93h07don:18'7f4=i?1e?n?5c:9je<<72-9h>7o9;o1`5?b<3`k36=4+3b09e3=i;j;1i65f9g83>!5d:3k=7c=l1;d8?ld?290/?n<5b69m7f7=82B?=>54ic494?"4k;0i;6`<c082?M26;21bn84?:%1`6?d03g9h=7<4H530?>oe<3:1(>m=:c58j6e62:1C8<=4;h`0>5<#;j81n:5a3b390>N39:10eo<50;&0g7<e?2d8o<4:;I627>=nk80;6)=l2;`4?k5d93<0D9?<;:k`4?6=,:i96o94n2a2>2=O<8907dli:18'7f4=j>1e?n?58:J756=<ako1<7*<c38a3>h4k8027E:>3:9jfa<72-9h>7l8;o1`5?g<@=;876gmc;29 6e52k=0b>m>:c9K045<3`hi6=4+3b09f2=i;j;1o6F;1298mgg=83.8o?4m7:l0g4<c3A>:?65fb883>!5d:3h<7c=l1;g8L17432ci=7>5$2a1>g1<f:i:6k5G4018?le?290/?n<5c69m7f7=82B?=>54ib494?"4k;0h;6`<c082?M26;21bo84?:%1`6?e03g9h=7<4H530?>od<3:1(>m=:b58j6e62:1C8<=4;ha0>5<#;j81o:5a3b390>N39:10ei?50;&0g7<d?2d8o<4:;I627>=nl90;6)=l2;a4?k5d93<0D9?<;:k`b?6=,:i96n94n2a2>2=O<8907dmj:18'7f4=k>1e?n?58:J756=<ajn1<7*<c38`3>h4k8027E:>3:9jgf<72-9h>7m8;o1`5?g<@=;876glb;29 6e52j=0b>m>:c9K045<3`ij6=4+3b09g2=i;j;1o6F;1298mf?=83.8o?4l7:l0g4<c3A>:?65fc383>!5d:3i<7c=l1;g8L17432c:=l4?:%1`6?7612d8o<4?;:k25=<72-9h>7?>9:l0g4<632c:=;4?:%1`6?7612d8o<4=;:k250<72-9h>7?>9:l0g4<432c:=94?:%1`6?7612d8o<4;;:k256<72-9h>7?>9:l0g4<232c:=?4?:%1`6?7612d8o<49;:k254<72-9h>7?>9:l0g4<032c:==4?:%1`6?7612d8o<47;:k24c<72-9h>7?>9:l0g4<>32c:<h4?:%1`6?7612d8o<4n;:k24a<72-9h>7?>9:l0g4<e32c:<o4?:%1`6?7612d8o<4l;:k24d<72-9h>7?>9:l0g4<c32c:<44?:%1`6?7612d8o<4j;:k24=<72-9h>7?>9:l0g4<a32c:<:4?:%1`6?7612d8o<4>0:9j553=83.8o?4>189m7f7=9810e<>;:18'7f4=9830b>m>:008?l77;3:1(>m=:03:?k5d93;876g>0383>!5d:3;:56`<c0820>=n99;1<7*<c3825<=i;j;1=854i002>5<#;j81=<74n2a2>40<3`;9<7>5$2a1>47>3g9h=7?8;:k25c<72-9h>7?>9:l0g4<6021b=<k50;&0g7<6901e?n?51898m47c290/?n<510;8j6e628k07d?>c;29 6e528;27c=l1;3a?>o69k0;6)=l2;32=>h4k80:o65f10594?"4k;0:=45a3b395a=<a8:h6=4+3b0954?<f:i:6<k4;h334?6=,:i96<?6;o1`5?7a32c:?n4?:%1`6?74j2d8o<4?;:k27d<72-9h>7?<b:l0g4<632c:?54?:%1`6?74j2d8o<4=;:k272<72-9h>7?<b:l0g4<432c:?;4?:%1`6?74j2d8o<4;;:k270<72-9h>7?<b:l0g4<232c:?94?:%1`6?74j2d8o<49;:k276<72-9h>7?<b:l0g4<032c:??4?:%1`6?74j2d8o<47;:k274<72-9h>7?<b:l0g4<>32c:?=4?:%1`6?74j2d8o<4n;:k26c<72-9h>7?<b:l0g4<e32c:>i4?:%1`6?74j2d8o<4l;:k26f<72-9h>7?<b:l0g4<c32c:>o4?:%1`6?74j2d8o<4j;:k26d<72-9h>7?<b:l0g4<a32c:>44?:%1`6?74j2d8o<4>0:9j571=83.8o?4>3c9m7f7=9810e<<9:18'7f4=9:h0b>m>:008?l75=3:1(>m=:01a?k5d93;876g>2583>!5d:3;8n6`<c0820>=n9;91<7*<c3827g=i;j;1=854i060>5<#;j81=>l4n2a2>40<3`;?>7>5$2a1>45e3g9h=7?8;:k204<72-9h>7?<b:l0g4<6021b=9>50;&0g7<6;k1e?n?51898m45a290/?n<512`8j6e628k07d?<e;29 6e5289i7c=l1;3a?>o6;m0;6)=l2;30f>h4k80:o65f12;94?"4k;0:?o5a3b395a=<a88n6=4+3b0956d<f:i:6<k4;h316?6=,:i96<=m;o1`5?7a32c:ik4?:%1`6?7bm2d8o<4?;:k2aa<72-9h>7?je:l0g4<632c:in4?:%1`6?7bm2d8o<4=;:k2ad<72-9h>7?je:l0g4<432c:j84?:%1`6?7a<2d8o<4?;:k2b6<72-9h>7?i4:l0g4<632c:j?4?:%1`6?7a<2d8o<4=;:k2b5<72-9h>7?i4:l0g4<432e9==4?:%1`6?47n2d8o<4?;:m14`<72-9h>7<?f:l0g4<632e9<n4?:%1`6?47n2d8o<4=;:m14g<72-9h>7<?f:l0g4<432e9<l4?:%1`6?47n2d8o<4;;:m14<<72-9h>7<?f:l0g4<232e9<54?:%1`6?47n2d8o<49;:m142<72-9h>7<?f:l0g4<032e9<;4?:%1`6?47n2d8o<47;:m140<72-9h>7<?f:l0g4<>32e9<94?:%1`6?47n2d8o<4n;:m146<72-9h>7<?f:l0g4<e32e9<<4?:%1`6?47n2d8o<4l;:m145<72-9h>7<?f:l0g4<c32e:jk4?:%1`6?47n2d8o<4j;:m2b`<72-9h>7<?f:l0g4<a32e:ji4?:%1`6?47n2d8o<4>0:9l5cd=83.8o?4=0g9m7f7=9810c<hn:18'7f4=:9l0b>m>:008?j7a13:1(>m=:32e?k5d93;876a>f983>!5d:38;j6`<c0820>=h9o=1<7*<c3814c=i;j;1=854o334>5<#;j81>=h4n2a2>40<3f8::7>5$2a1>76a3g9h=7?8;:m150<72-9h>7<?f:l0g4<6021d><:50;&0g7<58o1e?n?51898k774290/?n<521d8j6e628k07b<>2;29 6e52;:m7c=l1;3a?>i5980;6)=l2;03b>h4k80:o65`21f94?"4k;09<k5a3b395a=<g;:96=4+3b0965`<f:i:6<k4;n3e2?6=,:i96?>i;o1`5?7a32e9?>4?:%1`6?44:2d8o<4?;:m174<72-9h>7<<2:l0g4<632e9>k4?:%1`6?44:2d8o<4=;:m16`<72-9h>7<<2:l0g4<432e9>i4?:%1`6?44:2d8o<4;;:m16f<72-9h>7<<2:l0g4<232e9>o4?:%1`6?44:2d8o<49;:m16d<72-9h>7<<2:l0g4<032e9>44?:%1`6?44:2d8o<47;:m16=<72-9h>7<<2:l0g4<>32e9>:4?:%1`6?44:2d8o<4n;:m163<72-9h>7<<2:l0g4<e32e9>94?:%1`6?44:2d8o<4l;:m166<72-9h>7<<2:l0g4<c32e9>?4?:%1`6?44:2d8o<4j;:m164<72-9h>7<<2:l0g4<a32e9>=4?:%1`6?44:2d8o<4>0:9l64c=83.8o?4=339m7f7=9810c??k:18'7f4=::80b>m>:008?j46k3:1(>m=:311?k5d93;876a=1c83>!5d:388>6`<c0820>=h:8k1<7*<c38177=i;j;1=854o31b>5<#;j81>><4n2a2>40<3f8857>5$2a1>7553g9h=7?8;:m17=<72-9h>7<<2:l0g4<6021d>>950;&0g7<5;;1e?n?51898k751290/?n<52208j6e628k07b<<5;29 6e52;997c=l1;3a?>i5;=0;6)=l2;006>h4k80:o65`22294?"4k;09??5a3b395a=<g;8>6=4+3b09664<f:i:6<k4;n02=?6=,:i96?==;o1`5?7a32e98<4?:%1`6?4382d8o<4?;:m17c<72-9h>7<;0:l0g4<632e9?h4?:%1`6?4382d8o<4=;:m17f<72-9h>7<;0:l0g4<432e98:4?:%1`6?43>2d8o<4?;:m100<72-9h>7<;6:l0g4<632e9894?:%1`6?43>2d8o<4=;:m107<72-9h>7<;6:l0g4<432e9j;4?:%1`6?4a=2d8o<4?;:m1b1<72-9h>7<i5:l0g4<632e9j>4?:%1`6?4a=2d8o<4=;:m1b7<72-9h>7<i5:l0g4<432e9j<4?:%1`6?4a=2d8o<4;;:m1b5<72-9h>7<i5:l0g4<232e9ik4?:%1`6?4a=2d8o<49;:m1a`<72-9h>7<i5:l0g4<032e8h=4?:%1`6?5dn2d8o<4?;I627>=h;jo1<7*<c380gc=i;j;1=6F;1298k6ec290/?n<53bd8j6e62;1C8<=4;n1ge?6=,:i96>mi;o1`5?5<@=;876a<d983>!5d:39hj6`<c087?>i4l>0;6)=l2;1`b>h4k80>76a<d783>!5d:39hj6`<c085?>i4l<0;6)=l2;1`b>h4k80<76a<d583>!5d:39hj6`<c08;?>i4l:0;6)=l2;1`b>h4k80276a<d383>!5d:39hj6`<c08b?>i4l80;6)=l2;1`b>h4k80i76a<cb83>!5d:39hj6`<c08`?>o2<80;6)=l2;774>h4k80;76g:3g83>!5d:3??<6`<c082?>o2;l0;6)=l2;774>h4k80976g:3e83>!5d:3??<6`<c080?>o2<m0;6)=l2;77g>h4k80;7E:>3:9j11d=83.8o?4:4b9m7f7=92B?=>54i46b>5<#;j8199m4n2a2>7=O<8907d;;9;29 6e52<>h7c=l1;18L17432c>9?4?:%1`6?3292d8o<4?;I627>=n=<:1<7*<c38614=i;j;1=6F;1298m02a290/?n<55438j6e62;1C8<=4;h77a?6=,:i968;>;o1`5?5<@=;876g:6783>!5d:3?=96`<c083?>o2>=0;6)=l2;751>h4k80:76g:6283>!5d:3?=96`<c081?>o2>;0;6)=l2;751>h4k80876g:6083>!5d:3?=96`<c087?>o2>90;6)=l2;751>h4k80>76g:5g83>!5d:3?=96`<c085?>o2=l0;6)=l2;751>h4k80<76g:6g83>!5d:3?=i6`<c083?M26;21b9;j50;&0g7<2>l1e?n?51:J756=<a<<h6=4+3b0913c<f:i:6?5G4018?l31j3:1(>m=:44f?k5d9390D9?<;:k62d<72-9h>7;9e:l0g4<33A>:?65f57;94?"4k;0>:h5a3b391>N39:10e887:18'7f4==?o0b>m>:79K045<3`?=;7>5$2a1>00b3g9h=794H530?>o2?10;6)=l2;743>h4k80;7E:>3:9j120=83.8o?4:769m7f7=92B?=>54i456>5<#;j819:94n2a2>7=O<8907d;84;29 6e52<=<7c=l1;18L17432c>;>4?:%1`6?30?2d8o<4;;I627>=n=>81<7*<c38632=i;j;196F;1298m016290/?n<55658j6e62?1C8<=4;h744?6=,:i96898;o1`5?1<@=;876sm67094?7293:1<v*;1`80g==O=>l0D8=n;[f6>40|9?0:?7?7:06950<6?3;;69852d81b?542:>1884;4;0`>7d=<:09m7<6:23977<483w/?oj564g8j77?291e>>l50:&1bc<48<1/?=>53178 6732?1/?<;56:&053<13-9:;784$23;>3=#;831:6*<1`85?!56j3<0(>?l:79'74b=>2.8=h49;%12b?0<,:8;6;5+33392>"4:;0=7)==3;48 6422?1/??856:&062<13-994784$20:>3=#;;k1:6*<2c85?!55k3<0(><k:79'77c=>2.8>k49;%104?0<,:9:6;5+32092>"4;:0=7)=<4;48 6522?1/?>856:&072<13-984784$21:>3=#;:k1:6*<3c85?!54k3<0(>=k:79'76c=>2.8?k49;%174?0<,:>:6;5+35092>"4<:0=7)=;4;48 6222?1/?9856:&002<13-9?4784$26:>3=#;=k1:6*<4c85?!53k3<0(>:k:79'71c=>2.88k49;%164?0<,:?:6;5+34092>"4=:0=7)=:4;48 6322?1/?8856:&012<13-9>4784$27:>3=#;<k1:6*<5c85?!52k3<0(>;k:79'70c=>2.89k49;%154?0<,:<:6;5+37092>"4>:0=7)=94;48 6022?1/?;856:&022<13-9=4784$24:>3=#;?k1:6*<6c85?!51k3<0(>8k:79'73c=>2.8:k49;%144?0<,:=:6;5+36092>"4?:0=7)=84;48 6122?1/?:856:&032<13-9<4784$25:>3=#;>k1:6*<7c85?!50k3<0(>9k:79'72c=>2.8;k49;%1;4?0<,:2:6;5+39092>"40:0=7)=74;48 6>22?1/?5856:&0<2<13-934784$2::>3=#;1k1:6*<8c85?!5?k3<0(>6k:79'7=c=>2.84k49;%1:4?0<,:3:6;5+38092>"41:0=7)=64;48 6?22?1/?4856:&0=2<13-924784$2;:>3=#;0k1:6*<9c85?!5>k3<0(>7k:79'7<c=>2.85k49;%1b4?0<,:k:6;5+3`092>"4i:0=7)=n4;48 6g22<1/?l855:&0e2<4jk1/?n753c`8 6ef2:hi7)=lb;62<>"4lk0mi6`<de810>h4ll0986*;0180`c=#<9;1?ih4$532>a4<,=;96>l4$536>0=#<8<196*:7880`f=#=>k1?im4n45a>72<f<=h6?:4$222>6de3-9;>7=?5:&74a<48<1bh54?::kg=?6=3fnj6=44oe`94?=nmh0;66gjb;29L17432cno7>5H530?>obn3:17dh?:18K045<3`l:6=4G4018?j`c2900e?h7:188m7`>290C8<=4;h0ee?6=@=;876g=fb83>>o5nm0;6E:>3:9j6cc=83B?=>54i2c;>5<<a:k26=44i2g1>5<<a:o86=4G4018?l5b<3:1D9?<;:k0a0<722c8i54?:I627>=n;l31<7F;1298m6ce290C8<=4;h1fg?6=@=;876g<ed83>M26;21b?hh50;J756=<a:l?6=44i2d6>5<O<8907d=i6;29L17432c8j:4?::k0b=<72A>:?65f3g;94?N39:10e>hn:188m6`e290C8<=4;h1eg?6=@=;876g<fe83>>o4nl0;6E:>3:9j7c`=83B?=>54o52f>5<<a?91<7*<c3856>h4k80;76g91;29 6e52?80b>m>:098m36=83.8o?492:l0g4<532c>i7>5$2a1>34<f:i:6>54i4f94?"4k;0=>6`<c087?>o2k3:1(>m=:708j6e62<10e;m50;&0g7<1:2d8o<49;:k5f?6=,:i96;<4n2a2>2=<a?k1<7*<c3856>h4k80376g99;29 6e52?80b>m>:898m3>=83.8o?492:l0g4<f32c=;7>5$2a1>34<f:i:6o54i7494?"4k;0=>6`<c08`?>o1=3:1(>m=:708j6e62m10e;:50;&0g7<1:2d8o<4j;:k6f?6=,:i96;<4n2a2>c=<a>>1<7*<c3847>h4k80;7E:>3:9j37<72-9h>79<;o1`5?7<@=;876g81;29 6e52>90b>m>:39K045<3`=;6=4+3b0936=i;j;1?6F;1298m3`=83.8o?483:l0g4<33A>:?65f6d83>!5d:3=87c=l1;78L17432c<h7>5$2a1>25<f:i:6;5G4018?l1d290/?n<5729m7f7=?2B?=>54i6`94?"4k;0<?6`<c08;?M26;21b;l4?:%1`6?143g9h=774H530?>o013:1(>m=:618j6e62h1C8<=4;h5;>5<#;j81;>5a3b39f>N39:10e:950;&0g7<0;2d8o<4l;I627>=n??0;6)=l2;50?k5d93n0D9?<;:k41?6=,:i96:=4n2a2>`=O<8907d8k:18'7f4=?:1e?n?5f:J756=<a1>1<7*<c38;7>h4k80;7E:>3:9j<7<72-9h>76<;o1`5?7<@=;876g71;29 6e52190b>m>:39K045<3`2;6=4+3b09<6=i;j;1?6F;1298m2`=83.8o?473:l0g4<33A>:?65f8e83>!5d:3287c=l1;78L17432c3o7>5$2a1>=5<f:i:6;5G4018?l>e290/?n<5829m7f7=?2B?=>54i9c94?"4k;03?6`<c08;?M26;21b444?:%1`6?>43g9h=774H530?>o?03:1(>m=:918j6e62h1C8<=4;h:4>5<#;j814>5a3b39f>N39:10e5850;&0g7<?;2d8o<4l;I627>=n0<0;6)=l2;:0?k5d93n0D9?<;:k4a?6=,:i965=4n2a2>`=O<8907do8:18'7f4=i?1e?n?50:9je0<72-9h>7o9;o1`5?7<3`k?6=4+3b09e3=i;j;1>65fa383>!5d:3k=7c=l1;18?lg6290/?n<5a79m7f7=<21bm=4?:%1`6?g13g9h=7;4;h`3>5<#;j81m;5a3b392>=nio0;6)=l2;c5?k5d93=07doj:18'7f4=i?1e?n?58:9jea<72-9h>7o9;o1`5??<3`kh6=4+3b09e3=i;j;1m65fac83>!5d:3k=7c=l1;`8?lgf290/?n<5a79m7f7=k21bm44?:%1`6?g13g9h=7j4;hc;>5<#;j81m;5a3b39a>=n1o0;6)=l2;c5?k5d93l07dl7:18'7f4=j>1e?n?50:J756=<ak<1<7*<c38a3>h4k80:7E:>3:9jf0<72-9h>7l8;o1`5?4<@=;876gm4;29 6e52k=0b>m>:29K045<3`h86=4+3b09f2=i;j;186F;1298mg4=83.8o?4m7:l0g4<23A>:?65fc083>!5d:3h<7c=l1;48L17432ch<7>5$2a1>g1<f:i:6:5G4018?lda290/?n<5b69m7f7=02B?=>54icg94?"4k;0i;6`<c08:?M26;21bni4?:%1`6?d03g9h=7o4H530?>oek3:1(>m=:c58j6e62k1C8<=4;h`a>5<#;j81n:5a3b39g>N39:10eoo50;&0g7<e?2d8o<4k;I627>=nj00;6)=l2;`4?k5d93o0D9?<;:ka5?6=,:i96o94n2a2>c=O<8907dm7:18'7f4=k>1e?n?50:J756=<aj<1<7*<c38`3>h4k80:7E:>3:9jg0<72-9h>7m8;o1`5?4<@=;876gl4;29 6e52j=0b>m>:29K045<3`i86=4+3b09g2=i;j;186F;1298ma7=83.8o?4l7:l0g4<23A>:?65fd183>!5d:3i<7c=l1;48L17432chj7>5$2a1>f1<f:i:6:5G4018?leb290/?n<5c69m7f7=02B?=>54ibf94?"4k;0h;6`<c08:?M26;21bon4?:%1`6?e03g9h=7o4H530?>odj3:1(>m=:b58j6e62k1C8<=4;hab>5<#;j81o:5a3b39g>N39:10en750;&0g7<d?2d8o<4k;I627>=nk;0;6)=l2;a4?k5d93o0D9?<;:k25d<72-9h>7?>9:l0g4<732c:=54?:%1`6?7612d8o<4>;:k253<72-9h>7?>9:l0g4<532c:=84?:%1`6?7612d8o<4<;:k251<72-9h>7?>9:l0g4<332c:=>4?:%1`6?7612d8o<4:;:k257<72-9h>7?>9:l0g4<132c:=<4?:%1`6?7612d8o<48;:k255<72-9h>7?>9:l0g4<?32c:<k4?:%1`6?7612d8o<46;:k24`<72-9h>7?>9:l0g4<f32c:<i4?:%1`6?7612d8o<4m;:k24g<72-9h>7?>9:l0g4<d32c:<l4?:%1`6?7612d8o<4k;:k24<<72-9h>7?>9:l0g4<b32c:<54?:%1`6?7612d8o<4i;:k242<72-9h>7?>9:l0g4<6821b==;50;&0g7<6901e?n?51098m463290/?n<510;8j6e628807d??3;29 6e528;27c=l1;30?>o68;0;6)=l2;32=>h4k80:865f11394?"4k;0:=45a3b3950=<a88:6=4+3b0954?<f:i:6<84;h314?6=,:i96<?6;o1`5?7032c:=k4?:%1`6?7612d8o<4>8:9j54c=83.8o?4>189m7f7=9010e<?k:18'7f4=9830b>m>:0c8?l76k3:1(>m=:03:?k5d93;i76g>1c83>!5d:3;:56`<c082g>=n98=1<7*<c3825<=i;j;1=i54i02`>5<#;j81=<74n2a2>4c<3`;;<7>5$2a1>47>3g9h=7?i;:k27f<72-9h>7?<b:l0g4<732c:?l4?:%1`6?74j2d8o<4>;:k27=<72-9h>7?<b:l0g4<532c:?:4?:%1`6?74j2d8o<4<;:k273<72-9h>7?<b:l0g4<332c:?84?:%1`6?74j2d8o<4:;:k271<72-9h>7?<b:l0g4<132c:?>4?:%1`6?74j2d8o<48;:k277<72-9h>7?<b:l0g4<?32c:?<4?:%1`6?74j2d8o<46;:k275<72-9h>7?<b:l0g4<f32c:>k4?:%1`6?74j2d8o<4m;:k26a<72-9h>7?<b:l0g4<d32c:>n4?:%1`6?74j2d8o<4k;:k26g<72-9h>7?<b:l0g4<b32c:>l4?:%1`6?74j2d8o<4i;:k26<<72-9h>7?<b:l0g4<6821b=?950;&0g7<6;k1e?n?51098m441290/?n<512`8j6e628807d?=5;29 6e5289i7c=l1;30?>o6:=0;6)=l2;30f>h4k80:865f13194?"4k;0:?o5a3b3950=<a8>86=4+3b0956d<f:i:6<84;h376?6=,:i96<=m;o1`5?7032c:8<4?:%1`6?74j2d8o<4>8:9j516=83.8o?4>3c9m7f7=9010e<=i:18'7f4=9:h0b>m>:0c8?l74m3:1(>m=:01a?k5d93;i76g>3e83>!5d:3;8n6`<c082g>=n9:31<7*<c3827g=i;j;1=i54i00f>5<#;j81=>l4n2a2>4c<3`;9>7>5$2a1>45e3g9h=7?i;:k2ac<72-9h>7?je:l0g4<732c:ii4?:%1`6?7bm2d8o<4>;:k2af<72-9h>7?je:l0g4<532c:il4?:%1`6?7bm2d8o<4<;:k2b0<72-9h>7?i4:l0g4<732c:j>4?:%1`6?7a<2d8o<4>;:k2b7<72-9h>7?i4:l0g4<532c:j=4?:%1`6?7a<2d8o<4<;:m155<72-9h>7<?f:l0g4<732e9<h4?:%1`6?47n2d8o<4>;:m14f<72-9h>7<?f:l0g4<532e9<o4?:%1`6?47n2d8o<4<;:m14d<72-9h>7<?f:l0g4<332e9<44?:%1`6?47n2d8o<4:;:m14=<72-9h>7<?f:l0g4<132e9<:4?:%1`6?47n2d8o<48;:m143<72-9h>7<?f:l0g4<?32e9<84?:%1`6?47n2d8o<46;:m141<72-9h>7<?f:l0g4<f32e9<>4?:%1`6?47n2d8o<4m;:m144<72-9h>7<?f:l0g4<d32e9<=4?:%1`6?47n2d8o<4k;:m2bc<72-9h>7<?f:l0g4<b32e:jh4?:%1`6?47n2d8o<4i;:m2ba<72-9h>7<?f:l0g4<6821d=kl50;&0g7<58o1e?n?51098k4`f290/?n<521d8j6e628807b?i9;29 6e52;:m7c=l1;30?>i6n10;6)=l2;03b>h4k80:865`1g594?"4k;09<k5a3b3950=<g;;<6=4+3b0965`<f:i:6<84;n022?6=,:i96?>i;o1`5?7032e9=84?:%1`6?47n2d8o<4>8:9l642=83.8o?4=0g9m7f7=9010c??<:18'7f4=:9l0b>m>:0c8?j46:3:1(>m=:32e?k5d93;i76a=1083>!5d:38;j6`<c082g>=h:9n1<7*<c3814c=i;j;1=i54o321>5<#;j81>=h4n2a2>4c<3f;m:7>5$2a1>76a3g9h=7?i;:m176<72-9h>7<<2:l0g4<732e9?<4?:%1`6?44:2d8o<4>;:m16c<72-9h>7<<2:l0g4<532e9>h4?:%1`6?44:2d8o<4<;:m16a<72-9h>7<<2:l0g4<332e9>n4?:%1`6?44:2d8o<4:;:m16g<72-9h>7<<2:l0g4<132e9>l4?:%1`6?44:2d8o<48;:m16<<72-9h>7<<2:l0g4<?32e9>54?:%1`6?44:2d8o<46;:m162<72-9h>7<<2:l0g4<f32e9>;4?:%1`6?44:2d8o<4m;:m161<72-9h>7<<2:l0g4<d32e9>>4?:%1`6?44:2d8o<4k;:m167<72-9h>7<<2:l0g4<b32e9><4?:%1`6?44:2d8o<4i;:m165<72-9h>7<<2:l0g4<6821d><k50;&0g7<5;;1e?n?51098k77c290/?n<52208j6e628807b<>c;29 6e52;997c=l1;30?>i59k0;6)=l2;006>h4k80:865`20c94?"4k;09??5a3b3950=<g;9j6=4+3b09664<f:i:6<84;n00=?6=,:i96?==;o1`5?7032e9?54?:%1`6?44:2d8o<4>8:9l661=83.8o?4=339m7f7=9010c?=9:18'7f4=::80b>m>:0c8?j44=3:1(>m=:311?k5d93;i76a=3583>!5d:388>6`<c082g>=h:::1<7*<c38177=i;j;1=i54o306>5<#;j81>><4n2a2>4c<3f8:57>5$2a1>7553g9h=7?i;:m104<72-9h>7<;0:l0g4<732e9?k4?:%1`6?4382d8o<4>;:m17`<72-9h>7<;0:l0g4<532e9?n4?:%1`6?4382d8o<4<;:m102<72-9h>7<;6:l0g4<732e9884?:%1`6?43>2d8o<4>;:m101<72-9h>7<;6:l0g4<532e98?4?:%1`6?43>2d8o<4<;:m1b3<72-9h>7<i5:l0g4<732e9j94?:%1`6?4a=2d8o<4>;:m1b6<72-9h>7<i5:l0g4<532e9j?4?:%1`6?4a=2d8o<4<;:m1b4<72-9h>7<i5:l0g4<332e9j=4?:%1`6?4a=2d8o<4:;:m1ac<72-9h>7<i5:l0g4<132e9ih4?:%1`6?4a=2d8o<48;:m0`5<72-9h>7=lf:l0g4<73A>:?65`3bg94?"4k;08ok5a3b395>N39:10c>mk:18'7f4=;jl0b>m>:39K045<3f9om7>5$2a1>6ea3g9h=7=4H530?>i4l10;6)=l2;1`b>h4k80?76a<d683>!5d:39hj6`<c086?>i4l?0;6)=l2;1`b>h4k80=76a<d483>!5d:39hj6`<c084?>i4l=0;6)=l2;1`b>h4k80376a<d283>!5d:39hj6`<c08:?>i4l;0;6)=l2;1`b>h4k80j76a<d083>!5d:39hj6`<c08a?>i4kj0;6)=l2;1`b>h4k80h76g:4083>!5d:3??<6`<c083?>o2;o0;6)=l2;774>h4k80:76g:3d83>!5d:3??<6`<c081?>o2;m0;6)=l2;774>h4k80876g:4e83>!5d:3??o6`<c083?M26;21b99l50;&0g7<2<j1e?n?51:J756=<a<>j6=4+3b0911e<f:i:6?5G4018?l3313:1(>m=:46`?k5d9390D9?<;:k617<72-9h>7;:1:l0g4<73A>:?65f54294?"4k;0>9<5a3b395>N39:10e8:i:18'7f4==<;0b>m>:39K045<3`??i7>5$2a1>0363g9h=7=4H530?>o2>?0;6)=l2;751>h4k80;76g:6583>!5d:3?=96`<c082?>o2>:0;6)=l2;751>h4k80976g:6383>!5d:3?=96`<c080?>o2>80;6)=l2;751>h4k80?76g:6183>!5d:3?=96`<c086?>o2=o0;6)=l2;751>h4k80=76g:5d83>!5d:3?=96`<c084?>o2>o0;6)=l2;75a>h4k80;7E:>3:9j13b=83.8o?4:6d9m7f7=92B?=>54i44`>5<#;j819;k4n2a2>7=O<8907d;9b;29 6e52<<n7c=l1;18L17432c>:l4?:%1`6?31m2d8o<4;;I627>=n=?31<7*<c3862`=i;j;196F;1298m00?290/?n<557g8j6e62?1C8<=4;h753?6=,:i9688j;o1`5?1<@=;876g:7983>!5d:3?<;6`<c083?M26;21b9:850;&0g7<2?>1e?n?51:J756=<a<=>6=4+3b09121<f:i:6?5G4018?l30<3:1(>m=:454?k5d9390D9?<;:k636<72-9h>7;87:l0g4<33A>:?65f56094?"4k;0>;:5a3b391>N39:10e89>:18'7f4==>=0b>m>:79K045<3`?<<7>5$2a1>0103g9h=794H530?>{t=:n1<7lt^41g?80183?8i63961867a=:>?;199?4=742>05a34<==7;<e:?524<2;m16:;<5553893052<9m70892;70a>;1>;0>?i5rs4c94?2|V<k01;8?:72893062?;01;8=:738yv3?290?wS;7;<454?3b34<==78?;<456?073ty>;7>54z\63>;1>90>h6396086a>;1>;0>i6s|5783>1}Y=?16:;>55b9>237==m16:;<55e9~w03=83>pR8;4=743>3e<5?<:68m4=741>0e<uz??6=4;{_77?80183<i70891;4`?801:3<h7p}:3;290~X2;27=:=49a:?524<1j27=:?49b:p17<72=qU9?5267292<=:>?;1:l5267092d=z{<;1<7:t^43893072?201;8>:7;893052?30q~;?:187[3734<=<788;<455?0?34<=>787;|q20f<72;qU=9m4=743>4cd3ty:8o4?:3y]51d<5?<;6<>8;|q20d<72;qU=9o4=743>4623ty:844?:3y]51?<5?<;6<>;;|q20=<72;qU=964=743>4643ty:8:4?:3y]511<5?<;6<>=;|q203<72;qU=984=743>4663ty:884?:3y]513<5?<;6<<>;|q2=3<72;qU=484=743>4473ty:584?:3y]5<3<5?<;6<kn;|q2=4<72;qU=4?4=743>47a3ty:4;4?:3y]5=0<5?<;6<?j;|q23g<72;qU=:l4=743>47c3ty:;=4?:3y]526<5?<;6<?l;|q220<72;qU=;;4=743>47e3ty:9l4?:3y]50g<5?<;6<?8;|q20c<72;qU=9h4=743>46d3ty:894?:3y]512<5?<;6<>?;|q231<72;qU=::4=742>4ca3ty:;>4?:3y]525<5?<:6<?n;|q237<72;qU=:<4=742>47?3ty:;<4?:3y]527<5?<:6<?9;|q22c<72;qU=;h4=742>4723ty::h4?:3y]53c<5?<:6<?;;|q22a<72;qU=;j4=742>4743ty::n4?:3y]53e<5?<:6<?=;|q22g<72;qU=;l4=742>4763ty::l4?:3y]53g<5?<:6<kk;|q22<<72;qU=;74=742>4773ty::54?:3y]53><5?<:6<>i;|q222<72;qU=;94=742>46b3ty::;4?:3y]530<5?<:6<>k;|q221<72;qU=;:4=742>46e3ty::>4?:3y]535<5?<:6<>n;|q227<72;qU=;<4=742>46>3ty::<4?:3y]537<5?<:6<>7;|q225<72;qU=;>4=742>4cd3ty:9k4?:3y]50`<5?<:6<>8;|q21`<72;qU=8k4=742>4623ty:9i4?:3y]50b<5?<:6<>;;|q21f<72;qU=8m4=742>4643ty:9o4?:3y]50d<5?<:6<>=;|q21<<72;qU=874=742>4663ty:954?:3y]50><5?<:6<<>;|q212<72;qU=894=742>4473ty:9;4?:3y]500<5?<:6<kn;|q210<72;qU=8;4=742>47a3ty:994?:3y]502<5?<:6<?j;|q216<72;qU=8=4=742>47c3ty:9?4?:3y]504<5?<:6<?l;|q214<72;qU=8?4=742>47e3ty:9=4?:3y]506<5?<:6<?8;|q20`<72;qU=9k4=742>46d3ty:8i4?:3y]51b<5?<:6<>?;|q2=1<72;qU=4:4=741>47?3ty:5?4?:3y]5<4<5?<96<?9;|q2=5<72;qU=4>4=741>4723ty:4k4?:3y]5=`<5?<96<?;;|q2<`<72;qU=5k4=741>4743ty:4i4?:3y]5=b<5?<96<?=;|q2<f<72;qU=5m4=741>4763ty:4o4?:3y]5=d<5?<96<>i;|q2<d<72;qU=5o4=741>46b3ty:444?:3y]5=?<5?<96<>k;|q2<=<72;qU=564=741>46e3ty:4:4?:3y]5=1<5?<96<>n;|q2<0<72;qU=5;4=741>46>3ty:494?:3y]5=2<5?<96<>7;|q2<6<72;qU=5=4=741>4623ty:4?4?:3y]5=4<5?<96<>;;|q2<4<72;qU=5?4=741>4643ty:4=4?:3y]5=6<5?<96<>=;|q23c<72;qU=:h4=741>4663ty:;h4?:3y]52c<5?<96<<>;|q23a<72;qU=:j4=741>4473ty:;n4?:3y]52e<5?<96<?j;|q23d<72;qU=:o4=741>47c3ty:;44?:3y]52?<5?<96<?l;|q23=<72;qU=:64=741>47e3ty:;:4?:3y]521<5?<96<?8;|q233<72;qU=:84=741>46d3ty:;84?:3y]523<5?<96<>?;|q0b6<72=qU?k=4=743>6`034<==7=i7:?527<4n>1v4l50;6xZ<d<5?<;6l:4=742>d3<5?<96l;4}r;:>5<3sW3270890;c1?80193k?70892;c7?xu>03:18vP68:?525<f927=:<4n2:?527<f:2wx5:4?:5y]=2=:>?:1m=526739e4=:>?81m<5rs8494?2|V0<01;8?:c2893062h:01;8=:`28yv?2290?wS7:;<454?ga34<==7l?;<456?d73ty287>54z\:0>;1>90ji639608bb>;1>;0jj6s|9283>1}Y1:16:;>5ae9>237=il16:;<5ad9~w<4=83>pR4<4=743>de<5?<:6lj4=741>db<uz3:6=4;{_;2?80183ki70891;c`?801:3kh7p}j5;290~Xb=27=:=4ja:?524<bi27=:?4ja:p6c1=83>pR?h8;<454?4a027=:<4=f99>234=:o20q~kk:187[cc34<=<7ki;<455?ca34<=>7ki;|q1bg<72=qU>kl4=743>7`d34<==7<ic:?527<5nj1v?o<:181[4f;27=:=4=459~w7g52909wS<n2:?525<5:91v?o>:181[4f927=:=4=1d9~w7g72909wS<n0:?525<59m1v?7i:181[4>n27=:=4=1b9~w7?b2909wS<6e:?525<59k1v?7k:181[4>l27=:=4=1`9~w7?d2909wS<6c:?525<5;h1v?kk:181[4bl27=:=4=389~w7cd2909wS<jc:?525<5<;1v?k7:181[4b027=:=4=399~w7bc2909wS<kd:?525<5;>1v?j=:181[4c:27=:=4=379~w7e02909wS<l7:?525<5;<1v?ll:181[4ek27=:=4=359~w7d62909wS<m1:?525<5;91v?o9:181[4f>27=:=4=249~w7?e2909wS<6b:?525<5901v?mm:181[4dj27=:<4=469~w7ef2909wS<la:?524<5;:1v?m6:181[4d127=:<4=309~w7e?2909wS<l8:?524<5:o1v?m9:181[4d>27=:<4=2d9~w7e22909wS<l5:?524<5:m1v?m;:181[4d<27=:<4=2b9~w7e42909wS<l3:?524<5:k1v?m=:181[4d:27=:<4=2`9~w7e62909wS<l1:?524<5<<1v?m?:181[4d827=:<4=289~w7da2909wS<mf:?524<5:11v?lj:181[4em27=:<4=269~w7dc2909wS<md:?524<5:?1v?lm:181[4ej27=:<4=259~w7df2909wS<ma:?524<5::1v?l6:181[4e127=:<4=239~w7d?2909wS<m8:?524<5:81v?l8:181[4e?27=:<4=459~w7d12909wS<m6:?524<5:91v?l::181[4e=27=:<4=1d9~w7d32909wS<m4:?524<59m1v?l<:181[4e;27=:<4=1b9~w7d52909wS<m2:?524<59k1v?l?:181[4e827=:<4=1`9~w7ga2909wS<nf:?524<5;h1v?oj:181[4fm27=:<4=389~w7gc2909wS<nd:?524<5<;1v?ol:181[4fk27=:<4=399~w7ge2909wS<nb:?524<5;>1v?on:181[4fi27=:<4=379~w7g>2909wS<n9:?524<5;<1v?o7:181[4f027=:<4=359~w7g02909wS<n7:?524<5;91v?o::181[4f=27=:<4=249~w7g32909wS<n4:?524<5901v?km:181[4bj27=:?4=309~w7c>2909wS<j9:?527<5:o1v?k8:181[4b?27=:?4=2d9~w7c12909wS<j6:?527<5:m1v?k::181[4b=27=:?4=2b9~w7c32909wS<j4:?527<5:k1v?k<:181[4b;27=:?4=2`9~w7c52909wS<j2:?527<5:11v?k>:181[4b927=:?4=269~w7c72909wS<j0:?527<5:?1v?ji:181[4cn27=:?4=259~w7bb2909wS<ke:?527<5::1v?jl:181[4ck27=:?4=239~w7be2909wS<kb:?527<5:81v?jn:181[4ci27=:?4=1d9~w7b>2909wS<k9:?527<59m1v?j7:181[4c027=:?4=1b9~w7b02909wS<k7:?527<59k1v?j9:181[4c>27=:?4=1`9~w7b22909wS<k5:?527<5;h1v?j;:181[4c<27=:?4=389~w7b42909wS<k3:?527<5;>1v?j>:181[4c927=:?4=379~w7b72909wS<k0:?527<5;<1v?mi:181[4dn27=:?4=359~w7eb2909wS<le:?527<5;91v?mk:181[4dl27=:?4=249~w7ed2909wS<lc:?527<5901v>l7:18683?:39ii63960857>;1>80j;63963857>;1>;0j;6s|fe83>46?s4?3=7=?b:\e`>X38l1U?io4^2f;?[5c?2T8h;5Q3e78Z6b33W9o?6P<d39]7a7<V:ih70890;1f1>;1>90=:63961851>;1>90=86396186f>;1>90jm639618b=>;1>90j4639618:b>;1>90:>4526729571<5?<;6<<9;<454?75=27=:=4>259>236=9;901;8?:060?80183;?>639618204=:>?:1=9>4=743>45a34<=<7?<e:?525<6;m16:;>512;89307288n70890;316>;1>90:j?5267295c6<5?<;688>;<454?31827=:=4:5g9>236==<o01;8?:2g1?801839m86396180bd=:>?:1?kj4^36;?[42;2T99h5Q27;8Z7133W8<j6P=8`9]6<3<V;327S<6a:\10<=Y:=k0R?:m;_07g>X5<m1U>9k4^36e?[42827=:<4<e49>237=>>16:;?5679>237=><16:;?5659>237==k16:;?5ac9>237=ih16:;?5a89>237=i116:;?59g9>237=9:i01;8>:01b?80193;84639608272=:>?;1=>84=742>45234<==7?<4:?524<6;:16:;?512089306289:70891;304>;1>80:>k52673957b<5?<:6<<l;<455?75j27=:<4>2`9>237=9;301;8>:004?80193;9:639608260=:>?;1=?:4=742>44434<==7?;3:?524<6<;16:;?51538930628>;70891;30b>;1>80:?h52673956b<5?<:6<=6;<455?75m27=:<4>239>237=9o?01;8>:0d0?80193;m>6396082b5=:>?;19;84=742>00334<==7;93:?524<2>;16:;?5573893062<<;70891;76b>;1>80>9h526739`==:>?;1h45267397d><5?<:6>o6;<455?5b:27=:<4<f59>237=;ok01;8>:2dg?[4292T99?5Q2468Z7323W8>:6P=569]60><V;?27S<:a:\11g=Y:<i0R?;k;_06b>X5>91U>;?4^341?[41;2T9:95Q2778Z7013W8=;6P=699]63g<V;<i7S<9c:\12a=Y:?o0R?8i;_044>X5?81U>:<4^350?[40=2T9;;5Q2658Z71?34<=>7=j5:?527<69o16:;<51dc8930528:<70892;3fg>;1>;0:==5267095`b<5?<96<?n;<456?7bn27=:?497:?527<1>27=:?495:?527<1<27=:?4:b:?527<fj27=:?4na:?527<f127=:?4n8:?527<>n27=:?4>3b9>234=9:k01;8=:01;?801:3;8;639638273=:>?81=>;4=741>45334<=>7?<3:?527<6;;16:;<512389305289;70892;31b>;1>;0:>i52670957e<5?<96<<m;<456?75i27=:?4>289>234=9;=01;8=:005?801:3;99639638261=:>?81=?=4=741>42434<=>7?;2:?527<6<816:;<515289305289m70892;30a>;1>;0:?i52670956?<5?<96<<j;<456?75:27=:?4>f49>234=9o901;8=:0d1?801:3;m<639638623=:>?819;:4=741>00434<=>7;92:?527<2>816:;<5572893052<?m70892;76a>;1>;0o4639638g=>;1>;08m55267097d?<5?<96>k=;<456?5a<27=:?4<f`9>234=;on0R?96;_04e>X5?k1U>:m4^35g?[40m2T94=5Q2938Z7>53W83?6P=859]6=3<V;2=7S<77:\1<==Y:130R?6m;_0;g>X50m1U>5k4^3:e?[4>82T95<5Q2808Z7?43W8286P=979]6<><uth?nk4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`7f`<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?ni4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`7ff<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?no4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`7`7<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?h<4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`7`5<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?ok4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`7g`<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?9:4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`713<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?984?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`711<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?9>4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`72d<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?:44?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`72=<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?::4?:583>5}#<8k1?o;4H45e?M34i2.8ni495d9j``<722cmj7>5;h63g?6=3f9io7>5;|`723<72=0;6=u+40c97g3<@<=m7E;<a:&0fa<1=l1bhh4?::keb?6=3`>;o7>5;n1ag?6=3th?n;4?:483>5}#<8k1?=64H45e?M34i2.8>94<;hf5>5<<ami1<75ff983>>oak3:17b=l6;29?xd3j<0;684?:1y'04g=;920D89i;I70e>"4:=087dj9:188mae=831bj54?::keg?6=3f9h:7>5;|`7f1<72<0;6=u+40c975><@<=m7E;<a:&061<43`n=6=44iea94?=nn10;66gic;29?j5d>3:17pl;b283>0<729q/8<o531:8L01a3A?8m6*<2580?lb12900eim50;9jb=<722cmo7>5;n1`2?6=3th?n?4?:483>5}#<8k1?=64H45e?M34i2.8>94<;hf5>5<<ami1<75ff983>>oak3:17b=l6;29?xd3j80;684?:1y'04g=;920D89i;I70e>"4:=087dj9:188mae=831bj54?::keg?6=3f9h:7>5;|`7f5<72<0;6=u+40c975><@<=m7E;<a:&061<43`n=6=44iea94?=nn10;66gic;29?j5d>3:17pl;ag83>0<729q/8<o531:8L01a3A?8m6*<2580?lb12900eim50;9jb=<722cmo7>5;n1`2?6=3th?mh4?:483>5}#<8k1?=64H45e?M34i2.8>94<;hf5>5<<ami1<75ff983>>oak3:17b=l6;29?xd3i=0;684?:1y'04g=;920D89i;I70e>"4:=087dj9:188mae=831bj54?::keg?6=3f9h:7>5;|`7e6<72<0;6=u+40c975><@<=m7E;<a:&061<43`n=6=44iea94?=nn10;66gic;29?j5d>3:17pl;a383>0<729q/8<o531:8L01a3A?8m6*<2580?lb12900eim50;9jb=<722cmo7>5;n1`2?6=3th?m<4?:483>5}#<8k1?=64H45e?M34i2.8>94<;hf5>5<<ami1<75ff983>>oak3:17b=l6;29?xd3i90;684?:1y'04g=;920D89i;I70e>"4:=087dj9:188mae=831bj54?::keg?6=3f9h:7>5;|`7=c<72<0;6=u+40c975><@<=m7E;<a:&061<43`n=6=44iea94?=nn10;66gic;29?j5d>3:17pl;9d83>0<729q/8<o531:8L01a3A?8m6*<2580?lb12900eim50;9jb=<722cmo7>5;n1`2?6=3th?5i4?:483>5}#<8k1?=64H45e?M34i2.8>94<;hf5>5<<ami1<75ff983>>oak3:17b=l6;29?xd31j0;684?:1y'04g=;920D89i;I70e>"4:=087dj9:188mae=831bj54?::keg?6=3f9h:7>5;|`7=g<72<0;6=u+40c975g<@<=m7E;<a:&061<>3`n=6=44iea94?=nnj0;66g<c583>>i4k?0;66sm50`94?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi9<o50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e=831<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a14>=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm50594?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi9<850;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e=8?1<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a142=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm50194?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi9<<50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e=9=1<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a150=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm51794?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi9=:50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e=991<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a154=83?1<7>t$53b>66?3A?<j6F:3`9'772=;2co:7>5;hf`>5<<ao21<75ffb83>>i4k?0;66sm51394?3=83:p(9?n:22;?M30n2B>?l5+33697>oc>3:17djl:188mc>=831bjn4?::m0g3<722wi9=>50;794?6|,=;j6>>7;I74b>N2;h1/??:53:kg2?6=3`nh6=44ig:94?=nnj0;66a<c783>>{e<ol1<7;50;2x 17f2::37E;8f:J67d=#;;>1?6gk6;29?lbd2900ek650;9jbf<722e8o;4?::a02d=8391<7>t$53b>6ga3A?<j6F:3`9'772=:81b?=k50;9j75`=831d?om50;9~f1c529086=4?{%62e?5fn2B>;k5G52c8 6432;;0e>>j:188m66a2900c>ll:188yg36n3:187>50z&75d<4j91C9:h4H41b?!55<3nh7d=?e;29?l57n3:17d=>0;29?j5ek3:17pl;7983>2<729q/8<o53c18L01a3A?8m6*<258046=n;9o1<75f31d94?=n;8:1<75f30394?=n;881<75f30194?=h;ki1<75rb53a>5<2290;w):>a;1a5>N2?o1C9>o4$207>74<a::n6=44i22e>5<<a:;;6=44i232>5<<g:hh6=44}c715?6=;3:1<v*;1`80ec=O=>l0D8=n;%110?463-9h?7;63:k04`<722c8<k4?::m0ff<722wi9?<50;194?6|,=;j6>oi;I74b>N2;h1/??:5209'7f5==080e>>j:188m66a2900c>ll:188yg34:3:1?7>50z&75d<4io1C9:h4H41b?!55<38:7)=l3;7:7>o48l0;66g<0g83>>i4jj0;66sm52:94?5=83:p(9?n:2ce?M30n2B>?l5+336964=#;j9194<4i22f>5<<a::m6=44o2``>5<<uk>h57>55;294~"39h08n<5G56d8L05f3-9987:7;h13a?6=3`9;j7>5;h124?6=3`9:=7>5;n1ag?6=3th?o:4?:483>5}#<8k1?o?4H45e?M34i2.8>94;8:k04`<722c8<k4?::k055<722c8=<4?::m0ff<722wi8n;50;794?6|,=;j6>l>;I74b>N2;h1/??:5499j75c=831b?=h50;9j746=831b?<?50;9l7ge=831vn9m<:186>5<7s->:m7=m1:J63c=O=:k0(><;:5:8m66b2900e>>i:188m6772900e>?>:188k6dd2900qo:kc;291?6=8r.?=l4<b09K12`<@<9j7)==4;6;?l57m3:17d=?f;29?l5683:17d=>1;29?j5ek3:17pl;d`83>0<729q/8<o53c38L01a3A?8m6*<2587<>o48l0;66g<0g83>>o4990;66g<1083>>i4jj0;66sm4e:94?3=83:p(9?n:2`2?M30n2B>?l5+33690==n;9o1<75f31d94?=n;8:1<75f30394?=h;ki1<75rb5f5>5<2290;w):>a;1a5>N2?o1C9>o4$207>1><a::n6=44i22e>5<<a:;;6=44i232>5<<g:hh6=44}c655?6==3:1<v*;1`80f4=O=>l0D8=n;%110?2?3`9;i7>5;h13b?6=3`9:<7>5;h125?6=3f9io7>5;|`71c<72<0;6=u+40c97g7<@<=m7E;<a:&061<302c8<h4?::k04c<722c8==4?::k054<722e8nn4?::a00b=83?1<7>t$53b>6d63A?<j6F:3`9'772=<11b?=k50;9j75`=831b?<>50;9j747=831d?om50;9~f13e290>6=4?{%62e?5e92B>;k5G52c8 6432=20e>>j:188m66a2900e>??:188m6762900c>ll:188yg20<3:197>50z&75d<4j81C9:h4H41b?!55<3>37d=?e;29?l57n3:17d=>0;29?l5693:17b=mc;29?xd3?;0;684?:1y'04g=;k;0D89i;I70e>"4:=0?46g<0d83>>o48o0;66g<1183>>o4980;66a<bb83>>{e<>:1<7;50;2x 17f2:h:7E;8f:J67d=#;;>1855f31g94?=n;9l1<75f30294?=n;8;1<75`3ca94?=zj=<n6=4::183!26i39i=6F:7g9K16g<,:8?6964i22f>5<<a::m6=44i233>5<<a:;:6=44o2``>5<<uk>=o7>55;294~"39h08n<5G56d8L05f3-9987:7;h13a?6=3`9;j7>5;h124?6=3`9:=7>5;n1ag?6=3th?4?4?:683>5}#<8k1?o=4H45e?M34i2.8>94<9:k04`<722c8<k4?::k055<722c8=<4?::k057<722c8=>4?::m0ff<722wi85:50;594?6|,=;j6>l<;I74b>N2;h1/??:53`9j75c=831b?=h50;9j746=831b?<?50;9j744=831b?<=50;9l7ge=831vn96>:185>5<7s->:m7=m2:J63c=O=:k0(><;:2:8 6e42<2i7d=?e;29?l57n3:17d=>0;29?l5693:17d=>2;29?j5ek3:17pl;8183>0<729q/8<o53c38L01a3A?8m6*<25803>"4k:0>4o5f31g94?=n;9l1<75f30294?=n;8;1<75`3ca94?=zj=2<6=49:183!26i39i>6F:7g9K16g<,:8?69k4$2a0>0>c3`9;i7>5;h13b?6=3`9:<7>5;h125?6=3`9:>7>5;n1ag?6=3th?4;4?:483>5}#<8k1?o?4H45e?M34i2.8>94;d:&0g6<20m1b?=k50;9j75`=831b?<>50;9j747=831d?om50;9~f11a290?6=4?{%62e?5e82B>;k5G52c8 6432:<0(>m<:4:e?l57m3:17d=?f;29?l5683:17b=mc;29?xd30<0;694?:1y'04g=;k:0D89i;I70e>"4:=0?o6*<c286=4=n;9o1<75f31d94?=n;8:1<75`3ca94?=zj=286=4<:183!26i39jj6F:7g9K16g<,:8?6994$2a0>0?63`9;i7>5;h13b?6=3f9io7>5;|`73`<72:0;6=u+40c97d`<@<=m7E;<a:&061<4=2.8o>4:8g9j75c=831b?=h50;9l7ge=831vn9kl:185>5<7s->:m7=m2:J63c=O=:k0(><;:5g8 6e42<2h7d=?e;29?l57n3:17d=>0;29?l5693:17d=>2;29?j5ek3:17pl;ec83>0<729q/8<o53c38L01a3A?8m6*<2587`>"4k:0>4n5f31g94?=n;9l1<75f30294?=n;8;1<75`3ca94?=zj=oj6=4;:183!26i39i<6F:7g9K16g<,:8?69m4$2a0>0?73`9;i7>5;h13b?6=3`9:<7>5;n1ag?6=3th?i54?:283>5}#<8k1?lh4H45e?M34i2.8>94;7:&0g6<2191b?=k50;9j75`=831d?om50;9~f1ba290<6=4?{%62e?5e;2B>;k5G52c8 6432>1b?=k50;9j75`=831b?<>50;9j747=831b?<<50;9j745=831d?om50;9~f1e6290>6=4?{%62e?5e92B>;k5G52c8 643211b?=k50;9j75`=831b?<>50;9j747=831d?om50;9~f1b3290>6=4?{%62e?5e92B>;k5G52c8 6432=20e>>j:188m66a2900e>??:188m6762900c>ll:188yg2213:197>50z&75d<4j81C9:h4H41b?!55<320e>>j:188m66a2900e>??:188m6762900c>ll:188yg25>3:187>50z&75d<4j91C9:h4H41b?!55<38o7d=?e;29?l57n3:17d=>0;29?j5ek3:17pl;2683>1<729q/8<o53c28L01a3A?8m6*<258g3>o48l0;66g<0g83>>o4990;66a<bb83>>{e<;21<7:50;2x 17f2:h;7E;8f:J67d=#;;>1845f31g94?=n;9l1<75f30294?=h;ki1<75rb50:>5<3290;w):>a;1a4>N2?o1C9>o4$207>1?<a::n6=44i22e>5<<a:;;6=44o2``>5<<uk>9m7>54;294~"39h08n=5G56d8L05f3-9987:6;h13a?6=3`9;j7>5;h124?6=3f9io7>5;|`76g<72=0;6=u+40c97g6<@<=m7E;<a:&061<312c8<h4?::k04c<722c8==4?::m0ff<722wi8?m50;694?6|,=;j6>l?;I74b>N2;h1/??:5489j75c=831b?=h50;9j746=831d?om50;9~f14c290?6=4?{%62e?5e82B>;k5G52c8 6432=30e>>j:188m66a2900e>??:188k6dd2900qo:=e;290?6=8r.?=l4<b19K12`<@<9j7)==4;6:?l57m3:17d=?f;29?l5683:17b=mc;29?xd3m00;6:4?:1y'04g=;k90D89i;I70e>"4:=08<95f31g94?=n;9l1<75f30294?=n;8;1<75f30094?=n;891<75`3ca94?=zj=8m6=4;:183!26i39i<6F:7g9K16g<,:8?6974i22f>5<<a::m6=44i233>5<<g:hh6=44}c6f3?6=?3:1<v*;1`80f6=O=>l0D8=n;%110?2a3`9;i7>5;h13b?6=3`9:<7>5;h125?6=3`9:>7>5;h127?6=3f9io7>5;|`7a3<72?0;6=u+40c97g4<@<=m7E;<a:&061<3j2.8o>4:8`9j75c=831b?=h50;9j746=831b?<?50;9j744=831d?om50;9~f1c2290>6=4?{%62e?5e92B>;k5G52c8 6432=k0(>m<:4:b?l57m3:17d=?f;29?l5683:17d=>1;29?j5ek3:17pl;e583>1<729q/8<o53c28L01a3A?8m6*<2587=>"4k:0>4h5f31g94?=n;9l1<75f30294?=h;ki1<75rb5g0>5<4290;w):>a;1bb>N2?o1C9>o4$207>11<,:i8686j;h13a?6=3`9;j7>5;n1ag?6=3th?;i4?:383>5}#<8k1?lo4H45e?M34i2c8<i4?::m0ff<722wi9>750;094?6|,=;j6>on;I74b>N2;h1b?=j50;9l7ge=831v;87:184[01027>>94<c59>173=;j>018<9:2a7?834;39h863:2e80g1=:=;91j55rs2`;>5<0s4?3>7=me:?65a<4m8169<j53d5891de2mo019mj:eg891342mo01989:eg8yv5e13:1;5u2593975d<5<8?6km4=40`>ce<5<826km4=40b>ce<5<;o6>h>;<72`?32l27>=i4:5c9>14b==<k018?k:47:?836l3?>463:1e8612=:=8n19884=43g>03234?:h7;:4:?65a<2=:169<j51d58907c28o>70;>d;3f7>;29m0:i?5250f95`7<5<;o6<k?;<72`?7cn27>=i4>dd9>14b=9mn018?k:0f`?836l3;on63:1e82`d=:=8n1=i64=43g>4b034?:h7?k6:?65a<6l<169<j51e68907c28n870;>d;3g6>;29m0:h<5250f95a6<5<;o6<mi;<72`?7dl27>=i4>cb9>14b=9jh018?k:0ab?836l3;h563:1e82g==:=8n1=n94=43g>4e134?:h7?l5:?65a<6k=169<j51b08907c28i:70;>d;3`4>;29m0:nk5250f95gc<5<;o6<lk;<72`?7ek27>=i4>bc9>14b=9kk018?k:0`:?836l3;i;63:1e82f3=:=8n1=o;4=43g>4d334?:h7?m3:?65a<6j;169<j51c38907c28h;70;>d;3bb>;29m0:mh5250f95de<5<;o6<om;<72`?7fi27>=i4>a89>14b=9h2018?k:0c4?836l3;j:63:1e82e0=:=8n1=l:4=43g>4g434?:h7?n1:?65a<6i9169<j518d8907c283n70;>d;3:`>;29m0:5n5250f95<d<5<;o6<7n;<72`?7>127>=i4>999>14b=9l3018?k:0g;?836l3;n863:1e82`<=:=8n1=nk4=43g>4e434?:h7?m8:?65a<6im169<j51`08907c283<70;>d;1b=>;29m08m5524c`9bc=:<ki1jk524cf9bc=:<ko1jk524cd9bc=:<jo1jk524bd9bc=:<m:1jk524e39bc=:<m81jk524419bc=:<<>1jk524479bc=:<<<1jk524459bc=:<?<1jk524759bc=:<?21jk5247;9bc=:<?k1jk5rs5g2>5<5sW<>m63;e080g3=z{==26=4i{<64=?5d>27?;o4<0g9>04d=;8;019<9:233?825039;j63;28804c=:<;k1?=h4=50a>66a34>9o7=?f:?76a<48o168?k531d8914a2::m70;>f;124>;3?108=<524359746<uz><m7>52z\511=:<>k1?n84}r60f?6=<rT>m<5245797f0<5=;o6kh4=505>66a3ty??n4?:5y]1d4<5=>=6>m9;<62a?`a34>9;7=?f:p06b=83>pR8o<;<673?5d>27?=k4if:?76=<48l1v9=j:187[3f<27?854<c79>076=no168?7531g8yv24n3:18vP:a49>01?=;j<019<>:gd8914f2::n7p};4183>1}Y=h<019:n:2a5?825:3lm70:=b;13a>{t<=;1<7:t^4c4?823j39h:63;228eb>;3:j08<h5rs561>5<3sW?j463;4b80g3=:<;>1jk5243f975c<uz>??7>54z\6e<=:<=n1?n84=506>c`<5=8n6>>j;|q701<72:qU9ll4=56f>6e134>9j7=?e:p257=838pR;>>;<72`?4>j2wx:=m50;0xZ36d34?:h7<n6:p241=838pR;?8;<72`?4e92wx:?<50;0xZ34534?:h7<mc:p27b=838pR;<k;<72`?4d?2wx:>650;0xZ35?34?:h7<k2:p215=838pR;:<;<72`?4cl2wx:9k50;0xZ32b34?:h7<j8:p204=838pR;;=;<72`?4bk2wx:8=50;0xZ33434?:h7<jd:p254=838pR;>=;<72`?4>k2wx:==50;0xZ36434?:h7<6d:p252=838pR;>;;<72`?4>m2wx:=;50;0xZ36234?:h7<6f:p250=838pR;>9;<72`?4f82wx:=950;0xZ36034?:h7<n1:p25>=838pR;>7;<72`?4f:2wx:=750;0xZ36>34?:h7<n3:p25g=838pR;>n;<72`?4f<2wx:=l50;0xZ36e34?:h7<n5:p25b=838pR;>k;<72`?4f?2wx:=k50;0xZ36b34?:h7<n8:p25`=838pR;>i;<72`?4f12wx:<>50;0xZ37734?:h7<na:p247=838pR;?>;<72`?4fj2wx:<<50;0xZ37534?:h7<nc:p245=838pR;?<;<72`?4fl2wx:<:50;0xZ37334?:h7<ne:p243=838pR;?:;<72`?4fn2wx:<850;0xZ37134?:h7<m0:p24>=838pR;?7;<72`?4e:2wx:<750;0xZ37>34?:h7<m3:p24g=838pR;?n;<72`?4e<2wx:<l50;0xZ37e34?:h7<m5:p24e=838pR;?l;<72`?4e>2wx:<j50;0xZ37c34?:h7<m7:p24c=838pR;?j;<72`?4e02wx:<h50;0xZ37a34?:h7<m9:p276=838pR;<?;<72`?4ei2wx:??50;0xZ34634?:h7<mb:p275=838pR;<<;<72`?4el2wx:?:50;0xZ34334?:h7<me:p273=838pR;<:;<72`?4en2wx:?850;0xZ34134?:h7<l0:p271=838pR;<8;<72`?4d92wx:?650;0xZ34?34?:h7<l2:p27?=838pR;<6;<72`?4d;2wx:?o50;0xZ34f34?:h7<l4:p27d=838pR;<m;<72`?4d=2wx:?m50;0xZ34d34?:h7<l6:p27c=838pR;<j;<72`?4d02wx:?h50;0xZ34a34?:h7<l9:p266=838pR;=?;<72`?4di2wx:>?50;0xZ35634?:h7<lb:p264=838pR;==;<72`?4dk2wx:>=50;0xZ35434?:h7<ld:p262=838pR;=;;<72`?4dm2wx:>;50;0xZ35234?:h7<lf:p260=838pR;=9;<72`?4c82wx:>950;0xZ35034?:h7<k1:p26?=838pR;=6;<72`?4c;2wx:>o50;0xZ35f34?:h7<k4:p26d=838pR;=m;<72`?4c=2wx:>m50;0xZ35d34?:h7<k6:p26b=838pR;=k;<72`?4c?2wx:>k50;0xZ35b34?:h7<k8:p26`=838pR;=i;<72`?4c12wx:9>50;0xZ32734?:h7<ka:p217=838pR;:>;<72`?4cj2wx:9<50;0xZ32534?:h7<kc:p212=838pR;:;;<72`?4cm2wx:9;50;0xZ32234?:h7<kf:p210=838pR;:9;<72`?4b82wx:9950;0xZ32034?:h7<j1:p21>=838pR;:7;<72`?4b:2wx:9750;0xZ32>34?:h7<j3:p21g=838pR;:n;<72`?4b<2wx:9l50;0xZ32e34?:h7<j5:p21e=838pR;:l;<72`?4b>2wx:9j50;0xZ32c34?:h7<j7:p21`=838pR;:i;<72`?4b12wx:8?50;0xZ33634?:h7<jb:p173=83>p19k?:2a7?82b939h863:278eg>;2:<08o;5rs400>5<5s4?9?7=l6:?7`c<48o1v8<7:1814~;3<<0m463;478e<>;3<>0m463;498e<>;3<00m463;4`8e<>;3<k0m463;4b8e<>;3<m0m463;4d8e<>;3?008o95246c97f2<5<8j6>m9;<6:f?5d<27?5n4i8:?7=a<a027?5h4i8:?7=c<a027?m=4i8:?7e4<a027?m?4i8:?7e6<a027?m94i8:?7e`<a027?mk4i8:?7f5<a027?n<4i8:?7f7<a027?n>4i8:?7f1<a027?n84i8:?7f3<a02wx9?l50;37835k39h:63;fg8e<>;2890m463:008e<>;28;0m463:028e<>;28=0m463:048e<>;28?0m463:068e<>;29;0m463:128e<>;29=0m463:148e<>;29?0m463:168e<>;2910m463:188e<>;29h0m463:1c8e<>{t>?o1<7;t^74f?82b:39;i63;1c804`=:<>21?=k4=5fe>66b3ty=:94?:gy]232<5==i6>>j;<62f?56827?>54<119>07?=;8:019<n:233?825j39:<63;2b8055=:<;n1?<>4=50f>67734>9j7=>0:?65c<48l168:6531d891412::n70:=7;13a>{t=8o1<7<t=43g>7`e34?:j7=mc:p176=83;mw0;>d;77<>;29m0>8;5250f9113<5<;o68:;;<72`?33;27>=i4:439>14b===;018?k:41e?836l3?8i63:1e867a=:=8n1>k94=5de>ae<5<:;6im4=422>ae<5<:96im4=420>ae<5<:?6im4=426>ae<5<:=6im4=424>ae<5<;96im4=430>ae<5<;?6im4=436>ae<5<;=6im4=434>ae<5<;36im4=43:>ae<5<;j6im4=43a>ae<5=o96>ll;|q6=c<72=>pR87i;<671?b134>?:7j9;<673?b134>?47j9;<67=?b134>?m7j9;<67f?b134>?o7j9;<67`?b134>?i7j9;<64=?b134><m7j9;<6f4?b134>n=7j9;<710?b134?897j9;<71g?b134?997j9;<702?b134?9:7j9;<707?b134?9?7j9;<71b?b134?957j9;<71e?b134?8<7j9;<71`?b134?:h7k:;<72`?cc34>2n7j9;<6:g?b134>2h7j9;<6:a?b134>2j7j9;<6b4?b134>j=7j9;<6b6?b134>j?7j9;<6b0?b134>ji7j9;<6bb?b134>i<7j9;<6a5?b134>i>7j9;<6a7?b134>i87j9;<6a1?b134>i:7j9;<6eb?b134?;<7j9;<735?b134?;>7j9;<737?b134?;87j9;<731?b134?;:7j9;<733?b134?:>7j9;<727?b134?:87j9;<721?b134?::7j9;<723?b134?:47j9;<72=?b134?:m7j9;<72f?b13ty?m84?:4y>14b=1;168lk53b4891e62::n70::9;13a>;3l=08<h5rs5c5>5<2s4?:h77<;<6bb?5d>27?o>4<119>0a0=;8:019;m:233?xu3i>0;68u250f9=1=:<k:1?n84=5a0>66b34>o:7=?e:?71g<48l1v9o7:186836l33>70:m1;1`2>;3k<08==524e:9746<5=?o6>??;|q7e<<72<q69<j5979>0g4=;j<019m::22f?82c039;i63;5e804`=z{=kj6=4:{<72`??034>i?7=l6:?7g2<499168io53028913a2:;;7p};ac83>0}:=8n155524c697f0<5=i<6>>j;<6ge?57m27?9k4<0d9~w1gd290>w0;>d;;:?82e=39h:63;c88055=:<mi1?<>4=542>6773ty?mi4?:4y>14b=1k168o853b4891e>2::n70:kc;13a>;3>808<h5rs404>5<4s4?957=l6:?65a<4n:169<h531d8yv3fm3:1>vP:ad9>14b=9=>0q~;m9;296~X2j0169<j515d8yv3d<3:1>vP:c59>14b=9<k0q~;lf;296~X2ko169<j51778yv3ci3:1>vP:d`9>14b=9>:0q~;j5;296~X2m<169<j516`8yv3a83:1>vP:f19>14b=91<0q~;ib;296~X2nk169<j51838yv3an3:1>vP:fg9>14b=90?0q~8?0;296~X189169<j51848yv3fn3:1>vP:ag9>14b=9=?0q~;m0;296~X2j9169<j51548yv3e93:1>vP:b09>14b=9==0q~;m2;296~X2j;169<j515:8yv3e;3:1>vP:b29>14b=9=30q~;m4;296~X2j=169<j515c8yv3e=3:1>vP:b49>14b=9=h0q~;m6;296~X2j?169<j515a8yv3e?3:1>vP:b69>14b=9=n0q~;m8;296~X2j1169<j515g8yv3ei3:1>vP:b`9>14b=9<:0q~;mb;296~X2jk169<j51438yv3ek3:1>vP:bb9>14b=9<80q~;md;296~X2jm169<j51418yv3em3:1>vP:bd9>14b=9<>0q~;mf;296~X2jo169<j51478yv3d83:1>vP:c19>14b=9<<0q~;l1;296~X2k8169<j51458yv3d:3:1>vP:c39>14b=9<20q~;l3;296~X2k:169<j514;8yv3d=3:1>vP:c49>14b=9<h0q~;l6;296~X2k?169<j514a8yv3d?3:1>vP:c69>14b=9<n0q~;l8;296~X2k1169<j514g8yv3d13:1>vP:c89>14b=9<l0q~;la;296~X2kh169<j51728yv3dj3:1>vP:cc9>14b=9?;0q~;lc;296~X2kj169<j51708yv3dl3:1>vP:ce9>14b=9?90q~;le;296~X2kl169<j51768yv3c83:1>vP:d19>14b=9?<0q~;k1;296~X2l8169<j51758yv3c:3:1>vP:d39>14b=9?20q~;k3;296~X2l:169<j517;8yv3c<3:1>vP:d59>14b=9?k0q~;k5;296~X2l<169<j517`8yv3c>3:1>vP:d79>14b=9?i0q~;k7;296~X2l>169<j517f8yv3c03:1>vP:d99>14b=9?o0q~;k9;296~X2l0169<j517d8yv3cj3:1>vP:dc9>14b=9>;0q~;kc;296~X2lj169<j51608yv3cl3:1>vP:de9>14b=9>90q~;ke;296~X2ll169<j51668yv3cn3:1>vP:dg9>14b=9>?0q~;j0;296~X2m9169<j51648yv3b93:1>vP:e09>14b=9>=0q~;j2;296~X2m;169<j516:8yv3b;3:1>vP:e29>14b=9>30q~;j4;296~X2m=169<j516c8yv3b>3:1>vP:e79>14b=9>i0q~;j7;296~X2m>169<j516f8yv3b03:1>vP:e99>14b=9>o0q~;j9;296~X2m0169<j516d8yv3bi3:1>vP:e`9>14b=91:0q~;jb;296~X2mk169<j51938yv3bk3:1>vP:eb9>14b=9180q~;jd;296~X2mm169<j51918yv3bm3:1>vP:ed9>14b=91>0q~;jf;296~X2mo169<j51978yv3a93:1>vP:f09>14b=91=0q~;i2;296~X2n;169<j519:8yv3a;3:1>vP:f29>14b=9130q~;i4;296~X2n=169<j519c8yv3a=3:1>vP:f49>14b=91h0q~;i6;296~X2n?169<j519a8yv3a?3:1>vP:f69>14b=91n0q~;i8;296~X2n1169<j519g8yv3a13:1>vP:f89>14b=91l0q~;ia;296~X2nh169<j51828yv3ak3:1>vP:fb9>14b=9080q~;ie;296~X2nl169<j51868yv3703:1hv3:1e864>;29;08o;5247a9747<5=i:6>?>;<66=?56927?i44<119>0`1=;89019k9:22e?82b=39;j63;e5804c=:<l91?=h4=41:>66c34>o87=>1:p15?=83?p18?k:43890742:i=70:9c;13b>;3k808<k5244;975`<uz?;m7>55z?65a<2:27>=94<c79>0f5=;8;019;m:232?821m39:=6s|51`94?3|5<;o68=4=436>6e134>h?7=?f:?71g<48o168;k531d8yv37k3:19v3:1e860>;29?08o;524b79747<5=?o6>?>;<644?5692wx9=j50;7x907c2<?018?8:2a5?82d=39;j63;5e804c=:<>:1?=h4}r73a?6==r7>=i4:6:?65=<4k?168n953038913a2:;:70:82;125>{t=9l1<7;t=43g>01<5<;26>m9;<6`3?57n27?9k4<0g9>024=;9l0q~;>0;291~;29m0>463:1`80g3=:<j31?<?4=542>67634><87=>1:p147=83?p18?k:4c8907e2:i=70:l9;13b>;3>808<k52466975`<uz>347>5cz?7=g<4k?168;m5302891>52::m70:74;125>;30808<k52492975`<5==m6>>i;<64a?57n27?o<4<119>00?=;8:0199k:22g?82c<39:<6s|49;94??|5=3h6>m9;<6ba?`d34>=o7=?e:?7<7<4991685:5300891>62:;;70:70;124>;3?o08==5246g975c<uz>3m7>58z?7=a<4k?168lh5fb9>03c=;8:0196=:232?82?<39:<63;808054=:<1:1?<?4=55e>66b3ty?4o4?:6y>0<c=;j<019l?:ga8910b2::n70:72;126>;30=08<h524939744<5=2;6>>j;|q7<f<72?q684h53b4891d62oi0199?:233?82?:39:?63;858056=:<1;1?=k4}r6;`?6==r7?m=4<c79>0g4=nj168:>531g891>52::n70:74;13b>{t<1o1<79t=5c2>6e134>i?7hl;<646?56827?4>4<0d9>0=1=;8;01969:233?82?=39;j6s|49d94?0|5=k96>m9;<6a0?`d34><>7=?e:?7<2<4991685;531g891>12::m7p};9183>0}:<h91?n84=5`6>ce<5==?6>??;<6;2?57m27?4:4<0g9~w1?6290?w0:n4;1`2>;3j?0mo63;75804`=:<1=1?=k4}r62g?6=jr7?884kc:?703<ck27?8:4kc:?70=<ck27?844kc:?70d<ck27?8o4kc:?70f<ck27?8i4kc:?70`<ck27?=o4<bb9~w07d2909w0;>2;d`?834139io6s|4df94??|5=lm6>m9;<727?`d34>n57=>2:?7a2<499168h85302891c22:;;70:j4;124>;3m:08<h524e6975`<uz>ni7>58z?645<4k?169<:5fb9>0a0=;8;019k6:232?82b?39:=63;e78054=:<l?1?<?4=5g7>66b3ty?ik4?:6y>157=;j<018?::ga891b12::m70:j9;13a>;3m>08=?524d49744<5=o>6>>j;|q7b5<72?q69=<53b4890712oi019j7:232?82b139:?63;e6804c=:<l<1?=k4}r6e5?6==r7><>4<c79>141=nj168i6531d891c>2::m70:j7;13a>{t<o81<79t=427>6e134?:47hl;<6ge?56927?i54<0d9>0`e=;8;019km:233?82bi39;j6s|4g194?0|5<:>6>m9;<72=?`d34>om7=?f:?7af<499168ho531g891ce2::m7p};f583>0}:=9<1?n84=43b>ce<5=nh6>?>;<6ff?57m27?in4<0g9~w1`2290?w0;?7;1`2>;29k0mo63;db804c=:<li1?=k4}r6f4?6==r7?i=4<c79>0`4=;9l019?m:22e?820039:<63;dg8055=z{=:96=4={<671?`d34>8<7=mc:p055=838p19:9:ga891562:hh7p};0583>7}:<==1jn5242097ge<uz>;97>52z?70=<ak27??>4<bb9~w1612909w0:;9;d`?824<39io6s|41594?4|5=>j6km4=516>6dd3ty?<54?:3y>01d=nj168>853ca8yv2713:1>v3;4b8eg>;3;>08nn5rs52b>5<5s4>?h7hl;<60<?5ek2wx8=l50;0x912b2oi019=6:2``?xu3;h0;6<9t=5;a>ae<5=3h6im4=5;g>ae<5=3n6im4=5;e>ae<5=k;6im4=5c2>ae<5=k96im4=5c0>ae<5=k?6im4=5cf>ae<5=km6im4=5`3>ae<5=h:6im4=5`1>ae<5=h86im4=5`7>ae<5=h>6im4=5`5>ae<5==i6>ll;<62`?bb34>8<7jj;<6gb?5692wx8?850;1x917c2=:h70:<0;1bf>;3:?08nn5rs53g>5<4s4>:h7=mc:?75`<cm27??<4ke:p071=839p19?j:52`?824939jn63;2680ff=z{=;n6=4<{<62a?5ek27?=k4ke:?777<cm2wx8?650;1x917a2=:h70:<2;1bf>;3:108nn5rs53e>5<4s4>:j7=mc:?765<cm27??>4ke:p07?=839p19<?:52`?824;39jn63;2880ff=z{=8;6=4<{<614?5ek27?><4ke:?771<cm2wx8?o50;1x91462=:h70:<4;1bf>;3:h08nn5rs502>5<4s4>9=7=mc:?767<cm27??84ke:p07d=839p19<=:52`?824=39jn63;2c80ff=z{=896=4<{<616?5ek27?>>4ke:?773<cm2wx8?m50;1x91442=:h70:<6;1bf>;3:j08nn5rs500>5<4s4>9?7=mc:?761<cm27??:4ke:p07b=839p19<;:52`?824?39jn63;2e80ff=z{=8?6=4<{<610?5ek27?>84ke:?77=<cm2wx8?k50;1x91422=:h70:<8;1bf>;3:l08nn5rs506>5<5s4>997=mc:?77<<cm2wx8?h50;0x915>2:ki70:=f;1ag>{t<>=1<7=t=55:>ce<5==j6km4=55;>6dd3ty?;;4?:3y>03g=;ki01997:230?xu3?<0;6?u244597ge<5==36>?=;|q7`c<72:q68h>5fb9>0`7=nj168ih53ca8yv2cm3:1>v3;d380ff=:<ml1?<=4}r6g`?6=:r7?nk4<bb9>0a`=;880q~;=e;296~;2:m0mo63:2080ff=z{<9:6=4<{<71=?5d<27>>l4<c59>164=;ki0q~;<4;296~;2;:0mo63:2380ff=z{<9<6=4={<71g?5d<27>?54<bb9~w0572909w0;<0;1`2>;2;;08<k5rs40e>5<4s4?8<7hl;<71b?5d>27>><4<0g9~w04c290?w0;=f;d`?835l39h:63:20804`=:=:81?=k4}r702?6=:r7>?;4<c79>16>=;9l0q~;<5;297~;2;?0mo63:3480g3=:=;81?=h4}r707?6=<r7>?84ic:?676<4k?169?<531g8905?2::n7p}:2783>7}:=;91jn5253497f0<uz?987>52z?660<ak27>>94<c79~w1df2909w0:me;1ag>;3jo0oi6s|4c;94?4|5=ho6>ll;<6aa?bb3ty?n54?:3y>0ge=;ki019lk:eg8yv2e?3:1>v3;bc80ff=:<ki1hh5rs5a;>5<5s4>ij7:?c:?7g<<4jj1v9m9:18182em3>;o63;c680ff=z{=i?6=4={<6a`?27k27?o84<bb9~w1e52909w0:mc;63g>;3k:08nn5rs5a3>5<5s4>in7:?c:?7g4<4jj1v9mk:18182c939io63;d38ga>{t<ji1<7<t=5f3>6dd34>o=7jj;|q7gg<72;q68nh53ca891b72mo0q~:la;296~;3kl08nn524bd9``=z{=ni6=4={<6g6?27k27?hn4<bb9~w1b>2909w0:k1;63g>;3lh08nn5rs5f4>5<5s4>o<7:?c:?7`=<4jj1v9j::18182dn3>;o63;d780ff=z{=n86=4={<6`a?27k27?h94<bb9~w1352909w0::6;1ag>;3=>0oi6s|44394?4|5=?>6>ll;<662?bb3ty?9=4?:3y>002=;ki019;::eg8yv23n3:1>v3;5280ff=:<<>1hh5rs543>5<5s4>>;7:?c:?724<4jj1v9;j:181822>3>;o63;5g80ff=z{=?h6=4={<661?27k27?9i4<bb9~w13f2909w0::4;63g>;3=k08nn5rs57;>5<5s4>>?7:?c:?71<<4jj1v98::181821139io63;6`8ga>{t<?>1<7<t=54;>6dd34>=57jj;|q726<72;q68;953ca8910?2mo0q~:92;296~;3>?08nn524759``=z{==86=4={<65e?27k27?;94<bb9~w1162909w0:99;63g>;3?;08nn5rs54e>5<5s4>=47:?c:?735<4jj1v98k:181821?3>;o63;6d80ff=z{=<i6=4={<652?27k27?:n4<bb9~w1>4290>w0:74;1ag>;30:08<k524959744<5=2=6>?>;<6;1?5682wx84<50;0x91?d2oi0199j:2``?xu31:0;6?u248f9bf=:<>l1?om4}r6:0?6=:r7?5h4ic:?7<5<4jj1v97::18182>n3lh70:71;1ag>{t<0<1<7<t=5c3>ce<5=296>ll;|q7=2<72;q68l?5fb9>0=5=;ki0q~:68;296~;3i;0mo63;8480ff=z{=326=4={<6b7?`d34>3:7=mc:p0<g=838p19o;:ga891>02:hh7p};e983>0}:<l21?=h4=5g:>6dd34>no7=>2:?7ag<498168ho53028yv2a>3:1>v3;fg8eg>;3m:08nn5rs5d4>5<5s4?;<7hl;<6f0?5ek2wx8k650;0x90662oi019k::2``?xu3n00;6?u25109bf=:<l<1?om4}r6ee?6=:r7><>4ic:?7a2<4jj1v9hm:181837<3lh70:j8;1ag>{t<oi1<7<t=426>ce<5=oj6>ll;|q7ba<72;q69=85fb9>0`d=;ki0q~:ie;296~;28>0mo63;eb80ff=z{==h6=4<{<72`??634>2n7hl;<64`?5ek2wvb4l7:182M34i2we5o750;3xL05f3td2nl4?:0yK16g<ug3in7>51zJ67d=zf0hh6=4>{I70e>{i1kn1<7?tH41b?xh>jl0;6<uG52c8yk?en3:1=vF:3`9~j<e7290:wE;<a:m=f7=83;pD8=n;|l:g7<728qC9>o4}o;`7?6=9rB>?l5rn8a7>5<6sA?8m6sa9b794?7|@<9j7p`6c783>4}O=:k0qc7l7;295~N2;h1vb4m7:181M34i2we5n750;0xL05f3td2ol4?:3yK16g<ug3hn7>52zJ67d=zf0ih6=4={I70e>{i1jn1<7<tH41b?xh>kl0;6?uG52c8yk?dn3:1>vF:3`9~j<b72909wE;<a:m=a7=838pD8=n;|l:`7<72;qC9>o4}o;g7?6=:rB>?l5rn8f7>5<5sA?8m6sa9e794?4|@<9j7p`6d783>7}O=:k0qc7k7;296~N2;h1vb4j7:181M34i2we5i750;0xL05f3td2hl4?:3yK16g<ug3on7>52zJ67d=zf0nh6=4={I70e>{i1mn1<7<tH41b?xh>ll0;6?uG52c8yk?cn3:1>vF:3`9~j<c72909wE;<a:m=`7=838pD8=n;|l:a7<72;qC9>o4}o;f7?6=:rB>?l5rn8g7>5<5sA?8m6sa9d794?4|@<9j7p`6e783>7}O=:k0qc7j7;296~N2;h1vb4k7:181M34i2we5h750;0xL05f3td2il4?:3yK16g<ug3nn7>52zJ67d=zf0oh6=4={I70e>{i1ln1<7<tH41b?xh>ml0;6?uG52c8yk?bn3:1>vF:3`9~j<`72909wE;<a:m=c7=838pD8=n;|l:b7<72;qC9>o4}o;e7?6=:rB>?l5rn8d7>5<5sA?8m6sa9g794?4|@<9j7p`6f783>7}O=:k0qc7i7;296~N2;h1vb4h7:181M34i2we5k750;0xL05f3td2jl4?:3yK16g<ug3mn7>52zJ67d=zf0lh6=4={I70e>{i1on1<7<tH41b?xh>nl0;6?uG52c8yk?an3:1>vF:3`9~jd672909wE;<a:me57=838pD8=n;|lb47<72;qC9>o4}oc37?6=:rB>?l5rn`27>5<5sA?8m6saa1794?4|@<9j7p`n0783>7}O=:k0qco?7;296~N2;h1vbl>7:181M34i2wem=750;0xL05f3tdj<l4?:3yK16g<ugk;n7>52zJ67d=zfh:h6=4={I70e>{ii9n1<7<tH41b?xhf8l0;6?uG52c8ykg7n3:1>vF:3`9~jd772909wE;<a:me47=838pD8=n;|lb57<72;qC9>o4}oc27?6=:rB>?l5rn`37>5<5sA?8m6saa0794?4|@<9j7p`n1783>7}O=:k0qco>7;296~N2;h1vbl?7:181M34i2wem<750;0xL05f3tdj=l4?:0yK16g<ugk:n7>51zJ67d=zfh;h6=4>{I70e>{ii8n1<7?tH41b?xhf9l0;6<uG52c8ykg6n3:1=vF:3`9~jd472909wE;<a:me77=839pD8=n;|lb67<72:qC9>o4}oc17?6=:rB>?l5rn`07>5<5sA?8m6saa3794?4|@<9j7p`n2783>7}O=:k0qco=7;296~N2;h1vbl<7:181M34i2wem?750;0xL05f3tdj>l4?:3yK16g<ugk9n7>52zJ67d=zfh8h6=4={I70e>{ii;n1<7<tH41b?xhf:l0;6?uG52c8ykg5n3:1>vF:3`9~jd572909wE;<a:me67=838pD8=n;|lb77<72;qC9>o4}oc07?6=:rB>?l5rn`17>5<5sA?8m6saa2794?4|@<9j7p`n3783>7}O=:k0qco<7;296~N2;h1vbl=7:181M34i2wem>750;0xL05f3tdj?l4?:3yK16g<ugk8n7>52zJ67d=zfh9h6=4={I70e>{ii:n1<7<tH41b?xhf;l0;6?uG52c8ykg4n3:1>vF:3`9~jd272909wE;<a:me17=838pD8=n;|lb07<72;qC9>o4}oc77?6=:rB>?l5rn`67>5<5sA?8m6saa5794?4|@<9j7p`n4783>7}O=:k0qco;7;296~N2;h1vbl:7:181M34i2wem9750;0xL05f3tdj8l4?:3yK16g<ugk?n7>52zJ67d=zfh>h6=4={I70e>{ii=n1<7<tH41b?xhf<l0;6?uG52c8ykg3n3:1>vF:3`9~jd372909wE;<a:me07=838pD8=n;|lb17<72;qC9>o4}oc67?6=:rB>?l5rn`77>5<5sA?8m6saa4794?4|@<9j7p`n5783>7}O=:k0qco:7;296~N2;h1vbl;7:181M34i2wem8750;0xL05f3tdj9l4?:3yK16g<ugk>n7>52zJ67d=zfh?h6=4={I70e>{ii<n1<7<tH41b?xhf=l0;6?uG52c8ykg2n3:1>vF:3`9~jd072909wE;<a:me37=838pD8=n;|lb27<72;qC9>o4}oc57?6=:rB>?l5rn`47>5<5sA?8m6saa7794?4|@<9j7p`n6783>7}O=:k0qco97;296~N2;h1vbl87:181M34i2wem;750;0xL05f3tdj:l4?:3yK16g<ugk=n7>52zJ67d=zfh<h6=4={I70e>{ii?n1<7<tH41b?xhf>l0;6?uG52c8ykg1n3:1>vF:3`9~jd172909wE;<a:me27=838pD8=n;|lb37<72;qC9>o4}oc47?6=:rB>?l5rn`57>5<5sA?8m6saa6794?4|@<9j7p`n7783>7}O=:k0qco87;296~N2;h1vbl97:181M34i2wem:750;0xL05f3tdj;l4?:3yK16g<ugk<n7>52zJ67d=zfh=h6=4={I70e>{ii>n1<7<tH41b?xhf?l0;6?uG52c8ykg0n3:1>vF:3`9~jd>72909wE;<a:me=7=838pD8=n;|lb<7<72;qC9>o4}oc;7?6=:rB>?l5rn`:7>5<5sA?8m6saa9794?4|@<9j7p`n8783>7}O=:k0qco77;296~N2;h1vbl67:181M34i2wem5750;3xL05f3tdj4l4?:0yK16g<ugk3n7>51zJ67d=zfh2h6=4>{I70e>{ii1n1<7?tH41b?xhf0l0;6<uG52c8ykg?n3:1=vF:3`9~jd?7290:wE;<a:me<7=83;pD8=n;|lb=7<728qC9>o4}oc:7?6=9rB>?l5rn`;7>5<6sA?8m6saa8794?7|@<9j7p`n9783>4}O=:k0qco67;295~N2;h1vbl77:182M34i2wem4750;3xL05f3tdj5l4?:0yK16g<ugk2n7>51zJ67d=zfh3h6=4>{I70e>{ii0n1<7?tH41b?xhf1l0;6<uG52c8ykg>n3:1=vF:3`9~jdg7290:wE;<a:med7=83;pD8=n;|lbe7<728qC9>o4}ocb7?6=9rB>?l5rn`c7>5<6sA?8m6saa`794?7|@<9j7p`na783>4}O=:k0qcon7;295~N2;h1vblo7:182M34i2weml750;3xL05f3tdjml4?:0yK16g<ugkjn7>51zJ67d=zfhkh6=4>{I70e>{iihn1<7?tH41b?xhfil0;6<uG52c8ykgfn3:1=vF:3`9~jdd7290:wE;<a:meg7=83;pD8=n;|lbf7<728qC9>o4}oca7?6=9rB>?l5rn``7>5<6sA?8m6saac794?7|@<9j7p`nb783>4}O=:k0qcom7;295~N2;h1vbll7:182M34i2wemo750;3xL05f3tdjnl4?:0yK16g<ugkin7>51zJ67d=zfhhh6=4>{I70e>{iikn1<7?tH41b?xhfjl0;6<uG52c8ykgen3:1=vF:3`9~jde7290:wE;<a:mef7=83;pD8=n;|lbg7<728qC9>o4}oc`7?6=9rB>?l5rn`a7>5<6sA?8m6saab794?7|@<9j7p`nc783>4}O=:k0qcol7;295~N2;h1vblm7:182M34i2wemn750;3xL05f3tdjol4?:0yK16g<ugkhn7>51zJ67d=zfhih6=4>{I70e>{iijn1<7?tH41b?xhfkl0;6<uG52c8ykgdn3:1=vF:3`9~jdb7290:wE;<a:mea7=83;pD8=n;|lb`7<728qC9>o4}ocg7?6=9rB>?l5rn`f7>5<6sA?8m6saae794?7|@<9j7p`nd783>4}O=:k0qcok7;295~N2;h1vblj7:182M34i2wemi750;3xL05f3tdjhl4?:0yK16g<ugkon7>51zJ67d=zfhnh6=4>{I70e>{iimn1<7?tH41b?xhfll0;6<uG52c8ykgcn3:1=vF:3`9~jdc7290:wE;<a:me`7=83;pD8=n;|lba7<728qC9>o4}ocf7?6=9rB>?l5rn`g7>5<6sA?8m6saad794?7|@<9j7p`ne783>4}O=:k0qcoj7;295~N2;h1vblk7:182M34i2wemh750;3xL05f3tdjil4?:0yK16g<ugknn7>51zJ67d=zfhoh6=4>{I70e>{iiln1<7?tH41b?xhfml0;6<uG52c8ykgbn3:1=vF:3`9~jd`7290:wE;<a:mec7=83;pD8=n;|lbb7<728qC9>o4}oce7?6=9rB>?l5rn`d7>5<6sA?8m6saag794?7|@<9j7p`nf783>4}O=:k0qcoi7;295~N2;h1vblh7:182M34i2wemk750;3xL05f3tdjjl4?:0yK16g<ugkmn7>51zJ67d=zfhlh6=4>{I70e>{iion1<7?tH41b?xhfnl0;6<uG52c8ykgan3:1=vF:3`9~jg67290:wE;<a:mf57=83;pD8=n;|la47<728qC9>o4}o`37?6=9rB>?l5rnc27>5<6sA?8m6sab1794?7|@<9j7p`m0783>4}O=:k0qcl?7;296~N2;h1vb:?<:182M34i2we;<:50;3xL05f3twvqMNL{b7b>2?d<<3h:pNOBz2~DEV|uIJ
/trunk/rtl/xilinx/coregen/chipscope_vio_trig.v
0,0 → 1,31
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 2012 Xilinx, Inc.
// All Rights Reserved
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 14.2
// \ \ Application: Xilinx CORE Generator
// / / Filename : chipscope_vio_trig.v
 
// \ \ / \
// \___\/\___\
//
// Design Name: Verilog Synthesis Wrapper
///////////////////////////////////////////////////////////////////////////////
// This wrapper is used to integrate with Project Navigator and PlanAhead
 
`timescale 1ns/1ps
 
module chipscope_vio_trig(
CONTROL,
CLK,
SYNC_OUT);
 
 
inout [35 : 0] CONTROL;
input CLK;
output [65 : 0] SYNC_OUT;
 
endmodule
/trunk/rtl/xilinx/coregen/chipscope_vio_fifo.xco
0,0 → 1,59
##############################################################
#
# Xilinx Core Generator version 14.2
# Date: Tue Nov 20 02:34:08 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_vio:1.05.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT VIO_(ChipScope_Pro_-_Virtual_Input/Output) family Xilinx,_Inc. 1.05.a
# END Select
# BEGIN Parameters
CSET asynchronous_input_port_width=8
CSET asynchronous_output_port_width=8
CSET component_name=chipscope_vio_fifo
CSET constraint_type=external
CSET enable_asynchronous_input_port=false
CSET enable_asynchronous_output_port=false
CSET enable_synchronous_input_port=true
CSET enable_synchronous_output_port=true
CSET example_design=true
CSET invert_clock_input=false
CSET synchronous_input_port_width=92
CSET synchronous_output_port_width=2
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-07-21T03:12:17Z
# END Extra information
GENERATE
# CRC: 9f8da0d5
/trunk/rtl/xilinx/coregen/chipscope_vio_trig.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$53f7=*981;86>?01684563<2::<<:407335>433;:;<95=11222>512@DDYY4LOOVQKI:4=3:5=5596;MVPUSS2ZSEORAZT=46>5833>LMJ<>47GDEBC@ANOLMJKHI1::1?D653H;97L<=;@1;?D@FKH9J<55MUR]JJCI63J80OL<4CD31?FNBKBUGENKASD]W]UC53JC87NBD4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNj7NAATSMO858e3JEEX_AC<02=f>EHF]XD@1?>>c9@KKRUGE6:>3l4CNLWVJJ;9:4i7NAATSMO8429j2IDBY\@L=36:g=DGG^YCA2>6?`8GJHSZFF7=:0m;BMMPWIK4825n6M@NUPLH97>6h1HCCZ]OM>2:g=DGG^YCA2=0?`8GJHSZFF7><0m;BMMPWIK4;85n6M@NUPLH9446k1HCCZ]OM>10;d<KFD_^BB324<a?FII\[EG0?81b:ALJQTHD58<2o5LOOVQKI:507h0OB@[RNN?6<8f3JEEX_AC<3<a?FII\[EG0>>1b:ALJQTHD59:2o5LOOVQKI:4:7h0OB@[RNN?768e3JEEX_AC<26=`>EHF]XD@1=::1<a?FII\[EG0>;1a:ALJQTHD595m6M@NUPLH929i2IDBY\@L=7=e>EHF]XD@181a:ALJQTHD5=5m6M@NUPLH9>9i2IDBY\@L=;=51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B53MC?7H<?9028BA12J09:IN8;A9037>@CK=1MHNK<;GFF7>@CZ=1MH_K>0:DEBC@ANOLMJKHIFD38C6=@FM;0E?5F039J57=N:;1B??5F439J1<=NF@^XXLZJ3:KMR7=KA;1GC;5COBIF@2=KGNCHMA:4LTV20>JR\;>0@XZ<4:NVP12<D\^>86BZT758IP^DQVF97C>=;O34?KCS_FX@=6A>7:MSPLKNRLU[^DCFTHTFWZH@K81[46^!29zg[I2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA<=WCVBGYY@B6:RP@JHB92[87\?:1:P2?V763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL<0_B[]CD58WWJD9?O=7^ZNTTQa?V_IKVE^X1>1c:QZJFYH]]6:<3m4SXL@[JSS48;5o6]VNB]LQQ:6:7i0_T@L_NWW8459k2YRBNQ@UU>20;e<[PDHSB[[<07=g>U^FJUDYY2>6?a8W\HDWF__0<91c:QZJFYH]]6:43m4SXL@[JSS4835n6]VNB]LQQ:66j1XUCMPOTV?658d3ZSEORAZT=02:f=TQGITCXZ323<`?V_IKVE^X1<<>b9P]KEXG\^7>90l;R[MGZIR\58>2n5\YOA\KPR;:?4h7^WAC^MVP9406j1XUCMPOTV?6=8d3ZSEORAZT=0::g=TQGITCXZ32?a8W\HDWF__0>>1c:QZJFYH]]68=3m4SXL@[JSS4:85o6]VNB]LQQ:4;7i0_T@L_NWW8629k2YRBNQ@UU>01;e<[PDHSB[[<24=g>U^FJUDYY2<7?a8W\HDWF__0>61c:QZJFYH]]6853l4SXL@[JSS4:4h7^WAC^MVP9276j1XUCMPOTV?048d3ZSEORAZT=61:f=TQGITCXZ342<`?V_IKVE^X1:;>b9P]KEXG\^7880l;R[MGZIR\5>=2n5\YOA\KPR;<>4h7^WAC^MVP92?6j1XUCMPOTV?0<8e3ZSEORAZT=6=g>U^FJUDYY2:0?a8W\HDWF__08?1c:QZJFYH]]6>>3m4SXL@[JSS4<95o6]VNB]LQQ:2<7i0_T@L_NWW8039k2YRBNQ@UU>62;e<[PDHSB[[<45=g>U^FJUDYY2:8?a8W\HDWF__0871b:QZJFYH]]6>2n5\YOA\KPR;>94h7^WAC^MVP9066j1XUCMPOTV?278d3ZSEORAZT=40:f=TQGITCXZ365<f?V_IKVE^X18::1<`?V_IKVE^X18:>c9P]KEXG\^7:3l4SXL@[JSS4>4i7^WAC^MVP9>9j2YRBNQ@UU>::1=SQYO:>6[?/H]UMJ)FZDU{ya}j139V4*OX^@E$HLZN_EMVP63<]9%BS[G@/FGM[V_IKVE^XV>R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?k4U1-J[SOH'NOES^WAC^MVP^6Z&ZSEORAZT^AFHI)K]]UDYY=:;T2,MZPNG&MNBR]VNB]LQQ]7U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu4a3\:$ERXFO.EFJZU^FJUDYYU?]/QZJFYH]]UHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSB[[[1_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY24X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P==S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV??]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]68T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R;;Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W<?R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^76U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\98W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[03^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX54[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]6:T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT13_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ31Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q:>P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV?=]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[01^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R;8Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P=>S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_45Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\9:W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q:8P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX51[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^73U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU>4\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ37Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_43Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV?:]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT14_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS8?V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P=8S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU>6\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\9?W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R;=Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY22X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^71U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8=V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ34Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX52[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<9R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT16_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY2<X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P=5S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV?7]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]60T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R;3Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W<7R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^7>U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\90W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[0;^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX5<[)[PDHSB[[_BGOH*ir|V~na}=:;T2,MZPNG&MNBR]VNB]LQQ]6U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4b3\:$ERXFO.EFJZU^FJUDYYU>]/QZJFYH]]UHIAB LTV\KPR4=2_;#DQYIN-DAKYTQGITCXZT1\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~=f:W3+LYQAF%LICQ\YOA\KPR\9T$XUCMPOTV\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZIR\R;V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P>=S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_76Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]58T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT21_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY14X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^46U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU=1\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[33^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R8:Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W??R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT23_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS;8V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q9>P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX67[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]5:T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R88Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY17X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_75Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV<<]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[31^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX61[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W?:R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU=4\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\:=W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q98P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<:]/QZJFYH]]UHIAB I^QQHZR5&\UX^A<i;T2,MZPNG&MNBR]VNB]LQQ]5=T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS;?V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ06Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_73Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\:?W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[34^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY12X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P>;S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU=6\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ04Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q9;P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W?9R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^40U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS;=V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P>5S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_7>Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]50T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT29_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY1<X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^4>U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU=9\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[3;^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R82Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W?7R.R[MGZIR\VIN@A!`uu]wahv4=2_;#DQYIN-DAKYTQGITCXZT2\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=e:W3+LYQAF%LICQ\YOA\KPR\:T$XUCMPOTV\G@JK'E__SB[[349V4*OX^@E$KH@PSXL@[JSSS;W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw:o1^<"GPVHM,C@HX[PDHSB[[[3_-W\HDWF__SNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQ@UUY1Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_66Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV=?]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT31_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS::V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P?=S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU<1\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\;8W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R9:Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY05X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^56U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS:8V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ11Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX77[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W><R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT33_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY07X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P?>S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV=<]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]4;T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R98Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W>:R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^53U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\;=W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[26^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX71[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]4=T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT34_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ16Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q89P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV=:]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[24^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R9=Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P?;S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_60Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\;?W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q8;P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX72[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^50U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU<7\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ14Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_6>Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV=7]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT39_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS:2V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P?5S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU<9\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\;0W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R92Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY0=X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^5>U'YRBNQ@UU]@AIJ(g|~Txhc349V4*OX^@E$KH@PSXL@[JSSS:W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:l1^<"GPVHM,C@HX[PDHSB[[[2_-W\HDWF__SNKCL.NVPZIR\:?0Y=!F_WKL+BCIWZSEORAZTZ1^*V_IKVE^XRMJLM-PLL@SWF__Sykbp3d8Q5)NW_CD#JKA_R[MGZIR\R9V"^WAC^MVPZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXG\^P?P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV:?]/QZJFYH]]UHIAB I^QQHZR5&\UX^A<i;T2,MZPNG&MNBR]VNB]LQQ]38T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS=:V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ63Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_16Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\<8W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[53^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY75X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P8<S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU;1\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ61Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q?>P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W9<R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^25U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS=8V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P8>S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_15Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]3;T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT42_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY77X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^23U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU;4\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[56^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R>?Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W9:R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT44_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS=?V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q?9P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX00[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]3=T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R>=Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY72X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_10Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV:9]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[54^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX02[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W99R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU;7\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\<>W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q?;P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV:7]/QZJFYH]]UHIAB I^QQHZR5&\UX^A<i;T2,MZPNG&MNBR]VNB]LQQ]30T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS=2V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ6;Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_1>Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\<0W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[5;^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY7=X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P84S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU;9\,P]KEXG\^TOHBC/nww[qcjx:?0Y=!F_WKL+BCIWZSEORAZTZ6^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3g8Q5)NW_CD#JKA_R[MGZIR\R>V"^WAC^MVPZEBDE%GYYQ@UU16?P6(AV\BC"IJN^QZJFYH]]Q?Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey8m7X> I^TJK*ABFVYRBNQ@UUY7Y+U^FJUDYYQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_NWW_1[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]28T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT51_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ73Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q><P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV;?]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[43^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R?:Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P9<S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_07Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\=8W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q>>P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX17[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^35U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU:2\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ71Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_05Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV;<]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT52_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS<9V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P9>S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU:4\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\==W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R??Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY60X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^33U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS<?V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ76Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX10[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W8;R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT54_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY62X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P9;S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV;9]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]2>T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R?=Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W89R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^30U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\=>W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[45^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX12[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]20T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT59_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ7;Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q>4P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV;7]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[4;^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R?2Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P94S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_0?Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\=0W%_T@L_NWW[FCKD&e~xRzjmq16?P6(AV\BC"IJN^QZJFYH]]Q>Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8n7X> I^TJK*ABFVYRBNQ@UUY6Y+U^FJUDYYQLEMN,HPRXG\^896[?/H]UMJ)@MGUXUCMPOTVX1X(TQGITCXZPCDNO+VNNN]UDYYQ{elr1b>S7'@U]EB!HEO]P]KEXG\^P9P \YOA\KPRXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVE^XV;R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT61_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS?:V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q=<P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX25[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]18T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R<:Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY55X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_37Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV8>]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[73^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX27[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W;<R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU92\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\>;W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q=>P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV8<]/QZJFYH]]UHIAB I^QQHZR5&\UX^A<i;T2,MZPNG&MNBR]VNB]LQQ]1;T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS?9V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ40Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_35Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\>=W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[76^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY50X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P:9S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU94\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ46Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q=9P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W;;R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^02U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS??V"^WAC^MVPZEBDE%dyyQ{elr01>S7'@U]EB!HEO]P]KEXG\^P:P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9i6[?/H]UMJ)@MGUXUCMPOTVX2X(TQGITCXZPCDNO+ISSWF__?85Z0.K\RLI(OLDT_T@L_NWW_3[)[PDHSB[[_BGOH*UOAO^TCXZPtdos6c=R8&CTZDA GDL\W\HDWF__W;S!SXL@[JSSWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUDYYU9]/QZJFYH]]UHIAB otv\p`kw;<1^<"GPVHM,C@HX[PDHSB[[[6_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2d9V4*OX^@E$KH@PSXL@[JSSS>W%_T@L_NWW[FCKD&F^XRAZT278Q5)NW_CD#JKA_R[MGZIR\R=V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx;l0Y=!F_WKL+BCIWZSEORAZTZ5^*V_IKVE^XRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPOTVX3X(TQGITCXZPCDNO+jssW}of|>;4U1-J[SOH'NOES^WAC^MVP^>Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7c<]9%BS[G@/FGM[V_IKVE^XV6R.R[MGZIR\VIN@A!CUU]LQQ523\:$ERXFO.EFJZU^FJUDYYU7]/QZJFYH]]UHIAB SIKEPZIR\V~na}<i;T2,MZPNG&MNBR]VNB]LQQ]?U'YRBNQ@UU]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[JSSS1W%_T@L_NWW[FCKD&e~xRzjmq16?P6(AV\BC"IJN^QZJFYH]]Q2Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8n7X> I^TJK*ABFVYRBNQ@UUY:Y+U^FJUDYYQLEMN,HPRXG\^896[?/H]UMJ)@MGUXUCMPOTVX=X(TQGITCXZPCDNO+VNNN]UDYYQ{elr1b>S7'@U]EB!HEO]P]KEXG\^P5P \YOA\KPRXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVE^XV7R.R[MGZIR\VIN@A!`uu]wahv4<2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'JC7=3=;;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ CH>1:62<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)DA595?>5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"J30?10?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"M@UOV,@979;:1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&N7>3=<;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ D=1=7c=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\8T$LbE Z_LW[G\5f3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(OR:V"XQIDSG0=>S7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!LOTLW+B]7U'_T@XZ<b:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY3Y+SXPFXHU>h4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!H[0_-CkN)]VG^TNW<a:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY2Y+SXNMXN?45Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT1\,V[ISS;k1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP=P Z_YMQG\5a3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(OR8V"J`G.T]NQ]E^;h1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP>P Z_GFQA6?<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)@S;W%YRBZT2`8Q5)NW_CD#JKA_R[MGZIR\VKOH_ \YOA\KPRXIMNY#NAZNU-D_7[)]VRD^NW<a:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY0Y+SXNMXN?45Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT3\,V[ISS;k1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP?P Z_YMQG\543\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR([5:5?>5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"]31?10?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"M@UOV,W949;:1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&Y7?3=>;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&NGU<Q]EF12?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"JCY3]QAB4a3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.NVPZEB;91^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,HPRXG\^8=6[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)fzdUo`t?<1:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%j~`Qklx01b>S7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!lnu]`a64<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/blw[wctm}8;7X> I^TJK*ABFV^YMC]!U^CQI*SXKEOJ^<h4U1-J[SOH'NOESY\NNR,V[DTJ'\UOCXZ=0:W3+LYQAF%LICQ[R@LP*PYFZD%^SIAZT103?P6(AV\BC"IJN^VQEKU)]VKYA"[PDNWW57e<]9%BS[G@/FGM[QTFFZ$^SL\B/T]DAKYCMEKRW<S!U^DG65=R8&CTZDA GDL\PWGI['_TM_C U^PE@WC582_;#DQYIN-DAKYSZHDX"XQNRL-V[Q@CZL8?7X> I^TJK*ABFV^YMC]!U^CQI*bnfVfjxnfjd0f8Q5)NW_CD#JKA_UPBJV(RWHXF#dMCR328Q5)NW_CD#JKA_UPBJV(RWHXF#dJFN=2=65=R8&CTZDA GDL\PWGI['_TM_C iEKM8485=2_;#DQYIN-DAKYSZHDX"XQNRL-j@JSSWmfr0=0=5:W3+LYQAF%LICQ[R@LP*PYFZD%bHB[[_enz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lqqYsmdz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k0Y=!F_WKL+JSSX\^T_EGIT=334;7f3\:$ERXFO.MVPUSSWZBBJY2>00<2e>S7'@U]EB!@UURVPZUOAO^7==<11`9V4*OX^@E$CXZ_UU]PLL@S48:82<o4U1-J[SOH'F__\XZPSIKEP977<7;j7X> I^TJK*IR\Y__S^FFFU>24086i2_;#DQYIN-LQQVR\VYCEKZ3114=5d=R8&CTZDA OTVSQQYT@@L_0<>8>0c8Q5)NW_CD#B[[PTV\WMOA\5;;43?n;T2,MZPNG&E^X][[_RJJBQ:6804:56[?/H]UMJ)H]]Z^XR]GIGV?5586i2_;#DQYIN-LQQVR\VYCEKZ3102=5d=R8&CTZDA OTVSQQYT@@L_0<?>>0c8Q5)NW_CD#B[[PTV\WMOA\5;:>3?n;T2,MZPNG&E^X][[_RJJBQ:69:4:m6[?/H]UMJ)H]]Z^XR]GIGV?54299h1^<"GPVHM,KPRW]]UXDDH[<036:4g<]9%BS[G@/NWWTPRX[ACMX1?>6?3b?P6(AV\BC"AZTQWW[VNNN]6:=:0>a:W3+LYQAF%DYY^ZT^QKMCR;9825=l5Z0.K\RLI(G\^[YYQ\HHDW847>6830Y=!F_WKL+JSSX\^T_EGIT=32:4g<]9%BS[G@/NWWTPRX[ACMX1?=0?3b?P6(AV\BC"AZTQWW[VNNN]6:><0>a:W3+LYQAF%DYY^ZT^QKMCR;9;85=l5Z0.K\RLI(G\^[YYQ\HHDW844468k0Y=!F_WKL+JSSX\^T_EGIT=310;7f3\:$ERXFO.MVPUSSWZBBJY2>24<2e>S7'@U]EB!@UURVPZUOAO^7=?811`9V4*OX^@E$CXZ_UU]PLL@S488<2<o4U1-J[SOH'F__\XZPSIKEP97507;j7X> I^TJK*IR\Y__S^FFFU>26<8612_;#DQYIN-LQQVR\VYCEKZ313<2e>S7'@U]EB!@UURVPZUOAO^7=>>11`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g9V4*OX^@E$YRJ@UU3b?P6(AV\BC"[PSUCWQV)DNNUHIR`=9:W3+LYQAF%^S^ZNTTQ,BZUSI]Q;Q#GPSUCW*PYT\H^956[?/H]UMJ)RWZ^JXX] F^QWEQ]6U'CT_YO[.T]PPDR512_;#DQYIN-V[VRF\\Y$JR][AUY1Y+OX[]K_"XQ\T@V1=>S7'@U]EB!Z_RVBPPU(NVY_MYU<]/K\WQGS&\UXXLZ=9:W3+LYQAF%^S^ZNTTQ,BZUSI]Q?Q#GPSUCW*PYT\H^956[?/H]UMJ)RWZ^JXX] F^QWEQ]2U'CT_YO[.T]PPDR512_;#DQYIN-V[VRF\\Y$JR][AUY5Y+OX[]K_"XQ\T@V1=>S7'@U]EB!Z_RVBPPU(NVY_MYU8]/K\WQGS&\UXXLZ>a:W3+LYQAF%^S^ZNTTQ,PAIXflr=55Z0.K\RLI(]VY_MY[\/T]@AZh4<2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?=:;T2,MZPNG&_T_YO[UR-V[VKRP&_TO^QBUY-J0+SXE\R::"Cbuy]L64513\:$ERXFO.W\WQGS]Z%^S^CZX.W\GVYJ]Q%B8#[PMTZ22*Kj}qUD><><5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K744=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?=<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K724=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?;<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K704=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?9<5:W3+LYQAF%^S^ZNTTQ,QZUJ]Q%^SN]PMTZ,M1(RWD_S=;!Bmtz\K7>4=2_;#DQYIN-V[VRF\\Y$YR]BUY-V[FUXE\R$E9 Z_LW[53)Je|rTC?7=2:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!LI=3=67=R8&CTZDA U^QWEQST'\UXXLZPCOV,GL:56;80Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'JC7?3<=;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"MF<5<16>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-@M939:;1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(K@6=2?<4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#NG37?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.F?4;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*B;978:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&N7>3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"J33?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.F?0;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*B;=78:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&N7:3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"J37?0g?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX4X(@fA$^S@[WCX0;?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX4X(RWONYI?94U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU?]/W\HPR512_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S9W%YRV@RB[1`>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_4[)OgB%YRCZXB[1<>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_4[)]VLO^H<8;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT1\,V[ISS:01^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR;V"XQWOSAZ6a=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^4Z&NdC"XQBUYAZ6==R8&CTZDA U^QWEQST'\UXXLZPCOV,C^4Z&\UMH_K=7:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[3_-QZJR\;30Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ9Q#[PXNP@]7b<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]4U'MeD#[PMTZ@]7><]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]4U'_TJI\J269V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ1^*PYK]]827X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP?P Z_YMQG\4c3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\<T$LbE Z_LW[G\4?3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\<T$^SKJ]E358Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY7Y+SXD\^956[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW9S!U^ZLVF_5l2_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S<W%KcF!U^OV\F_502_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S<W%YRHKRD04?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX1X(RWE__>45Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV;R.T][KWE^:m1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR<V"J`G.T]NQ]E^:11^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR<V"XQIDSG13>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_3[)]VF^X?74U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU9]/W\\JTDQ;20Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ<Q#[PFEPF62=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^1Z&\UGYY<6;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT7\,V[]IUKP8:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&Y7<3<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"]31?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.Q?6;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*U;;78:7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&Y783<>;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"]35?02?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.Q?2;463\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*U;?7;<7X> I^TJK*SX[]K_Y^!Z_UFL5d=R8&CTZDA U^QWEQST'`Y_MY2?>0c8Q5)NW_CD#XQ\T@VVW*oT\H^7=3?n;T2,MZPNG&_T_YO[UR-jWQGS4;4:m6[?/H]UMJ)RWZ^JXX] iRVBP9599h1^<"GPVHM,QZUSI]_X#d][AU>7:4g<]9%BS[G@/T]PPDRR[&cXXLZ35?3b?P6(AV\BC"[PSUCWQV)n[]K_0;0>a:W3+LYQAF%^S^ZNTTQ,mVRF\5=5=h5Z0.K\RLI(]VY_MY[\/hQWEQYDF]6;2<k4U1-J[SOH'\UXXLZZS.kPPDRXKG^7=3?j;T2,MZPNG&_T_YO[UR-jWQGSWJD_0?0>e:W3+LYQAF%^S^ZNTTQ,mVRF\VIEX1=11d9V4*OX^@E$YR][AUWP+lUSI]UHBY2;>0g8Q5)NW_CD#XQ\T@VVW*oT\H^TOCZ35?3f?P6(AV\BC"[PSUCWQV)n[]K_SN@[<7<2a>S7'@U]EB!Z_RVBPPU(aZ^JXRMAT=5=55=R8&CTZDA aefq858682_;#DQYIN-b`at;97;;7X> I^TJK*gcl{692<>4U1-J[SOH'hno~1=1239V4*OX^@E$~h}jt^d\aaab'@UC>A Z_EMVP4b<]9%BS[G@/sgpaqYaWlnli"[PDNWW44b<]9%BS[G@/sgpaqYaWlnli"[PDNWW54c<]9%BS[G@/sgpaqYaWlnli"gKOTV?4;7b3\:$ERXFO.pfw`rXnVookh!fDNWW848d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGFd<PVID^HQFNGM5?]beW@n:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5<Qly:h6QP_YNMIZYX8VUTY=!F_WKL+PYCG\^27l}vnb]nq}c<izseoRz}aoqeawb<kac{nae^tjk44<kac{nae^tjkZruan997nffpralt`YqafU~di#cikswfiwmV|bcRx>_17\e)HHFL&ECCK<0b9`llvtkfznS{g`_upjc)eoayyhc}kPvhm\r4Y7=Vk'wnQwcn]omvr:Kfg{cckat^Lbi`;d``zxob~j_wkl[qtno2Oemobj_R{mgmthffxSD`uu]Skwr:nhfxi6Kaacnf[Vikaxdbb{|_NwwtprXXfx1y|ze:GmegjbWHyrbnf}oomvwZOix|~T\b|{=gcow`=BfhhgiRO|yoakvjhh}zUDyy~zt^Rlvq;aieyn7^wacipljjstW@d{yyQ_osv\Slbs`420_t`lhsmmkpuXG|~{yyQ_osv\Slbs`4<=7L}vnbjqkkir{VCe|xzPPnpw[Roc|a737L}vnbjqkkir{VE~x}{{_QmqpZQnl}b646Gavdpw[FjhkbUBb}{{=gcow`*dWqnnzdmjf`ojh|;}qg{'oRm`rd]w}uc:1%iTakiPie?2(fYjiceyS{k}shmm942+kVgbbb|Pvdppmjh::%iTnxgcd^pfrlungg7; nQlosg\idlhzV|n~0?#c^alv`YjageyS{k}=3.`[fiumVgbbb|PamrkeZpbz43< nQ|rm35[qwm48'oR{|e^kmrZekc4:'oR{|e^cp}keXag7; nQzsd]bw|hdWf1="l_tqf[vikVce1="l_tqf[vikVe~x0?#c^cp}keXagU|eizg=9.`[du~fjUdyyQxievk9=*dWzseoRga_vkgpm;?$jUxucmPotv\slbs`4<= nQlooqwvdoi|V~r|h3jxugqjdj+kVosm`~ce^ffwlai5}x~i!s<1:akmuudgyoTzdaPv0]31Zg+(Qcgecv/CNPF$Bcim{kc.>4/0:?firf}oyS<74cnwmp`tX:8h0o~Qloovqkidr{Vrxxza}k`plqkb13jyTaxv7;bq\ip~6>m1nhjkPddvfgqiu:2dd46xfo^alv`713cdSb{{ptv\qubf|lUhiabn;wkl[vrf||yh7{g`_r{mgZir|8?0zdaPsxl`[jssWhno~Rijn028|f1}8;:&oai;01/1yEFwlm30LMv;0e8E>7<6sZ?869>8:6c95657>;:19nm:{o631?7<f=:=6;5+41697cc<uZ8h69>8:6c95657>;:19nml;e63`?6=93;p_8=541593d<6;::=>=4:cb48rQ>1290:6<4=02yP16<38>0<m7?<31414?3dk?1/?kj56b9'3g<39m1i8=j50;44>4?b2;:8wE=ib:&`g?27l2P:?7<t9;c9y!27;3>:<6*>7;63a>"2:3>;j6*75;28 =2=<920'9o50;&4`?2>3g=h6=54+5:94?"0l3>27c9l:098/11=83.<h7:6;o5`>7=<#=<1<7*8d;6:?k1d2:10'9;50;&4`?2>3g=h6954+5694?"0l3>27c9l:498/14=83.<h7:6;o5`>3=<#=;1<7*8d;6:?k1d2>10'9>50;&4`?2>3g=h6554+2d94?"0l3>27c9l:898/6c=83.<h7:6;o5`>d=<#:n1<7*8d;6:?k1d2k10'>m50;&4`?2>3g=h6n54+2`94?"0l3>27c9l:e98/6g=83.<h7:6;o5`>`=<#:31<7*8d;6:?k1d2o10'>950;&4`?2>3g=h6<>4;*15>5<#?m0?56`8c;32?>-4=3:1(:j5489m3f<6:21 ?94?:%5g>1?<f>i1=>54+2194?"0l3>27c9l:068?.55290/;i4;9:l4g?7232!8=7>5$6f90<=i?j0::65$3183>!1c2=30b:m51698/7`=83.<h7:6;o5`>4><3"8n6=4+7e87=>h0k3;276%:1;29 2b=<01e;n4>a:9(15<72-=o6974n6a95g=<#=l1<7*8d;6:?k1d28i07&:j:18'3a<312d<o7?k;:)7`?6=,>n1845a7b82a>=,<j0;6)9k:5;8j2e=9o10'9=50;&4`?2>3g=h6??4;*1;>5<#?m0?56`8c;01?>-5l3:1(:j5489m3f<5;21b=44?::m`3?6=,>n1o;5a7b83?>id=3:1(:j5c79m3f<632eh87>5$6f9g3=i?j0976al3;29 2b=k?1e;n4<;:m`6?6=,>n1o;5a7b87?>id93:1(:j5c79m3f<232eij7>5$6f9g3=i?j0=76ame;29 2b=k?1e;n48;:ma`?6=,>n1o;5a7b8;?>iek3:1(:j5c79m3f<>32ein7>5$6f9g3=i?j0j76ama;29 2b=k?1e;n4m;:ma=?6=,>n1o;5a7b8`?>ie03:1(:j5c79m3f<c32ei;7>5$6f9g3=i?j0n76am6;29 2b=k?1e;n4i;:ma0?6=,>n1o;5a7b824>=hj:0;6)9k:b48j2e=9810co<50;&4`?e13g=h6<<4;n`2>5<#?m0h:6`8c;30?>ie83:1(:j5c79m3f<6<21dmk4?:%5g>f0<f>i1=854o`g94?"0l3i=7c9l:048?jgc290/;i4l6:l4g?7032ejo7>5$6f9g3=i?j0:465`ac83>!1c2j<0b:m51898kd?=83.<h7m9;o5`>4g<3fk36=4+7e8`2>h0k3;i76an7;29 2b=k?1e;n4>c:9le3<72-=o6n84n6a95a=<gh?1<7*8d;a5?k1d28o07bo;:18'3a<d>2d<o7?i;:mb7?6=,>n1o;5a7b814>=hi;0;6)9k:b48j2e=:810cl?50;&4`?e13g=h6?<4;nc3>5<#?m0h:6`8c;00?>i>m3:1(:j5c79m3f<5<21d5i4?:%5g>f0<f>i1>854o8a94?"0l3i=7c9l:348?j?e290/;i4l6:l4g?4032e2m7>5$6f9g3=i?j09465`9883>!1c2j<0b:m52898k<>=83.<h7m9;o5`>7g<3f3<6=4+7e8`2>h0k38i76a66;29 2b=k?1e;n4=c:9l=0<72-=o6n84n6a96a=<g091<7*8d;a5?k1d2;o07b7=:18'3a<d>2d<o7<i;:m:5?6=,>n1o;5a7b804>=h190;6)9k:b48j2e=;810c5h50;&4`?e13g=h6><4;n:f>5<#?m0h:6`8c;10?>i?l3:1(:j5c79m3f<4<21d4n4?:%5g>f0<f>i1?854o9`94?"0l3i=7c9l:248?j>f290/;i4l6:l4g?5032ehn7>5$6f9g3=i?j08465`c`83>!1c2j<0b:m53898kf?=83.<h7m9;o5`>6g<3fi36=4+7e8`2>h0k39i76al0;29 2b=k?1e;n4<c:9lf0<72-=o6n84n6a97a=<ghk1<7*8d;a5?k1d2:o07b7i:18'3a<d>2d<o7=i;:m:0?6=,>n1o;5a7b874>=h000;6)9k:b48j2e=<810'9l50;&4`?2>3g=h6?>4;c636?6=93:1<v*lc;1eg>N3891C?kl4o6;94?=zj=::6=4>:183!ed2<l0D9>?;I1ef>i2m3:17pllf;29=?6=8r.ho768;I634>N4nk1/;54;169'22<53`o1<75ff;29?l772900e<?50;9j53<722c:57>5;h77>5<<g1:1<75`8083>>{el90;6>4?:1y'gf<1m2B?<=5G3g`8 2>=<8=0(;95d:&4a?2712c==7>5;h41>5<<g>=1<75rb025>5<>290;w)ml:958L1673A9mn6*88;623>"1?380eh4?::ke>5<<a8:1<75f1083>>o6>3:17d?6:188m02=831d4=4?::m;5?6=3th:<:4?:283>5}#kj0=i6F;019K7cd<,>218<94$759`>o193:17d8=:188k21=831vn<=k:18:>5<7s-ih6594H523?M5aj2.<47:>7:&53?4<al0;66gi:188m46=831b=<4?::k22?6=3`;26=44i4694?=h090;66a71;29?xd6;l0;6>4?:1y'gf<1m2B?<=5G3g`8 2>=<8=0(;95d:k55?6=3`<96=44o6594?=zj8=?6=46:183!ed21=0D9>?;I1ef>"003>:;6*97;08m`<722cm6=44i0294?=n980;66g>6;29?l7>2900e8:50;9l<5<722e3=7>5;|`230<72:0;6=u+cb85a>N3891C?kl4$6:9041<,?=1h6g91;29?l052900c:950;9~f4ge29026=4?{%a`>=1<@=:;7E=ib:&4<?26?2.=;7<4id83>>oa2900e<>50;9j54<722c::7>5;h3:>5<<a<>1<75`8183>>i?93:17pl>ab83>6<729q/on49e:J745=O;oh0(:654058 31=l2c==7>5;h41>5<<g>=1<75rb0g1>5<>290;w)ml:958L1673A9mn6*88;623>"1?380eh4?::ke>5<<a8:1<75f1083>>o6>3:17d?6:188m02=831d4=4?::m;5?6=3th:i>4?:283>5}#kj0=i6F;019K7cd<,>218<94$759`>o193:17d8=:188k21=831vn?>::18:>5<7s-ih6594H523?M5aj2.<47:>7:&53?4<al0;66gi:188m46=831b=<4?::k22?6=3`;26=44i4694?=h090;66a71;29?xd58?0;6>4?:1y'gf<1m2B?<=5G3g`8 2>=<8=0(;95d:k55?6=3`<96=44o6594?=zj;:j6=46:183!ed21=0D9>?;I1ef>"003>:;6*97;08m`<722cm6=44i0294?=n980;66g>6;29?l7>2900e8:50;9l<5<722e3=7>5;|`14g<72:0;6=u+cb85a>N3891C?kl4$6:9041<,?=1h6g91;29?l052900c:950;9~f76a29026=4?{%a`>=1<@=:;7E=ib:&4<?26?2.=;7<4id83>>oa2900e<>50;9j54<722c::7>5;h3:>5<<a<>1<75`8183>>i?93:17pl=1183>6<729q/on49e:J745=O;oh0(:654058 31=l2c==7>5;h41>5<<g>=1<75rb337>5<>290;w)ml:958L1673A9mn6*88;623>"1?380eh4?::ke>5<<a8:1<75f1083>>o6>3:17d?6:188m02=831d4=4?::m;5?6=3th9=84?:283>5}#kj0=i6F;019K7cd<,>218<94$759`>o193:17d8=:188k21=831vni:50;;94?6|,ji14:5G4128L6`e3-=369?8;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188ygb229086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xdc13:157>50z&`g?>03A>;<6F<fc9'3=<39>1/::4=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9294?=h080;66smd`83>6<729q/on49e:J745=O;oh0(:654058 31=l2c==7>5;h41>5<<g>=1<75rbeg94??=83:p(nm5869K056<@:li7)97:534?!002;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e3<7>5;n:2>5<<uknm6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3thn?7>59;294~"dk32<7E:?0:J0bg=#?10?=:5+6681?lc=831bj7>5;h33>5<<a8;1<75f1783>>o613:17d;;:188k=6=831d4<4?::aa1<72:0;6=u+cb85a>N3891C?kl4$6:9041<,?=1h6g91;29?l052900c:950;9~f`>=8331<7>t$ba9<2=O<9:0D>hm;%5;>1703-<<6?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a70;29?j>62900qok6:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pljd;29=?6=8r.ho768;I634>N4nk1/;54;169'22<53`o1<75ff;29?l772900e<?50;9j53<722c:57>5;h77>5<<g1:1<75`8083>>{eml0;6>4?:1y'gf<1m2B?<=5G3g`8 2>=<8=0(;95d:k55?6=3`<96=44o6594?=zjo81<7750;2x fe=0>1C8=>4H2da?!1?2=;<7)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}cd0>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`e3?6=13:1<v*lc;:4?M2782B8jo5+798752=#>>097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5>50;9l<4<722wij54?:283>5}#kj0=i6F;019K7cd<,>218<94$759`>o193:17d8=:188k21=831vnkm50;;94?6|,ji14:5G4128L6`e3-=369?8;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188yg`c29086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6880;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb021>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`24g<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<>l:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>1183><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8;:6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:=84?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f47129086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd69h0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb03a>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`25c<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<<?:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>2583><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj88>6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:>44?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f44f29086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6:l0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb00e>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`276<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<=;:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>3983><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8926=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:8?4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f42429086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6<>0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb06;>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`20f<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<:k:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>5083><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8?96=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:9;4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f43029086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6=k0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb07`>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`225<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<8>:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>6483><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8<=6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th::l4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f40e29086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6>o0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb053>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`23<<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<9n:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>7d83><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8=m6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:4>4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4>329086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6010;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0::>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2<a<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<6j:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>9383><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8386=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:5:4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4??29086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd61j0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0;g>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2e4<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<o=:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>a783><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8k<6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:n=4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4d629086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6j<0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0`5>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2fd<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<lm:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>bg83><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8i;6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:o94?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4e229086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6k00;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0ab>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2g`<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<mi:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>d283><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8n?6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:h54?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4b>29086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6lm0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0ff>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2a2<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<k7:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl>eb83><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj8oo6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th:j<4?:883>5}#kj03;6F;019K7cd<,>218<94$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f4`529086=4?{%a`>3c<@=:;7E=ib:&4<?26?2.=;7j4i7394?=n>;0;66a87;29?xd6n?0;644?:1y'gf<??2B?<=5G3g`8 2>=<8=0(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rb0d4>5<4290;w)ml:7g8L1673A9mn6*88;623>"1?3n0e;?50;9j27<722e<;7>5;|`2bg<7200;6=u+cb8;3>N3891C?kl4$6:9041<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vn<hl:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?b<a?;1<75f6383>>i0?3:17pl=0183><<729q/on477:J745=O;oh0(:654058 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zj;::6=4<:183!ed2?o0D9>?;I1ef>"003>:;6*97;f8m37=831b:?4?::m43?6=3th9?;4?:283>5}#kj0=i6F;019K7cd<,>218<94$7592>o193:17d8=:188k21=831vn?=::186>5<7s-ih6:>4H523?M5aj2.<47:>7:&53?d<a?;1<75f6383>>o1;3:17d8;:188k21=831vn?=m:180>5<7s-ih6;k4H523?M5aj2.<47:>7:&53?0<a?;1<75f6383>>i0?3:17pl<9583>1<729q/on49f:J745=O;oh0(:654058 31=9<1/;h4;089j24<722c=>7>5;h40>5<<g>=1<75rb2d4>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk9m47>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`0b3<72=0;6=u+cb86f>N3891C?kl4$6:9041<,?=1=6g>4;29?l332900e5<50;9l<5<722wi>5o50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f7>>290>6=4?{%a`>0e<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f7>d290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<7b;291?6=8r.ho7;l;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo<7e;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd50m0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5190;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm29d94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm28094?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj;3:6=4::183!ed2<i0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj;3?6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c0:7?6==3:1<v*lc;7`?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c0:2?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th9584?:483>5}#kj0>o6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th9554?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a6<1=83?1<7>t$ba91f=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a6<g=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn?76:186>5<7s-ih68m4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn?7l:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg4>j3:197>50z&`g?3d3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg4>m3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl=9e83>0<729q/on4:c:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl=a183>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e:0l1<7;50;2x fe==j1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e:h81<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb3c2>5<2290;w)ml:4a8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb3c7>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk8j?7>55;294~"dk3?h7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk8j:7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`1e0<72<0;6=u+cb86g>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`1e=<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>l950;794?6|,ji19n5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi>lo50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f7g>290>6=4?{%a`>0e<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f7gd290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<nb;291?6=8r.ho7;l;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo<ne;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd5im0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5j90;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm2`d94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm2c094?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj;h:6=4::183!ed2<i0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj;h?6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c0a7?6==3:1<v*lc;7`?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c0a2?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th9n84?:483>5}#kj0>o6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th9n54?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a6g1=83?1<7>t$ba91f=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a6gg=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn?l6:186>5<7s-ih68m4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn?ll:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg4ej3:197>50z&`g?3d3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg4em3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl=be83>0<729q/on4:c:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl=c183>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e:kl1<7;50;2x fe==j1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e:j81<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb3a2>5<2290;w)ml:4a8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb3a7>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk8h?7>55;294~"dk3?h7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk8h:7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`1g0<72<0;6=u+cb86g>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`1g=<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>n950;794?6|,ji19n5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi>no50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f7e>290>6=4?{%a`>0e<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f7ed290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<lb;291?6=8r.ho7;l;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo<;9;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd5<10;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5<k0;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm25c94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm25f94?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj;>h6=4::183!ed2<i0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj;>m6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c07a?6==3:1<v*lc;7`?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c065?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th99=4?:483>5}#kj0>o6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th99>4?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a604=83?1<7>t$ba91f=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a603=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn?;;:186>5<7s-ih68m4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn?;8:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg42>3:197>50z&`g?3d3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg4213:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl=5983>0<729q/on4:c:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl=5c83>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e:<k1<7;50;2x fe==j1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e:<n1<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb37`>5<2290;w)ml:4a8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb37e>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk8>i7>55;294~"dk3?h7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk8==7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`125<72<0;6=u+cb86g>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`126<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>;<50;794?6|,ji19n5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi>;;50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f703290>6=4?{%a`>0e<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f700290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<96;291?6=8r.ho7;l;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo<99;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd5>10;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5>k0;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm27c94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm27f94?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj;<h6=4::183!ed2<i0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj;<m6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c05a?6==3:1<v*lc;7`?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c045?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th9;=4?:483>5}#kj0>o6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th9;>4?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a624=83?1<7>t$ba91f=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a623=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn?9;:186>5<7s-ih68m4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn?98:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg40>3:197>50z&`g?3d3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg4013:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl=7983>0<729q/on4:c:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl=7c83>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e:>k1<7;50;2x fe==j1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e:>n1<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb35`>5<2290;w)ml:4a8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb35e>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk8<i7>55;294~"dk3?h7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk83=7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`1<5<72<0;6=u+cb86g>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`1<6<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>5<50;794?6|,ji19n5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi>5;50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f7>3290>6=4?{%a`>0e<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f7>0290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<76;291?6=8r.ho7;l;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qoj=:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7713:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>4183>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9>=1<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb0cf>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;n97>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`14=<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>=j50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f775290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo<>7;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xdc?3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17plkc;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xdb93:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17plj6;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xdbj3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pli0;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xda=3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17plia;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xdan3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>0583>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e99o1<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb030>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;:47>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`25a<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=?<50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f440290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?=c;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd6;80;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm12494?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj89i6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c371?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th:8l4?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a51`=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<;;:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7213:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>5d83>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9?91<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb04;>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;=h7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`237<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=:m50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f4>6290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?76;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd60k0;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm18294?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj83>6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c3:e?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th:5k4?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a5d2=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<o6:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7e;3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>b983>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9kn1<7:50;2x fe==h1C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h77>5<<g1:1<75rb0a1>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;h;7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`2gf<72=0;6=u+cb86e>N3891C?kl4$6:9041<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=i?50;694?6|,ji19l5G4128L6`e3-=369?8;%44>4=n9=0;66g>6;29?l332900c5>50;9~f4b1290?6=4?{%a`>0g<@=:;7E=ib:&4<?26?2.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?kb;290?6=8r.ho7;n;I634>N4nk1/;54;169'22<63`;?6=44i0494?=n==0;66a70;29?xd6m90;694?:1y'gf<2i2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=h090;66sm1dc94?2=83:p(nm55`9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44o9294?=zj8om6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c3e0?6=<3:1<v*lc;7b?M2782B8jo5+798752=#>>0:7d?;:188m40=831b994?::m;4?6=3th:j44?:583>5}#kj0>m6F;019K7cd<,>218<94$7595>o6<3:17d?9:188m02=831d4=4?::a5cc=83>1<7>t$ba91d=O<9:0D>hm;%5;>1703-<<6<5f1583>>o6>3:17d;;:188k=6=831vn?><:187>5<7s-ih68o4H523?M5aj2.<47:>7:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg44;3:187>50z&`g?3f3A>;<6F<fc9'3=<39>1/::4>;h37>5<<a8<1<75f5583>>i?83:17pl=3583>1<729q/on4:a:J745=O;oh0(:654058 31=92c:87>5;h35>5<<a<>1<75`8183>>{e:=;1<7;50;2x fe==01C8=>4H2da?!1?2=;<7)88:09j51<722c::7>5;h0a>5<<a<>1<75`8183>>{e::i1<7:50;2x fe==k1C8=>4H2da?!1?2=;<7)88:09j51<722c>87>5;h:1>5<<g1:1<75rb363>5<2290;w)ml:4;8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c9n7>5;h77>5<<g1:1<75rb31e>5<3290;w)ml:4c8L1673A9mn6*88;623>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk92?7>54;294~"dk3?j7E:?0:J0bg=#?10?=:5+6682?l732900e<850;9j11<722e3<7>5;|`0=3<72<0;6=u+cb844>N3891C?kl4$6:9041<,?=186g91;29?l052900e;=50;9j21<722e<;7>5;|`0=2<72<0;6=u+cb844>N3891C?kl4$6:9041<,?=19;5f6083>>o1:3:17d8<:188m32=831d;:4?::a7<>=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<655f6083>>o1:3:17d8<:188m32=831d;:4?::a7<?=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<685f6083>>o1:3:17d8<:188m32=831d;:4?::a7<g=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<6?5f6083>>o1:3:17d8<:188m32=831d;:4?::a7<d=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<6?5f6083>>o1:3:17d8<:188m32=831d;:4?::a7<e=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<6:5f6083>>o1:3:17d8<:188m32=831d;:4?::a7<b=83?1<7>t$ba935=O<9:0D>hm;%5;>1703-<<6>5f6083>>o1:3:17d8<:188m32=831d;:4?::a7<`=8381<7>t$ba92==O<9:0D>hm;%5;>1703`<;6=44o6594?=zj:o:6=4;:183!ed2<k0D9>?;I1ef>"003>:;6*97;38m42=831b=;4?::k60?6=3f2;6=44}c1a`?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<b`83>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1`5?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<bd83>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1`1?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<c383>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1`=?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<c783>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1``?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<c`83>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1g5?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<cd83>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1g1?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<d383>1<729q/on483:J745=O;oh0(:654058m4>=831b984?::k;7?6=3f<j6=44}c1g<?6=;3:1<v*lc;1eb>N3891C?kl4$6:9041<a821<75f6883>>i0?3:17pl<bb83>7<729q/on49d:J745=O;oh0(:654058 31=>2c==7>5;n54>5<<uk9h<7>52;294~"dk3<o7E:?0:J0bg=#?10?=:5+6685?l062900c:950;9~f6e329096=4?{%a`>3b<@=:;7E=ib:&4<?26?2.=;784i7394?=h?>0;66sm3b:94?4=83:p(nm56e9K056<@:li7)97:534?!002?1b:<4?::m43?6=3th8on4?:383>5}#kj0=h6F;019K7cd<,>218<94$7592>o193:17b98:188yg5c83:1>7>50z&`g?0c3A>;<6F<fc9'3=<39>1/::49;h42>5<<g>=1<75rb2f7>5<5290;w)ml:7f8L1673A9mn6*88;623>"1?3<0e;?50;9l32<722wi?i950;094?6|,ji1:i5G4128L6`e3-=369?8;%44>3=n>80;66a87;29?xd4jk0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4jo0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4k:0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4k>0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4kk0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4ko0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4l:0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd4l?0;684?:1y'gf<2k2B?<=5G3g`8 2>=<8=0(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5::0;6>4?:1y'gf<4no1C8=>4H2da?!1?2=;<7d?7:188m3?=831d;:4?::a676=83>1<7>t$ba936=O<9:0D>hm;%5;>1703`;36=44i4794?=n0:0;66a9a;29?xd5:>0;6>4?:1y'gf<4no1C8=>4H2da?!1?2=;<7d?7:188m3?=831d;:4?::a672=83>1<7>t$ba936=O<9:0D>hm;%5;>1703`;36=44i4794?=n0:0;66a9a;29?xd5:k0;6>4?:1y'gf<4no1C8=>4H2da?!1?2=;<7d?7:188m3?=831d;:4?::a67>=83>1<7>t$ba936=O<9:0D>hm;%5;>1703`;36=44i4794?=n0:0;66a9a;29?xd5:l0;6>4?:1y'gf<4no1C8=>4H2da?!1?2=;<7d?7:188m3?=831d;:4?::a674=8381<7>t$ba92a=O<9:0D>hm;%5;>1703-<<6;5f6083>>i0?3:17pl=2783>7<729q/on49d:J745=O;oh0(:654058 31=>2c==7>5;n54>5<<uk89m7>52;294~"dk3<o7E:?0:J0bg=#?10?=:5+6685?l062900c:950;9~f74c29096=4?{%a`>3b<@=:;7E=ib:&4<?26?2.=;784i7394?=h?>0;66sm23394?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm23794?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm23;94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm23a94?3=83:p(nm55b9K056<@:li7)97:534?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm3`394?1=83:p(nm5739K056<@:li7)88:458m37=831b:?4?::k57?6=3`<?6=44i7794?=n>?0;66a87;29?xd4i:0;6:4?:1y'gf<0:2B?<=5G3g`8 31==>1b:<4?::k56?6=3`<86=44i7694?=n><0;66g96;29?j102900qo=n4;293?6=8r.ho79=;I634>N4nk1/::4l;h42>5<<a?81<75f6283>>o1<3:17d8::188m30=831d;:4?::a7d3=83=1<7>t$ba937=O<9:0D>hm;%44>f=n>80;66g92;29?l042900e;:50;9j20<722c=:7>5;n54>5<<uk9j:7>57;294~"dk3=97E:?0:J0bg=#>>0h7d8>:188m34=831b:>4?::k50?6=3`<>6=44i7494?=h?>0;66sm3`594?1=83:p(nm5739K056<@:li7)88:b9j24<722c=>7>5;h40>5<<a?>1<75f6483>>o1>3:17b98:188yg5f03:1;7>50z&`g?153A>;<6F<fc9'22<d3`<:6=44i7094?=n>:0;66g94;29?l022900e;850;9l32<722wi?l750;794?6|,ji1;=5G4128L6`e3-<<6o5f6083>>o1:3:17d8<:188m32=831d;:4?::a7dg=83=1<7>t$ba937=O<9:0D>hm;%44>0b<a?;1<75f6383>>o1;3:17d8;:188m33=831b:;4?::m43?6=3th8m?4?:783>5}#kj0<=6F;019K7cd<,?=1=?5f6083>>o1:3:17d8<:188m32=831b:84?::m43?6=3th9?i4?:583>5}#kj0>46F;019K7cd<,?=1=6*88;623>o6<3:17d<m:188m02=831d4=4?::a66c=83>1<7>t$ba91==O<9:0D>hm;%44>4=#?10?=:5f1583>>o5j3:17d;;:188k=6=831v9o50;0xZ1g<5:k26;:4}r6;>5<5sW>370=n9;40?xu3?3:1>vP;7:?0e<<192wx8;4?:3y]03=:;h31:?5rs5794?4|V=?01>o7:748yv232909wS:;;<1b<?023ty?>7>52z\76>;4i10=86s|4083>7}Y<816?l65629~w16=838pR9>4=2c;>37<uz9m6=4={_1e?85f03<97p}<e;296~X4m278m8496:p7a<72;qU?i523`7920=z{:i1<7<t^2a896g22?>0q~=m:181[5e349j978<;|q0e?6=:rT8m63<a4855>{t;00;6?uQ389>7d3=>;1v>950;0xZ61<5:k?6;84}r15>5<5sW9=70=n4;46?xu4=3:1>vP<5:?0e1<1<2wx?94?:3y]71=:;h>1:>5rs2194?4|V:901>o;:738yv552909wS==;<1b0?053ty8=7>52z\05>;4i>0=:6s|3183>7}Y;916?l95649~w7`=838pR?h4=2c4>32<uz8n6=4={_0f?85f?3<87p}:1;296~X29278m:491:p15<72;qU9=523`5927=z{=l1<7:t^5d896`02<>01>7;:71896g12?<0q~:j:186[2b348?=7?9;<075?333488n78=;<1b2?023ty?h7>511cxZ1b<5;2<6<84=3:5>=4<5;2>6<84=3:7>=4<5;286<84=3:1>=4<5;2:6<84=3:3>=4<5;=m6<84=35f>=4<5;=o6<84=35`>=4<5;=i6<84=35b>=4<5;=26<84=35;>=4<5;=<6<84=355>=4<5;=>6<84=357>=4<5;=86<84=351>=4<5;=:6<84=353>=4<5;<m6<84=34f>=4<5;<o6<84=34`>=4<5;<i6<84=34b>=4<5;<26<84=34;>=4<5;<<6<84=345>=4<5;<>6<84=347>=4<5;<86<84=341>=4<5;<:6<84=343>=4<5;?m6<84=37f>=4<5;?o6<84=37`>=4<5;?i6<84=37b>=4<5;?26<84=37;>=4<5;?<6<84=375>=4<5;?>6<84=377>=4<5;?86<84=371>=4<5;?:6<84=373>=4<5;>m6<84=36f>=4<5;>o6<84=36`>=4<5;>i6<84=36b>=4<5;>26<84=36;>=4<5;ih6<84=3aa>=4<5;ij6<84=3a:>=4<5;i36<84=3a4>=4<5;i=6<84=3a6>=4<5;i?6<84=3a0>=4<5;i96<84=3a2>=4<5;i;6<84=3`e>=4<5;hn6<84=3`g>=4<5;hh6<84=3`a>=4<5;hj6<84=3`:>=4<5;h36<84=3`4>=4<5;h=6<84=3`6>=4<5;h?6<84=3`0>=4<5;h96<84=3`2>=4<5;h;6<84=3ce>=4<5;kn6<84=3cg>=4<5;kh6<84=3ca>=4<5;kj6<84=3c:>=4<5;k36<84=3c4>=4<5;k=6<84=3c6>=4<5;k?6<84=3c0>=4<5;k96<84=3c2>=4<5;k;6<84=3;e>=4<5;3n6<84=3;g>=4<5;3h6<84=3;a>=4<5;3j6<84=3;:>=4<5;336<84=3;4>=4<5;3=6<84=3;6>=4<5;3?6<84=3;0>=4<5;396<84=3;2>=4<5;3;6<84=3:e>=4<5;2n6<84=3:g>=4<5;2h6<84=3:a>=4<5;2j6<84=3::>=4<5;::6;?4=323>40<58lh6;?4=0da>40<58l<6;?4=0d5>40<58l96;?4=0d2>40<58oo6;?4=0g`>40<58o36;?4=0g4>40<58nn6;?4=0fg>40<58n26;?4=0f;>40<58n?6;?4=0f0>40<58im6;?4=0af>40<58ij6;?4=0a:>40<58i>6;?4=0a7>40<58i;6;?4=0`e>40<58hi6;?4=0`b>40<58h=6;?4=0`6>40<58h:6;?4=0`3>40<58k<6;?4=0c5>40<58k96;?4=0c2>40<583o6;?4=0;`>40<58336;?4=0;4>40<58386;?4=0;1>40<582n6;?4=0:g>40<58226;?4=0:;>40<582?6;?4=0:0>40<58=m6;?4=05f>40<58=j6;?4=05:>40<58=;6;?4=04e>40<58<i6;?4=04b>40<58<=6;?4=046>40<58<:6;?4=043>40<58?h6;?4=07a>40<58?<6;?4=075>40<58?96;?4=072>40<58>o6;?4=06`>40<58>36;?4=064>40<58>86;?4=061>40<58926;?4=01;>40<589?6;?4=010>40<588m6;?4=00f>40<588j6;?4=00:>40<588>6;?4=007>40<588;6;?4=03e>40<58;i6;?4=03b>40<58;=6;?4=036>40<58;:6;?4=033>40<58:h6;?4=02a>40<58:96;?4=022>40<5on1:<52fb822>;a03<:70h8:0489c5=>816j?4>6:?fa?0634oo6<84=d;924=:m10::63j4;42?8c428<01ih5609>``<6>27om78>;<f:>40<5m?1:<52d5822>;59<0==63=15822>;5990==63=0g822>;58k0==63=0`822>;58?0==63=04822>;6m:0==63>e3822>;6ij0==63>ac822>;6?<0==63>75822>;6;l0==63>3e822>;68>0==63>07822>;c83<:70mi:04896g12?>0q~:l:180[2d3492j78?;<1b2?043ty??7>52z\77>;4i?0==6s|3983>6}Y;116ok4:4:?0e3<1:2wx>i4?:81xZ7b<5:386<:4=362>42<5;2<6<:4=3:6>42<5;286<:4=3:2>42<5;=m6<:4=35g>42<5;=i6<:4=35:>42<5;=<6<:4=356>42<5;=86<:4=352>42<5;<m6<:4=34g>42<5;<i6<:4=34:>42<5;<<6<:4=346>42<5;<86<:4=342>42<5;?m6<:4=37g>42<5;?i6<:4=37:>42<5;?<6<:4=376>42<5;?86<:4=372>42<5;>m6<:4=36g>42<5;>i6<:4=36:>42<5;ih6<:4=3ab>42<5;i36<:4=3a5>42<5;i?6<:4=3a1>42<5;i;6<:4=3`f>42<5;hh6<:4=3`b>42<5;h36<:4=3`5>42<5;h?6<:4=3`1>42<5;h;6<:4=3cf>42<5;kh6<:4=3cb>42<5;k36<:4=3c5>42<5;k?6<:4=3c1>42<5;k;6<:4=3;f>42<5;3h6<:4=3;b>42<5;336<:4=3;5>42<5;3?6<:4=3;1>42<5;3;6<:4=3:f>42<5;2h6<:4=3:b>42<5:l<6<:4=2d;>42<5:l=6<:4=323>4?<58li6<74=0d5>4?<58l:6<74=0g`>4?<58o<6<74=0fg>4?<58n36<74=0f0>4?<58in6<74=0a:>4?<58i?6<74=0`e>4?<58hj6<74=0`6>4?<58h;6<74=0c5>4?<58k:6<74=0;`>4?<583<6<74=0;1>4?<582o6<74=0:;>4?<58286<74=05f>4?<58=26<74=04e>4?<58<j6<74=046>4?<58<;6<74=07a>4?<58?=6<74=072>4?<58>h6<74=064>4?<58>96<74=01;>4?<58986<74=00f>4?<58826<74=007>4?<58;m6<74=03b>4?<58;>6<74=033>4?<58:i6<74=022>4?<5oi1=452f682=>;a:3;270kk:0;89`>=9016i>4>9:?ga?7>34n26<74=e695<=::8>1=45221d95<=::9k1=45221795<=:9l81=4521``95<=:9>>1=45212f95<=:99<1=452cg82=>;4m80:863<d7820>;4l:0:863<cg820>;4kk0:863<c6820>;4k:0:863<bg820>;4jk0:86s|1883><6|V8301?=l:068972728>01?=i:068975428>01?=;:068976428>01<hj:06894`>28>01<h;:06894ca28>01<kn:06894c728>01<jm:06894b128>01<j>:06894ed28>01<m8:06894e528>01<lk:06894d?28>01<l<:06894g>28>01<o;:06894?a28>01<7n:06894?228>01<7?:06894>e28>01<69:06894>628>01<9l:068941528>01<8k:068940?28>01<8<:068943b28>01<;6:068943328>01<:i:068942f28>01<:::068945e28>01<=9:068945628>01<<l:068944028>01<<=:068947c28>01<?7:068947428>01<>j:068946328>01kh5159>bd<6<27m97?;;<d3>42<5lh1=952e7820>;b93;?70jl:0689a1=9=16><95159>644=9=16>=j5159>65>=9=16=h;5159>5dc=9=16=:95159>516=9=16==75159>`7<6<2794;4>4:?1<1<6<2794?4>4:?1<5<6<279;h4>4:?13f<6<279;l4>4:?13=<6<279;;4>4:?131<6<279;?4>4:?135<6<279:h4>4:?12f<6<279:l4>4:?12=<6<279:;4>4:?121<6<279:?4>4:?125<6<2799h4>4:?11f<6<2799l4>4:?11=<6<2799;4>4:?111<6<2799?4>4:?115<6<2798h4>4:?10f<6<2798l4>4:?10=<6<279oo4>4:?1g<<6<279o:4>4:?1g0<6<279o>4>4:?1g4<6<279nk4>4:?1fa<6<279no4>4:?1f<<6<279n:4>4:?1f0<6<279n>4>4:?1f4<6<279mk4>4:?1ea<6<279mo4>4:?1e<<6<279m:4>4:?1e0<6<279m>4>4:?1e4<6<2795k4>4:?1=a<6<2795o4>4:?1=<<6<2795:4>4:?1=0<6<2795>4>4:?1=4<6<2794k4>4:?1<a<6<2794o4>4:?1<<<6<279>n4>4:?16<<6<279>84>4:?164<6<279?i4>4:?17`<6<2wxo:4?:3y]g2=::9914=5rsb794?4|Vj?01<hj:928yve32909wSm;;<3e=?>73tyh?7>52z\`7>;6n=03<6s|c383>7}Yk;16=hh5819~wf7=838pRn?4=0gb>=6<uzhm6=4={_`e?87b832;7p}me;296~Xem27:ho470:pfa<72;qUni521e49<5=z{ki1<7<t^ca894b621:0q~lm:181[de34;ho76?;|qae?6=:rTim63>c68;4>{tj00;6?uQb89>5f4=091vo650;0xZg><58ho65>4}r`4>5<5sWh<70?m8;:3?xue>3:1>vPm6:?2f6<?82wxn94?:3y]f1=:9h314=5rsc194?4|Vk901<o;:928yvd52909wSl=;<3:b?>73tyi=7>52z\a5>;61h03<6s|b183>7}Yj916=4;5819~wd`=838pRlh4=0;3>=6<uzkn6=4={_cf?87?j32;7p}nd;296~Xfl27:4;470:pef<72;qUmn521939<5=z{hh1<7<t^``8941d21:0q~o6:181[g>34;<>76?;|qb<?6=:rTj463>6e8;4>{ti>0;6?uQa69>53>=091vl850;0xZd0<58<865>4}rc6>5<5sWk>70?:e;:3?xuf<3:1>vPn4:?21<<?82wxm>4?:3y]e6=:9<>14=5rs`094?4|Vh801<:i:928yvg62909wSo>;<37e?>73tyj<7>52z\b4>;6<<03<6s|9d83>7}Y1l16=>l5819~w<b=838pR4j4=015>=6<uz3h6=4={_;`?874932;7p}6b;296~X>j27:>n470:p=d<72;qU5l521359<5=z{031<7<t^8;8944521:0q~77:181[??34;:h76?;|q:3?6=:rT2;63>198;4>{t1?0;6?uQ979>545=091v4;50;0xZ<3<58:n65>4}r;0>5<5sW3870??4;:3?xu>:3:1>vP62:?eb?>73ty2=7>52z\:5>;ai32;7p}60;296~X>827m976?;|q;b?6=:rT3j63i0;:3?xu?m3:1>vP7e:?ff?>73ty3h7>52z\;`>;b>32;7p}7c;296~X?k27n=76?;|q;f?6=:rT3n63kc;:3?xu?i3:1>vP7a:?g3?>73tyhn7>52z\`f>;59>03<6s|c`83>7}Ykh16><<5819~wf?=838pRn74=32g>=6<uzi36=4={_a;?847032;7p}l0;296~Xd827:i8470:pf0<72;qUn8521`g9<5=z{hk1<7<t^`c8941021:0q~7i:181[?a34;?<76?;|q:0?6=:rT2863>088;4>{t000;6?uQ889>`7<?82wx8o4?:3y]0g=:;0>1;:5rs6694?73s4>;>796;<1:7?713488j7?9;<007?71348887?9;<1e3?71349m47?9;<1f5?71349o:7?9;<1g7?71349hj7?9;<1`f?71349h;7?9;<1`7?71349ij7?9;<1af?71349im7?7;<1a`?7?3489<7?7;<017?7?3ty<97>5cz?744<2m2785>4:4:?0`7<2=278oh4:5:?0gd<2=278o;4:5:?0g7<2=278nh4:5:?0fd<2=279>54:5:?161<2=279>=4:5:p7c?=839p1>h8:92896`?2<>01>h9:908yv5ai3:1>v3<f98;4>;4n?0>86s|29:94?4|5;2<65>4=3:5>02<uzn86=4={<f1>02<5jl14=5rse394?4|5m:1:?52cg8;5>{t99k1<7<t=02:>02<58:=65>4}r33<?6=:r7:<:492:?243<?92wx=9?50;0x94272<>01<=k:928yv74n3:1>v3>3d856>;6;m03=6s|16:94?4|58=<68:4=057>=6<uz;<:7>52z?230<1:27:;9471:p5d`=838p1<oj:46894ge21:0q~?nd;296~;6ij0=>63>ac8;5>{t9l<1<7<t=0g6>02<58o965>4}r3f0?6=:r7:i>492:?2a7<?92wx>=750;0x976?2<>01?>::928yv47?3:1>v3=07856>;58<03=6s|21g94?4|5;:o68:4=32b>=6<uz8;o7>52z?14g<1:279<l471:p645=838p1??=:468976a21:0q~<>1;296~;5990=>63=0g8;5>{t:821<7<t=334>02<5;;?65>4}r022?6=:r79=8492:?151<?92wxh54?:3y>`2<2<27o876?;|qg2?6=:r7o978=;<f7>=7<uzno6=4={<f`>02<5m314=5rse`94?4|5mk1:?52d88;5>{tm;0;6?u2e0860>;cm32;7p}j0;296~;cn3<970jj:938yvc02909w0k9:4689`5=091vh;50;0x9`2=>;16i>471:paf<72;q6io4:4:?f<?>73tynm7>52z?f=?0534o365?4}rd2>5<5s4l;68:4=df9<5=z{ll1<7<t=dg927=:mm03=6s|f783>7}:n<0>863i2;:3?xua<3:1>v3i3;41?8`521;0q~hm:1818`f2<>01k95819~wc?=838p1k65639>b2<?92wx==>50;0x9c`===16jn470:pb`<72;q6ji492:?eg?>63ty:<84?:3y>552===16==?5819~w4642909w0??2;41?877932:7p}>0g83>7}:99o1995211`9<5=z{8:o6=4={<33g?0534;;n76>;|q251<72;q6=<=5559>546=091v<?=:18187693<970?>0;:2?xu6900;6?u210:911=:98?14=5rs034>5<5s4;::78=;<321?>63ty:=h4?:3y>54b===16=<o5819~w47d2909w0?>b;41?876i32:7p}>2283>7}:9;81995210d9<5=z{88:6=4={<314?0534;:j76>;|q26=<72;q6=?95559>572=091v<<9:181875=3<970?=4;:2?xu6:m0;6?u213a911=:9;314=5rs00a>5<5s4;9m78=;<31=?>63ty:??4?:3y>567===16=?k5819~w4572909w0?=f;41?875m32:7p}>3683>7}:9:<199521219<5=z{89>6=4={<300?0534;8?76>;|q27f<72;q6=>l5559>56>=091v<=n:18187413<970?<8;:2?xu6<?0;6?u2157911=:9=814=5rs067>5<5s4;??78=;<376?>63ty:8o4?:3y>51g===16=995819~w42>2909w0?;8;41?873?32:7p}>5183>7}:9=l1995215a9<5=z{8>n6=4={<37`?0534;?o76>;|q210<72;q6=8:5559>507=091v<;<:181872:3<970?:1;:2?xu6=h0;6?u214;911=:9<<14=5rs07;>5<5s4;>;78=;<362?>63ty:9k4?:3y>50c===16=8l5819~w43c2909w0?:c;41?872j32:7p}>6583>7}:9?9199521729<5=z{8<96=4={<355?0534;=<76>;|q22<<72;q6=;65559>533=091v<88:181871>3<970?95;:2?xu6>l0;6?u217f911=:9?k14=5rs04`>5<5s4;=n78=;<35e?>63ty:;>4?:3y>524===16=;h5819~w4162909w0?80;41?871n32:7p}>7e83>7}:9>i1995216;9<5=z{8=i6=4={<34e?0534;<576>;|q2<7<72;q6=5?5559>52c=091v<6?:181870n3<970?8e;:2?xu60>0;6?u2194911=:91914=5rs0:6>5<5s4;3878=;<3;7?>63ty:4n4?:3y>5=d===16=565819~w4>f2909w0?79;41?87?032:7p}>9083>7}:90:1995219f9<5=z{82m6=4={<3;a?0534;3h76>;|q2=3<72;q6=4;5559>5<4=091v<7;:18187>;3<970?62;:2?xu61k0;6?u218c911=:90=14=5rs0;:>5<5s4;2478=;<3:3?>63ty:m=4?:3y>5<`===16=4m5819~w4?b2909w0?6d;41?87>k32:7p}>a483>7}:9h>199521`39<5=z{8k86=4={<3b6?0534;j=76>;|q2ed<72;q6=l75559>5d0=091v<o7:18187f?3<970?n6;:2?xu6j=0;6?u21c1911=:9k:14=5rs0`1>5<5s4;i=78=;<3a4?>63ty:n44?:3y>5g>===16=o;5819~w4d02909w0?m6;41?87e=32:7p}>bd83>7}:9kn199521cc9<5=z{8hh6=4={<3af?0534;im76>;|q2g6<72;q6=n<5559>5g`=091v<m>:18187d83<970?mf;:2?xu6k10;6?u21b5911=:9j>14=5rs0a5>5<5s4;h978=;<3`0?>63ty:oi4?:3y>5fe===16=n75819~w4ee2909w0?la;41?87d132:7p}>d383>7}:9m;199521bg9<5=z{8n;6=4={<3`b?0534;hi76>;|q2`2<72;q6=i85559>5a5=091v<j::18187c<3<970?k3;:2?xu6lj0;6?u21e`911=:9m214=5rs0fb>5<5s4;o578=;<3g<?>63ty:i<4?:3y>5`6===16=ij5819~w4ba2909w0?ke;41?87cl32:7p}>ec83>7}:9lk199521d59<5=z{8o26=4={<3f<?0534;n;76>;|q2b5<72;q6=hh5559>5`e=091v<kj:18187bl3<970?jc;:2?xu6n<0;6?u21g6911=:9o;14=5rs0d0>5<5s4;m>78=;<3e5?>63ty:jl4?:3y>5c?===16=k85819~w4`?2909w0?i7;41?87a>32:7p}>fg83>7}:9oo199521g`9<5=z{8lo6=4={<3eg?0534;mn76>;|q141<72;q6>==5559>656=091v?>=:18184793<970<?0;:2?xu5;>0;6>u22219<5=:::>19952224927=z{;936=4={<000?>73488:78>;|q17d<72<q6>>85769>67e=0;16>?75839>673=0;16>??5839~w75>290>w0<<5;54?845k3;=70<=9;35?845=3;=70<=1;35?xu5<;0;6?u22539<5=:::n1995rs367>5<4s488o7;;;<00`?>73488i7;;;|q100<72;q6>>m5839>66c=091v?:9:181843832;70<<f;77?xu5<>0;6?u222d9<5=:::h1:<5rs360>5<2s48?=7<m;<074?4e3488n798;<00`?4e3488i7<m;|q`a?6=:r785>470:?0=1<1:2wx?5k50;0x976428<01?69:928yv4bn3:1?v3=86860>;50<03<63=85860>{t;1n1<7<t=0df>40<5;2?65>4}r0fa?6=;r79484:4:?1<6<?82794?4:4:p7=e=838p1<h6:04897>521:0q~<jc;297~;50:0>863=808;4>;5090>86s|39`94?4|58l?6<84=3:3>=6<uz8nn7>53z?1<4<2<279;k470:?13`<2<2wx?5o50;0x94ca28<01?9j:928yv4bi3:1?v3=7g860>;5?m03<63=7b860>{t;131<7<t=0gb>40<5;=h65>4}r0f=?6=;r79;i4:4:?13g<?8279;l4:4:p7=1=838p1<k?:048971f21:0q~<j8;297~;5?k0>863=788;4>;5?10>86s|39494?4|58ni6<84=35;>=6<uz8n;7>53z?13<<2<279;:470:?133<2<2wx?5;50;0x94b128<01?99:928yv4b>3:1?v3=76860>;5?<03<63=75860>{t;1>1<7<t=0f2>40<5;=?65>4}r0f1?6=;r79;84:4:?136<?8279;?4:4:p7=5=838p1<ml:048971521:0q~<j4;297~;5?:0>863=708;4>;5?90>86s|39094?4|58i<6<84=353>=6<uz8n?7>53z?134<2<279:k470:?12`<2<2wx?5?50;0x94e528<01?8j:928yv4b93:1?v3=6g860>;5>m03<63=6b860>{t;1:1<7<t=0`g>40<5;<h65>4}r0f4?6=;r79:i4:4:?12g<?8279:l4:4:p72`=838p1<l7:048970f21:0q~<kf;297~;5>k0>863=688;4>;5>10>86s|36g94?4|58h86<84=34;>=6<uz8oi7>53z?12<<2<279::470:?123<2<2wx?:m50;0x94g>28<01?89:928yv4cl3:1?v3=66860>;5><03<63=65860>{t;>h1<7<t=0c7>40<5;<?65>4}r0gg?6=;r79:84:4:?126<?8279:?4:4:p72g=838p1<7i:048970521:0q~<kb;297~;5>:0>863=608;4>;5>90>86s|36;94?4|583j6<84=343>=6<uz8om7>53z?124<2<2799k470:?11`<2<2wx?:650;0x94?228<01?;j:928yv4c13:1?v3=5g860>;5=m03<63=5b860>{t;>=1<7<t=0;3>40<5;?h65>4}r0g<?6=;r799i4:4:?11g<?82799l4:4:p720=838p1<6m:048973f21:0q~<k6;297~;5=k0>863=588;4>;5=10>86s|36794?4|582=6<84=37;>=6<uz8o97>53z?11<<2<2799:470:?113<2<2wx?::50;0x94>628<01?;9:928yv4c<3:1?v3=56860>;5=<03<63=55860>{t;>91<7<t=05`>40<5;??65>4}r0g7?6=;r79984:4:?116<?82799?4:4:p727=838p1<9=:048973521:0q~<k2;297~;5=:0>863=508;4>;5=90>86s|36294?4|58<o6<84=373>=6<uz8o=7>53z?114<2<2798k470:?10`<2<2wx?;h50;0x940?28<01?:j:928yv4c83:1?v3=4g860>;5<m03<63=4b860>{t;?o1<7<t=040>40<5;>h65>4}r0`b?6=;r798i4:4:?10g<?82798l4:4:p73b=838p1<;j:048972f21:0q~<le;297~;5<k0>863=488;4>;5<10>86s|37a94?4|58?26<84=36;>=6<uz8hh7>53z?10<<2<279on470:?1gg<2<2wx?;l50;0x943328<01?mm:928yv53m3:1?v3=cb860>;5kh03<63=c8860>{t;?k1<7<t=06e>40<5;i265>4}r17`?6=;r79ol4:4:?1g=<?8279o:4:4:p73?=838p1<:n:04897e021:0q~=;c;297~;5k10>863=c78;4>;5k<0>86s|37:94?4|58>>6<84=3a6>=6<uz9?n7>53z?1g3<2<279o9470:?1g6<2<2wx?;850;0x945e28<01?m<:928yv53i3:1?v3=c5860>;5k;03<63=c0860>{t;??1<7<t=015>40<5;i:65>4}r17=?6=;r79o?4:4:?1g5<?8279nk4:4:p732=838p1<=>:04897da21:0q~=;8;297~;5k90>863=bd8;4>;5jm0>86s|37194?4|588h6<84=3`g>=6<uz9?;7>53z?1f`<2<279nn470:?1fg<2<2wx?;<50;0x944028<01?lm:928yv53>3:1?v3=bb860>;5jh03<63=b8860>{t;?;1<7<t=001>40<5;h265>4}r171?6=;r79nl4:4:?1f=<?8279n:4:4:p736=838p1<?k:04897d021:0q~=;3;297~;5j10>863=b78;4>;5j<0>86s|34d94?4|58;36<84=3`6>=6<uz9?>7>53z?1f3<2<279n9470:?1f6<2<2wx?8k50;0x947428<01?l<:928yv5393:1?v3=b5860>;5j;03<63=b0860>{t;<n1<7<t=02f>40<5;h:65>4}r174?6=;r79n?4:4:?1f5<?8279mk4:4:p70d=838p1<>;:04897ga21:0q~=<f;297~;5j90>863=ad8;4>;5im0>86s|34c94?4|5ol1=;522`f9<5=z{:9n6=4<{<0ba?33348jo76?;<0bf?333ty8944?:3y>bd<6>279mo470:p76b=839p1?ol:46897gf21:01?o6:468yv5203:1>v3i5;35?84f132;7p}<3b83>6}::hk199522`:9<5=::h=1995rs274>5<5s4l;6<84=3c4>=6<uz98n7>53z?1e=<2<279m;470:?1e0<2<2wx?8850;0x9`d=9?16>l;5819~w65f2908w0<n6;77?84f<32;70<n3;77?xu4=<0;6?u2e7822>;5i:03<6s|32:94?5|5;k?68:4=3c1>=6<5;k:68:4}r160?6=:r7n=7?9;<0b5?>73ty8?:4?:2y>6d4===16>l>5819>6<`===1v>;<:1818bd28<01?7i:928yv54>3:1?v3=a1860>;51l03<63=9e860>{t;<81<7<t=e5953=::0n14=5rs216>5<4s482i7;;;<0:g?>73482n7;;;|q0=7<72;q6><95179>6<d=091v>=;:18084>k3??70<6a;:3?84>13??7p}<9083>7}::881=;5228;9<5=z{:986=4<{<0:e?333482476?;<0:3?333ty85=4?:3y>65b=9?16>495819~w6552908w0<68;77?84>>32;70<65;77?xu40o0;6?u221:953=::0?14=5rs212>5<4s482:7;;;<0:0?>73482?7;;;|q0<=<72;q6=h;5179>6<5=091v>=?:18084><3??70<62;:3?84>93??7p}<7e83>7}:9ho1=;522839<5=z{:8m6=4<{<0:6?333482<76?;<0;b?333ty8;?4?:3y>521=9?16>5h5819~w64c2908w0<60;77?84?m32;70<7d;77?xu4>>0;6?u2152953=::1n14=5rs20`>5<4s483i7;;;<0;g?>73483n7;;;|q01f<72;q6==75179>6=d=091v><m:18084?k3??70<7a;:3?84?13??7p}<5083>7}:l;0::63=888;4>{t;;k1<7<t=3:b>02<5;::6:94}r11=?6=:r79<=4:4:?2bf<0?2wx??650;0x94`e2<>01<h8:658yv55?3:1>v3>f7860>;6n;0<;6s|33494?4|58l:68:4=0gg>21<uz9997>52z?2af<2<27:i5487:p772=838p1<k8:46894bb2>=0q~==2;296~;6lm0>863>d8843>{t;;;1<7<t=0f;>02<58n?6:94}r114?6=:r7:h>4:4:?2gc<0?2wx?<h50;0x94eb2<>01<mn:658yv56m3:1>v3>c8860>;6k<0<;6s|30f94?4|58i?68:4=0a3>21<uz9:o7>52z?2fc<2<27:no487:p74d=838p1<ln:46894d12>=0q~=>a;296~;6j<0>863>b0843>{t;831<7<t=0`3>02<58k<6:94}r123?6=:r7:m;4:4:?2e7<0?2wx?<850;0x94g62<>01<7k:658yv56=3:1>v3>9b860>;6110<;6s|30694?4|583<68:4=0;0>21<uz9:?7>52z?2=7<2<27:4h487:p744=838p1<6k:46894>>2>=0q~=>1;296~;6010>863>85843>{t;8:1<7<t=0:0>02<58=m6:94}r13b?6=:r7:;h4:4:?23d<0?2wx?=k50;0x941>2<>01<9?:658yv57k3:1>v3>6g860>;6>k0<;6s|31`94?4|58<j68:4=045>21<uz9;m7>52z?220<2<27::<487:p75?=838p1<8?:468943d2>=0q~=?8;296~;6=k0>863>56843>{t;9=1<7<t=075>02<58?96:94}r132?6=:r7:9<4:4:?20a<0?2wx?=;50;0x942d2<>01<:7:658yv57<3:1>v3>46860>;6<:0<;6s|31194?4|58>968:4=01:>21<uz9;=7>52z?27=<2<27:?9487:p756=838p1<=<:468944a2>=0q~<if;296~;6:l0>863>2`843>{t:oo1<7<t=00:>02<588>6:94}r0e`?6=:r7:>94:4:?265<0?2wx>km50;0x947a2<>01<?m:658yv4aj3:1>v3>1`860>;69?0<;6s|2gc94?4|58;>68:4=032>21<uz8m57>52z?255<2<27:<n487:p6c>=838p1<>m:46894652>=0q~<i6;296~;6880>863id;54?xu5n<0;6?u2fb860>;a03=<7p}=f583>7}:n>0>863i3;54?xu5n:0;6?u2f3860>;bm3=<7p}=f383>7}:mm0>863j9;54?xu5n80;6?u2e9860>;b<3=<7p}=f183>7}:m:0>863kf;54?xu5mm0;6?u2dd860>;ci3=<7p}=e383>7}:l00>863k5;54?xu5l>0;6?u2d5860>;59<0<;6s|35d94?4|5;;?68:4=333>21<uz9?87>52z?14c<2<279<o487:p76?=838p1?>n:46897612>=0q~==e;296~;58<0>863>e2843>{t;;91<7<t=0g1>02<58kh6:94}r12<?6=:r7:mo4:4:?230<0?2wx?=j50;0x94132<>01<=j:658yv57:3:1>v3>3e860>;68>0<;6s|2g594?4|58:=68:4=e2932=z{:l96=4;5z?170<19279<=4j;<3ef?c<58l=6h521g39a>;6mj0n70?j7;g894bc2l16=i65e:?2`6<b34;hi7k4=0a:>`=:9j>1i63>bg8f?87ei3o01<l::d9>5g6=m27:m;4j;<3b5?c<583h6h521859a>;61;0n70?7d;g894>?2l16=5=5e:?23`<b34;<57k4=04e>`=:9?k1i63>648f?87183o01<;m:d9>500=m27:9<4j;<37g?c<58><6h521509a>;6;10n70?<3;g8944b2l16=?75e:?261<b34;:j7k4=03b>`=:98?1i63>118f?877j3o01<>>:d9>bf<b34l<6h52f38f?8cc2l16i54j;<g0>`=:ll0n70j6:d9>`1<b348:87k4=32e>`=::9k1i63=048f?87b:3o01<om:d9>522=m27:?i4j;<332?c<5jl1i63=208;4>;5:;0==6s|3g194?22s488978=;<034?`<58li6k521g49b>;6n80m70?jc;d894c02o16=ij5f:?2`=<a34;o?7h4=0af>c=:9j31j63>c58e?87en3l01<ln:g9>5g3=n27:n=4i;<3b2?`<58k:6k5218a9b>;61>0m70?62;d894>c2o16=565f:?2<6<a34;<i7h4=05:>c=:9?l1j63>6`8e?871=3l01<8?:g9>50d=n27:9;4i;<365?`<58>h6k521559b>;6<;0m70?<8;d894542o16=?k5f:?26<<a34;987h4=03e>c=:98k1j63>148e?87683l01<>m:g9>557=n27mo7h4=g59b>;a:3l01hj5f:?f<?`<5l91j63ke;d89a?=n27o87h4=337>c=::9l1j63=0`8e?847=3l01<k=:g9>5dd=n27:;94i;<30`?`<58:=6k52cg8e?845=32;70<=6;42?xu4n=0;69;t=316>35<5;:;6<>4=0da>46<58l=6<>4=0d2>46<58oh6<>4=0g4>46<58no6<>4=0f;>46<58n86<>4=0af>46<58i26<>4=0a7>46<58hm6<>4=0`b>46<58h>6<>4=0`3>46<58k=6<>4=0c2>46<583h6<>4=0;4>46<58396<>4=0:g>46<58236<>4=0:0>46<58=n6<>4=05:>46<58<m6<>4=04b>46<58<>6<>4=043>46<58?i6<>4=075>46<58?:6<>4=06`>46<58><6<>4=061>46<58936<>4=010>46<588n6<>4=00:>46<588?6<>4=03e>46<58;j6<>4=036>46<58;;6<>4=02a>46<58::6<>4=ga955=:n>0:<63i2;33?8cc28:01h65119>a6<6827oi7??;<f:>46<5m>1==52206955=::9l1==5221c955=::9?1==521d0955=:9hh1==52166955=:9:n1==52114955=:ko0:<63=288;4>;5:h0==6s|3g794?22s488978;;<034?7634;mn7?>;<3e2?7634;m=7?>;<3fg?7634;n;7?>;<3g`?7634;o47?>;<3g7?7634;hi7?>;<3`=?7634;h87?>;<3ab?7634;im7?>;<3a1?7634;i<7?>;<3b2?7634;j=7?>;<3:g?7634;2;7?>;<3:6?7634;3h7?>;<3;<?7634;3?7?>;<34a?7634;<57?>;<35b?7634;=m7?>;<351?7634;=<7?>;<36f?7634;>:7?>;<365?7634;?o7?>;<373?7634;?>7?>;<30<?7634;8?7?>;<31a?7634;957?>;<310?7634;:j7?>;<32e?7634;:97?>;<324?7634;;n7?>;<335?7634lh6<?4=g5954=:n;0:=63jd;32?8c?28;01h=5109>``<6927o57?>;<f7>47<5;;?6<?4=32e>47<5;:j6<?4=326>47<58o96<?4=0ca>47<58=?6<?4=01g>47<58:=6<?4=bd954=::;i14=5223f924=z{jn1<7:9{<00g?>7348?<7?9;<074?333488?7;;;<0;2?71348387?9;<0;6?713483<7?9;<04a?71348<o7?9;<04e?71348<47?9;<042?71348<87?9;<046?71348<<7?9;<05a?71348=o7?9;<05e?71348=47?9;<052?71348=87?9;<056?71348=<7?9;<06a?71348>o7?9;<06e?71348>47?9;<062?71348>87?9;<066?71348><7?9;<07a?71348?o7?9;<07e?71348?47?9;<0`f?71348h57?9;<0`3?71348h97?9;<0`7?71348h=7?9;<0ab?71348ih7?9;<0af?71348i57?9;<0a3?71348i97?9;<0a7?71348i=7?9;<0bb?71348jh7?9;<0bf?71348j57?9;<0b3?71348j97?9;<0b7?71348j=7?9;<0:b?713482h7?9;<0:f?71348257?9;<0:3?71348297?9;<0:7?713482=7?9;<0;b?713483h7?9;<0;f?71348357?9;|q015<72;q6?4:5609>7`7=091v>7j:18185b93??70=n2;54?xu4m;0;6?u2384932=:;h91:;5rs2g0>5<5s492;798;<1b5?013ty8i94?:3y>7<>=?>16?l=5629~w6c22909w0=69;54?85f93<87p}<e783>7}:;0k1;:523`1920=z{:o<6=4={<1:f?10349j=78:;|q0a=<72;q6?4m5769>7d5=>=1v>k6:18185>l3=<70=n1;47?xu41<0;64u238d932=:;m<14?523e19<7=:;jl14?523b`9<7=:;j=14?523b19<7=:;kl14?523c`9<7=z{:on6=4<{<1`f?>7349ho78>;<1b6?053ty8ik4?:5y>7f`=0916?i>5609>7d7=>816?l=5609~w6`7290?w0=k3;:3?85c<3<:70=n1;41?85f;3<97p}<f083>6}:;m<14=523e5924=:;h81:>5rs2gg>5<fs492h78;;<1:g?033492n78;;<1:e?033492578;;<1:<?033492;78;;<1:2?03349h;76?;<1`<?063ty8in4?:`y>7<b=>:16?4m5629>7<d=>:16?4o5629>7<?=>:16?465629>7<1=>:16?485629>7f5=0916?n:5609~w6ce290jw0=6d;41?85>k3<970=6b;41?85>i3<970=69;41?85>03<970=67;41?85>>3<970=mf;:3?85d83<:7p}<e`83>d}:;0n1:<5238a924=:;0h1:<5238c924=:;031:<5238:924=:;0=1:<52384924=:;kh14=523ca924=z{:h96=4={<1af?33349ih798;|q0eg<72:q6?ok5199>7f7=9116?oo56`9~w6d42909w0=mf;77?85d93=<7p}<ab83>6}:;j81=5523b795==:;ko1:l5rs2`7>5<5s49h?7;;;<1`1?103ty8mi4?:2y>7f0=9116?n75199>7f4=>h1v>l::18185d?3??70=l9;54?xu4il0;6>u23bc95==:;jn1=5523b492d=z{:h=6=4={<1`f?33349hh798;|q0ec<72:q6?nk5199>7a7=9116?no56`9~w6d02909w0=lf;77?85c93=<7p}<b183>6}:;m81=5523e795==:;jo1:l5rs2`;>5<5s49o?7;;;<1g1?103ty8n<4?:3y>7a>=9116?i<56`9~w6d>2909w0=k6;77?85c03=<7p}<d883>6}:;ki1;:523cc9<6=:;kn1:45rs2fb>5<4s49h<798;<1aa?>4349h=786;|q0`g<72:q6?n:5769>7f4=0:16?n;5689~w6bd2908w0=l8;54?85d>32870=l9;4:?xu4lm0;6>u23ba932=:;jk14>523bf92<=z{:nn6=4<{<1g4?10349hi76<;<1g5?0>3ty8hk4?:2y>7a2=?>16?i<5829>7a3=>01v>k?:18185c?3=<70=k8;4:?xu59j0;6?u2233911=::;91;:5rs33:>5<4s48987?7;<013?7?3489<78n;|q15a<72;q6>?;5559>671=?>1v??n:18084503;370<=b;3;?845<3<j7p}=1d83>7}::;31995223`932=z{;;i6=4={<01a?7?3489478n;|q15c<72;q6>?m5559>67c=?>1v?<i:180845:3=<70<=0;:0?845;3<27p}=3183>6}::;<1;:522369<6=::;=1:45rs312>5<4s489m798;<01<?>43489n786;|q177<72;q6>?j5769>67c=>01v>o?:18185f93=<70=n2;46?xu4i80;6?u23`1932=:;h81:95rs2c0>5<5s49j8798;<1be?063ty8m94?:3y>7d3=?>16?lo5639~w6g22909w0=n6;54?85fi3<87p}<a783>7}:;h=1;:523`c921=z{:k<6=4={<1b<?10349jm78:;|q0e=<72;q6?l75769>7dg=>?1v>o6:18185fi3=<70=n2;42?x{i1m91<7?tH2da?xh>l=0;6<uG3g`8yk?c=3:1=vF<fc9~j<b1290:wE=ib:m=a1=83;pD>hm;|l:`=<728qC?kl4}o;g=?6=9rB8jo5rn8fb>5<6sA9mn6sa9e`94?7|@:li7p`6db83>4}O;oh0qc7kd;295~N4nk1vb4jj:182M5aj2we5ih50;3xL6`e3td2i=4?:0yK7cd<ug3n=7>51zJ0bg=zf0o96=4>{I1ef>{i1l91<7?tH2da?xh>m=0;6<uG3g`8yk?b=3:1=vF<fc9~j<c1290:wE=ib:m=`1=83;pD>hm;|l:a=<728qC?kl4}o;f=?6=9rB8jo5rn8gb>5<6sA9mn6sa9d`94?7|@:li7p`6eb83>4}O;oh0qc7jd;295~N4nk1vb4kj:182M5aj2we5hh50;3xL6`e3td2j=4?:0yK7cd<ug3m=7>51zJ0bg=zf0l96=4>{I1ef>{i1o91<7?tH2da?xh>n=0;6<uG3g`8yk?a=3:1=vF<fc9~j<`1290:wE=ib:m=c1=83;pD>hm;|l:b=<728qC?kl4}o;e=?6=9rB8jo5rn8db>5<6sA9mn6sa9g`94?7|@:li7p`6fb83>4}O;oh0qc7id;295~N4nk1vb4hj:182M5aj2we5kh50;3xL6`e3tdj<=4?:0yK7cd<ugk;=7>51zJ0bg=zfh:96=4>{I1ef>{ii991<7?tH2da?xhf8=0;6<uG3g`8ykg7=3:1=vF<fc9~jd61290:wE=ib:me51=83;pD>hm;|lb4=<728qC?kl4}oc3=?6=9rB8jo5rn`2b>5<6sA9mn6saa1`94?7|@:li7p`n0b83>4}O;oh0qco?d;295~N4nk1vbl>j:182M5aj2wem=h50;3xL6`e3tdj==4?:0yK7cd<ugk:=7>51zJ0bg=zfh;96=4>{I1ef>{ii891<7?tH2da?xhf9=0;6<uG3g`8ykg6=3:1=vF<fc9~jd71290:wE=ib:me41=83;pD>hm;|lb5=<728qC?kl4}oc2=?6=9rB8jo5rn`3b>5<6sA9mn6saa0`94?7|@:li7p`n1b83>4}O;oh0qco>d;295~N4nk1vbl?j:182M5aj2wem<h50;3xL6`e3tdj>=4?:0yK7cd<ugk9=7>51zJ0bg=zfh896=4>{I1ef>{ii;91<7?tH2da?xhf:=0;6<uG3g`8ykg5=3:1=vF<fc9~jd41290:wE=ib:me71=83;pD>hm;|lb6=<728qC?kl4}oc1=?6=9rB8jo5rn`0b>5<6sA9mn6saa3`94?7|@:li7p`n2b83>4}O;oh0qco=d;295~N4nk1vbl<j:182M5aj2wem?h50;3xL6`e3tdj?=4?:0yK7cd<ugk8=7>51zJ0bg=zfh996=4>{I1ef>{ii:91<7?tH2da?xhf;=0;6<uG3g`8ykg4=3:1=vF<fc9~jd51290:wE=ib:me61=83;pD>hm;|lb7=<728qC?kl4}oc0=?6=9rB8jo5rn`1b>5<6sA9mn6saa2`94?7|@:li7p`n3b83>4}O;oh0qco<d;295~N4nk1vbl=j:182M5aj2wem>h50;3xL6`e3tdj8=4?:0yK7cd<ugk?=7>51zJ0bg=zfh>96=4>{I1ef>{ii=91<7?tH2da?xhf<=0;6<uG3g`8ykg3=3:1=vF<fc9~jd21290:wE=ib:me11=83;pD>hm;|lb0=<728qC?kl4}oc7=?6=9rB8jo5rn`6b>5<6sA9mn6saa5`94?7|@:li7p`n4b83>4}O;oh0qco;d;295~N4nk1vbl:j:182M5aj2wem9h50;3xL6`e3tdj9=4?:0yK7cd<ugk>=7>51zJ0bg=zfh?96=4>{I1ef>{ii<91<7?tH2da?xhf==0;6<uG3g`8ykg2=3:1=vF<fc9~jd31290:wE=ib:me01=83;pD>hm;|lb1=<728qC?kl4}oc6=?6=9rB8jo5rn`7b>5<6sA9mn6saa4`94?7|@:li7p`n5b83>4}O;oh0qco:d;295~N4nk1vbl;j:182M5aj2wem8h50;3xL6`e3tdj:=4?:0yK7cd<ugk==7>51zJ0bg=zfh<96=4>{I1ef>{ii?91<7?tH2da?xhf>=0;6<uG3g`8ykg1=3:1=vF<fc9~jd01290:wE=ib:me31=83;pD>hm;|lb2=<728qC?kl4}oc5=?6=9rB8jo5rn`4b>5<6sA9mn6saa7`94?7|@:li7p`n6b83>4}O;oh0qco9d;295~N4nk1vbl8j:182M5aj2wem;h50;3xL6`e3tdj;=4?:0yK7cd<ugk<=7>51zJ0bg=zfh=96=4>{I1ef>{ii>91<7?tH2da?xhf?=0;6<uG3g`8ykg0=3:1=vF<fc9~jd11290:wE=ib:me21=83;pD>hm;|lb3=<728qC?kl4}oc4=?6=9rB8jo5rn`5b>5<6sA9mn6saa6`94?7|@:li7p`n7b83>4}O;oh0qco8d;295~N4nk1vbl9j:182M5aj2wem:h50;3xL6`e3tdj4=4?:0yK7cd<ugk3=7>51zJ0bg=zfh296=4>{I1ef>{ii191<7?tH2da?xhf0=0;6<uG3g`8ykg?=3:1=vF<fc9~jd>1290:wE=ib:me=1=83;pD>hm;|lb<=<728qC?kl4}oc;=?6=9rB8jo5rn`:b>5<6sA9mn6saa9`94?7|@:li7p`n8b83>4}O;oh0qco7d;295~N4nk1vbl6j:182M5aj2wem5h50;3xL6`e3tdj5=4?:0yK7cd<ugk2=7>51zJ0bg=zfh396=4>{I1ef>{ii091<7?tH2da?xhf1=0;6<uG3g`8ykg>=3:1=vF<fc9~jd?1290:wE=ib:me<1=83;pD>hm;|lb==<728qC?kl4}oc:=?6=9rB8jo5rn`;b>5<6sA9mn6saa8`94?7|@:li7p`n9b83>4}O;oh0qco6d;295~N4nk1vbl7j:182M5aj2wem4h50;3xL6`e3tdjm=4?:0yK7cd<ugkj=7>51zJ0bg=zfhk96=4>{I1ef>{iih91<7?tH2da?xhfi=0;6<uG3g`8ykgf=3:1=vF<fc9~jdg1290:wE=ib:med1=83;pD>hm;|lbe=<728qC?kl4}ocb=?6=9rB8jo5rn`cb>5<6sA9mn6saa``94?7|@:li7p`nab83>4}O;oh0qcond;295~N4nk1vbloj:182M5aj2wemlh50;3xL6`e3tdjn=4?:0yK7cd<ugki=7>51zJ0bg=zfhh96=4>{I1ef>{iik91<7?tH2da?xhfj=0;6<uG3g`8ykge=3:1=vF<fc9~jdd1290:wE=ib:meg1=83;pD>hm;|lbf=<728qC?kl4}oca=?6=9rB8jo5rn``b>5<6sA9mn6saac`94?7|@:li7p`nbb83>4}O;oh0qcomd;295~N4nk1vbllj:182M5aj2wemoh50;3xL6`e3tdjo=4?:0yK7cd<ugkh=7>51zJ0bg=zfhi96=4>{I1ef>{iij91<7?tH2da?xhfk=0;6<uG3g`8ykgd=3:1=vF<fc9~jde1290:wE=ib:mef1=83;pD>hm;|lbg=<728qC?kl4}oc`=?6=9rB8jo5rn`ab>5<6sA9mn6saab`94?7|@:li7p`ncb83>4}O;oh0qcold;295~N4nk1vblmj:182M5aj2wemnh50;3xL6`e3tdjh=4?:0yK7cd<ugko=7>51zJ0bg=zfhn96=4>{I1ef>{iim91<7?tH2da?xhfl=0;6<uG3g`8ykgc=3:1=vF<fc9~jdb1290:wE=ib:mea1=83;pD>hm;|lb`=<728qC?kl4}ocg=?6=9rB8jo5rn`fb>5<6sA9mn6saae`94?7|@:li7p`ndb83>4}O;oh0qcokd;295~N4nk1vbljj:182M5aj2wemih50;3xL6`e3tdji=4?:0yK7cd<ugkn=7>51zJ0bg=zfho96=4>{I1ef>{iil91<7?tH2da?xhfm=0;6<uG3g`8ykgb=3:1=vF<fc9~jdc1290:wE=ib:me`1=83;pD>hm;|lba=<728qC?kl4}ocf=?6=9rB8jo5rn`gb>5<6sA9mn6saad`94?7|@:li7p`neb83>4}O;oh0qcojd;295~N4nk1vblkj:182M5aj2wemhh50;3xL6`e3tdjj=4?:0yK7cd<ugkm=7>51zJ0bg=zfhl96=4>{I1ef>{iio91<7?tH2da?xhfn=0;6<uG3g`8ykga=3:1=vF<fc9~jd`1290:wE=ib:mec1=83;pD>hm;|lbb=<728qC?kl4}oce=?6=9rB8jo5rn`db>5<6sA9mn6saag`94?7|@:li7p`nfb83>4}O;oh0qcoid;295~N4nk1vblhj:182M5aj2wemkh50;3xL6`e3tdi<=4?:0yK7cd<ugh;=7>51zJ0bg=zfk:96=4>{I1ef>{ij991<7?tH2da?xhe8=0;6<uG3g`8ykd7=3:1=vF<fc9~jg61290:wE=ib:mf51=83;pD>hm;|la4=<728qC?kl4}o`3=?6=9rB8jo5rnc2b>5<6sA9mn6sab1`94?7|@:li7p`m0b83>4}O;oh0qcl?d;295~N4nk1vbo>j:182M5aj2wen=h50;3xL6`e3tdi==4?:0yK7cd<ugh:=7>51zJ0bg=zfk;96=4>{I1ef>{ij891<7?tH2da?xhe9=0;6<uG3g`8ykd6=3:1=vF<fc9~jg71290:wE=ib:mf41=83;pD>hm;|la5=<728qC?kl4}o`2=?6=9rB8jo5rnc3b>5<6sA9mn6sab0`94?7|@:li7p`m1b83>4}O;oh0qcl>d;295~N4nk1vbo?j:182M5aj2wen<h50;3xL6`e3tdi>=4?:0yK7cd<ugh9=7>51zJ0bg=zfk896=4>{I1ef>{ij;91<7?tH2da?xhe:=0;6<uG3g`8ykd5=3:1=vF<fc9~jg41290:wE=ib:mf71=83;pD>hm;|la6=<728qC?kl4}o`1=?6=9rB8jo5rnc0b>5<6sA9mn6sab3`94?7|@:li7p`m2b83>4}O;oh0qcl=d;295~N4nk1vbo<j:182M5aj2wen?h50;3xL6`e3tdi?=4?:0yK7cd<ugh8=7>51zJ0bg=zfk996=4>{I1ef>{ij:91<7?tH2da?xhe;=0;6<uG3g`8ykd4=3:1=vF<fc9~jg51290:wE=ib:mf61=83;pD>hm;|la7=<728qC?kl4}o`0=?6=9rB8jo5rnc1b>5<6sA9mn6sab2`94?7|@:li7p`m3b83>4}O;oh0qcl<d;295~N4nk1vbo=j:182M5aj2wen>h50;3xL6`e3tdi8=4?:0yK7cd<ugh?=7>51zJ0bg=zfk>96=4>{I1ef>{ij=91<7?tH2da?xhe<=0;6<uG3g`8ykd3=3:1=vF<fc9~jg21290:wE=ib:mf11=83;pD>hm;|la0=<728qC?kl4}o`7=?6=9rB8jo5rnc6b>5<6sA9mn6sab5`94?7|@:li7p`m4b83>4}O;oh0qcl;d;295~N4nk1vbo:j:182M5aj2wen9h50;3xL6`e3tdi9=4?:0yK7cd<ugh>=7>51zJ0bg=zfk?96=4>{I1ef>{ij<91<7?tH2da?xhe==0;6<uG3g`8ykd2=3:1=vF<fc9~jg31290:wE=ib:mf01=83;pD>hm;|la1=<728qC?kl4}o`6=?6=9rB8jo5rnc7b>5<6sA9mn6sab4`94?7|@:li7p`m5b83>4}O;oh0qcl:d;295~N4nk1vbo;j:182M5aj2wen8h50;3xL6`e3tdi:=4?:0yK7cd<ugh==7>51zJ0bg=zfk<96=4>{I1ef>{ij?91<7?tH2da?xhe>=0;6<uG3g`8ykd1=3:1=vF<fc9~jg01290:wE=ib:mf31=83;pD>hm;|la2=<728qC?kl4}o`5=?6=9rB8jo5rnc4b>5<6sA9mn6sab7`94?7|@:li7p`m6b83>4}O;oh0qcl9d;295~N4nk1vbo8j:182M5aj2wen;h50;3xL6`e3tdi;=4?:0yK7cd<ugh<=7>51zJ0bg=zfk=96=4>{I1ef>{ij>91<7?tH2da?xhe?=0;6<uG3g`8ykd0=3:1=vF<fc9~jg11290:wE=ib:mf21=83;pD>hm;|la3=<728qC?kl4}o`4=?6=9rB8jo5rnc5b>5<6sA9mn6sab6`94?7|@:li7p`m7b83>4}O;oh0qcl8d;295~N4nk1vbo9j:182M5aj2wen:h50;3xL6`e3tdi4=4?:0yK7cd<ugh3=7>51zJ0bg=zfk296=4>{I1ef>{ij191<7?tH2da?xhe0=0;6<uG3g`8ykd?=3:1=vF<fc9~jg>1290:wE=ib:mf=1=83;pD>hm;|la<=<728qC?kl4}o`;=?6=9rB8jo5rnc:b>5<6sA9mn6sab9`94?7|@:li7p`m8b83>4}O;oh0qcl7d;295~N4nk1vbo6j:182M5aj2wen5h50;3xL6`e3tdi5=4?:0yK7cd<ugh2=7>51zJ0bg=zfk396=4>{I1ef>{ij091<7?tH2da?xhe1=0;6<uG3g`8ykd>=3:1=vF<fc9~jg?1290:wE=ib:mf<1=83;pD>hm;|la==<728qC?kl4}o`:=?6=9rB8jo5rnc;b>5<6sA9mn6sab8`94?7|@:li7p`m9b83>4}O;oh0qcl6d;295~N4nk1vbo7j:182M5aj2wen4h50;3xL6`e3tdim=4?:0yK7cd<ughj=7>51zJ0bg=zfkk96=4>{I1ef>{ijh91<7?tH2da?xhei=0;6<uG3g`8ykdf=3:1=vF<fc9~jgg1290:wE=ib:mfd1=83;pD>hm;|lae=<728qC?kl4}o`b=?6=9rB8jo5rnccb>5<6sA9mn6sab``94?7|@:li7p`mab83>4}O;oh0qclnd;295~N4nk1vbooj:182M5aj2wenlh50;3xL6`e3tdin=4?:0yK7cd<ughi=7>51zJ0bg=zfkh96=4>{I1ef>{ijk91<7?tH2da?xhej=0;6<uG3g`8ykde=3:1=vF<fc9~jgd1290:wE=ib:mfg1=83;pD>hm;|laf=<728qC?kl4}o`a=?6=9rB8jo5rnc`b>5<6sA9mn6sabc`94?7|@:li7p`mbb83>4}O;oh0qclmd;295~N4nk1vbolj:182M5aj2wenoh50;3xL6`e3tdio=4?:0yK7cd<ughh=7>51zJ0bg=zfki96=4>{I1ef>{ijj91<7?tH2da?xhek=0;6<uG3g`8ykdd=3:1=vF<fc9~jge1290:wE=ib:mff1=83;pD>hm;|lag=<728qC?kl4}o``=?6=9rB8jo5rncab>5<6sA9mn6sabb`94?7|@:li7p`mcb83>4}O;oh0qclld;295~N4nk1vbomj:182M5aj2wennh50;3xL6`e3tdih=4?:0yK7cd<ugho=7>51zJ0bg=zfkn96=4>{I1ef>{ijm91<7?tH2da?xhel=0;6<uG3g`8ykdc=3:1=vF<fc9~yx{GHJqoh44m680b0cdzHIHp<pNOPzCD
/trunk/rtl/xilinx/coregen/chipscope_icon.v
0,0 → 1,31
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 2012 Xilinx, Inc.
// All Rights Reserved
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 14.2
// \ \ Application: Xilinx CORE Generator
// / / Filename : chipscope_icon.v
 
// \ \ / \
// \___\/\___\
//
// Design Name: Verilog Synthesis Wrapper
///////////////////////////////////////////////////////////////////////////////
// This wrapper is used to integrate with Project Navigator and PlanAhead
 
`timescale 1ns/1ps
 
module chipscope_icon(
CONTROL0,
CONTROL1,
CONTROL2);
 
 
inout [35 : 0] CONTROL0;
inout [35 : 0] CONTROL1;
inout [35 : 0] CONTROL2;
 
endmodule
/trunk/rtl/xilinx/coregen/coregen.cgp
0,0 → 1,22
# Date: Mon Nov 19 08:26:59 2012
 
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
SET workingdirectory = .\tmp\
 
# CRC: 7162d0b
/trunk/rtl/xilinx/coregen/chipscope_icon.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3507=*981;86>?00684565<2:;<9:4012;0>6799>0<=<?4:23052<892;86>>01684466<2:9<=:405230>6?89;0=95>0122?72<:9:;86<>016873779>18:7GAOTV9GJHSZFF;0>;50?34?60=AGE^X7M@NUPLH4:4=3:5=:5<6;KMKPR=KFD_^BB=<2794;7<<=1?<=>7;4G3A027<813866?0133?<>688::<<>>002242=E[JKEI?:4BTDD<>DR[VCEJB?4C69@EURRZL80OH?=;BJFGNYKAJOE_HQ[YQG1?FO33JF@<;5LLJ3;43=DDB8<<85LLJ0[<>EKC;R:4=;4CMI:40=DDBK:;6MCK@3G62=DDBK:H994CMIB5A>23JF@N<;4CMI@50=DDBN:96MCKET`?FJLL_UOE[GKE49@HN@_02IGGKV>8118GIT>3JEFADZ[EE`8GJHSZFF;0=0l;BMMPWIK85;;2n5LOOVQKI6;984h7NAATSMO49756j1HCCZ]OM2?568d3JEEX_AC0=37:f=DGG^YCA>314<`?FII\[EG<1?9>b9@KKRUGE:7=:0l;BMMPWIK85;32n5LOOVQKI6;904i7NAATSMO4979k2IDBY\@L1>14;e<KFD_^BB?<33=g>EHF]XD@=2=2?a8GJHSZFF;0?=1c:ALJQTHD96983m4CNLWVJJ74;?5o6M@NUPLH5:5>7i0OB@[RNN38719k2IDBY\@L1>1<;e<KFD_^BB?<3;=f>EHF]XD@=2=>b9@KKRUGE:7?=0l;BMMPWIK859:2n5LOOVQKI6;;;4h7NAATSMO49546j1HCCZ]OM2?718b3JEEX_AC0=16>58d3JEEX_AC0=16:g=DGG^YCA>33?`8GJHSZFF;090m;BMMPWIK85?5n6M@NUPLH5:16k1HCCZ]OM2?3;d<KFD_^BB?<9<a?FII\[EG<171b:ALJQTHD86;2n5LOOVQKI7;994h7NAATSMO59766j1HCCZ]OM3?578d3JEEX_AC1=30:f=DGG^YCA?315<`?FII\[EG=1?:>b9@KKRUGE;7=;0l;BMMPWIK95;<2n5LOOVQKI7;914h7NAATSMO597>6k1HCCZ]OM3?5;e<KFD_^BB><32=g>EHF]XD@<2=1?a8GJHSZFF:0?<1c:ALJQTHD869?3m4CNLWVJJ64;>5o6M@NUPLH4:5=7i0OB@[RNN28709k2IDBY\@L0>13;e<KFD_^BB><3:=g>EHF]XD@<2=9?`8GJHSZFF:0?0l;BMMPWIK959;2n5LOOVQKI7;;84h7NAATSMO59556j1HCCZ]OM3?768d3JEEX_AC1=17:`=DGG^YCA?33483:f=DGG^YCA?334<a?FII\[EG=1=1b:ALJQTHD86?2o5LOOVQKI7;=7h0OB@[RNN2838e3JEEX_AC1=5=f>EHF]XD@<27>c9@KKRUGE;753l4CNLWVJJ5494h7NAATSMO69776j1HCCZ]OM0?548d3JEEX_AC2=31:f=DGG^YCA<312<`?FII\[EG>1?;>b9@KKRUGE87=80l;BMMPWIK:5;=2n5LOOVQKI4;9>4h7NAATSMO697?6j1HCCZ]OM0?5<8e3JEEX_AC2=3=g>EHF]XD@?2=0?a8GJHSZFF90??1c:ALJQTHD;69>3m4CNLWVJJ54;95o6M@NUPLH7:5<7i0OB@[RNN18739k2IDBY\@L3>12;e<KFD_^BB=<35=g>EHF]XD@?2=8?a8GJHSZFF90?71b:ALJQTHD;692n5LOOVQKI4;;94h7NAATSMO69566j1HCCZ]OM0?778d3JEEX_AC2=10:f=DGG^YCA<335<f?FII\[EG>1=::1<`?FII\[EG>1=:>c9@KKRUGE87?3l4CNLWVJJ54=4i7NAATSMO6939j2IDBY\@L3>5:g=DGG^YCA<37?`8GJHSZFF9050m;BMMPWIK:535=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;EK`?AOTIKFNSGZNG59GVFM33L8;=85IAMQF7>@CK=1MHNK<;GFF7>@CZ=1MH_K>;F18CKB63@80E=<4I008M74<A:80E9<4I4;8MKOS[]K_I>5FNWc8NQG@WJBJEC<4LH08HJ0<DFI@II94LNEJGDJ33E__=95CUU07?ISS<=1GYY;;;MWW22=J]QIRSA;4MTZE10=J]QL=>6@?4:L2002<F8>=86@>4668J4>7<2D:4<:4N0:10>H59<>0B??94:L1521<FL^\C_E9;OM@HLV03GEFI_IJ1:M23>IW\@GBVHQ_RHOJPLPB[VDLO<5_8:R-6=~cWE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM01[GRFCUULN2>VTLFDN=6_>;S78V@UB\>1YYCZJSU38W6=TME?0_EGIT038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\T@VVW6=SKB90XIG<;UFL7>RJ[=1_U]K94:W3+PYNKFD$ERWJS^@PGDH)]VHX#DQZSD]PKCRE[JKESH_?.H]VW@Y_[]U_OFQXOSIBVJSILVOZ=#[PIBMM[GUDIGUIYKI U^@VBB5c3\:$YRGLOO-J[\CTWKYHMC Z_CQ,MZ\V&@YRB#GPURG\WJ@SJZIJBRK^0/W\FV413\:$YRGLOO-J[\CTWKYHMC Z_CQ,mATDCVFDOLB=b:W3+PYNKFD$YRMBD.E\PDT@M]Q:<P F_OG3*PYSI[MNX?l4U1-V[LEHF&_TO@J G^VBVBCSS8;V"DQAE1,V[QGUOL^9n6[?/T]JGJH(]VIFH"IPT@PDAQ]6:T$BSCK?.T]WEWAB\;h0Y=!Z_HALJ*SXKDN$KRZNRFGW_45Z&@UEI= Z_UCQC@R5j2_;#XQFCNL,QZEJL&MTXL\HEUY20X(NWGO;"XQ[ASEFP7d<]9%^SDM@N.W\GHB(OV^J^JK[[07^*LYBY9$^SYO]GDV1e>S7'\UBOB@ U^AN@*AX\HXLIYU9]/K\J@6)]V^J^JK[2`9V4*SXAJEE#XQLME-D[QGUOL^P;P F_OG3*PYSI[MNX?o4U1-V[LEHF&_TO@J G^VBVBCSS1W%ER@J0/W\PDT@M]8j7X> U^K@KK)RWJGO#JQ[ASEFP^?Z&@UEI= Z_UCQC@R5k2_;#XQFCNL,QZEJL&_TOBCBAOF\W@J(A=$MEV>R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<>R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<?R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<<R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<=R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<:R.T]OQQ4c3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<;R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC@CNNE]PAI)N<'LBW<S!U^NVP7e<]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP>P Z_MWW6f=R8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q8Q#[PLTV1g>S7'\UBOB@ U^AN@*SXKFGFMCJPSDN,M1(AAR>V"XQCUU0`?P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS<W%YRBZT3a8Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\>T$^SA[[2b9V4*SXAJEE#XQLME-V[FIJEHDOS^KC/H6-BL]0U'_T@XZ=c:W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^>Z&\UGYY<l;T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_<[)]VF^X?m4U1-V[LEHF&_TO@J U^ALV@YNLVYN@"G;.GKX4X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY24X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY25X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY26X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY27X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY20X(RWE__>i5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY21X(RWE__>n5Z0.W\MFII'\UHAI!Z_BMQAZOCWZOG#D:!FHY2Y+SXD\^9o6[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ0^*PYK]]8h7X> U^K@KK)RWJGO#XQLOSG\MAYTME%B8#HF[2_-QZJR\;i0Y=!Z_HALJ*SXKDN$YRM@RD]J@ZUBD&C?"KGT4\,V[ISS:j1^<"[PIBMM+PYDEM%^SNA]E^KG[VCK'@>%JDU:]/W\HPR5k2_;#XQFCNL,QZEJL&_TOB\J_HF\W@J(A=$MEV8R.T]OQQ4d3\:$YRGLOO-V[FKC'\UHC_KPIE]PAI)N<'LBW:S!U^NVP7e<]9%^SDM@N.W\GHB(]VID^HQFD^QFH*O3&OCP4P Z_MWW6f=R8&_TENAA/T]@IA)RWJEYIRGK_RGO+L2)N@Q2Q#[PLTV23>S7'\UBOB@ U^AN@*SX[LFTb<l4U1-V[LEHF&_TO@J U^VBVBCSWJO::6[?/T]JGJH(]VIFH"g\EM]m5g=R8&_TENAA/T]@IA)n\HXLIY2>0?3a?P6(]VCHCC!Z_BOG+lRFZNO_0<?11`9V4*SXAJEE#XQLME-jPDT@M]632<o4U1-V[LEHF&_TO@J iUCQC@R;17;j7X> U^K@KK)RWJGO#dZNRFGW[FC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV>R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW=S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP==S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP==S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=<S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=<S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=?S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=?S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=9S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=9S!U^N@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=8S!U^J@A7b<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=8S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR8V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS;W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U?]/D\GHB\;T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]4U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W=S!F^AN@^2Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_1[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ;Q#HPCLFX1X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY6Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS9W%JRMBDZ4^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[7_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]7U'LTO@JT7\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU8]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV6R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW5S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP5P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ2Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR:V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS9W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\99W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\99W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\98W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\98W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9;W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9;W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9:W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9:W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9=W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9=W%YRBLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9<W%YRFLE3f8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9<W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\9T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^4Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_7[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX7X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY0Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ6^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\9T$MSNCK[5_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT5\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^7Z&OUHAIU:]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV8R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX5X(AWJGOW;S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP;P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ3^*CYDEMQ<Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR2V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS1W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\1T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]>U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W?S!F^AN@^6Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_5[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX55[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX55[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX54[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX54[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX57[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX57[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX56[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX56[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX51[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX51[)]VFHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX50[)]VBHI?j4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX50[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX5X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY2Y+SXDJO9o6[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ0^*PYOKL8h7X> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[3_-QZJDM;i0Y=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT3\,V[MEB:j1^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU<]/W\HFC5k2_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV:R.T]KG@4d3\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW9S!U^N@A7e<]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP9P Z_IAF6f=R8&_TENAA/T]@PWJXG\^$JR@LPZ0^*CYDEMQ>Q#[PLBG1g>S7'\UBOB@ U^AWVIYH]]%MSCM_[3_-BZEJLR<V"XQGCD0`?P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS?W%YRBLE3a8Q5)RW@IDB"[PCUPO[JSS'OUEO]U=]/D\GHB\?T$^SEMJ2b9V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]0U'_T@NK=c:W3+PYNKFD$YRM[RM]LQQ)AWGI[W?S!F^AN@^>Z&\UCOH<l;T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_=[)]VFHI?m4U1-V[LEHF&_TOY\C_NWW+CYIKYQ9Q#HPCLFX=X(RWAIN>n5Z0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY:Y+SXDJO:i6[?/T]JGJH(]VI_^AQ@UU-V[FKCO[Z;=h5Z0.W\MFII'\UHX_BPOTV,QZEJLNX[=??4U1-V[LEHF&_TOY\C_NWW+PYCI]KTZLBFD3:8Q5)RW@IDB"[PCUPO[JSS'`IDA@OAD^EQTZUBD5:5>55Z0.W\MFII'\UHX_BPOTV,mFIJEHDOSJ\__RGO848582_;#XQFCNL,QZESZEUDYY!fD@VB[SGKAM8:7X> U^K@KK)RWZ^JX"HPSUCW_5[)]VY_MY<>;T2,QZODGG%^S^ZNT.D\WQGSS8W%YR][AU02?P6(]VCHCC!Z_RVBP*@X[]K_W?S!U^QWEQ463\:$YRGLOO-V[VRF\&LT_YO[[2_-QZUSI];j7X> U^K@KK)RWZ^JX"[PCLFDVU66l2_;#XQFCNL,QZUSI]%^SIO[A^TBHLB6i2_;#XQFCNL,QZUSI]%^S^ZNTBOG5f=R8&_TENAA/T]PPDR(]VY_MYMBD^l15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ848592_;#XQFCNL,QZUSI]%^S^ZNT^AMP*EN4;49=6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&IB0>0=1:W3+PYNKFD$YR][AU-V[VRF\VIEX"MF<5<15>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.AJ808582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*B;878;7X> U^K@KK)RWZ^JX"[PSUCW[FHS'M6:2?>4U1-V[LEHF&_T_YO[/T]PPDRXKG^$H1<1219V4*SXAJEE#XQ\T@V,QZUSI]UHBY!K<2<14>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.F?0;473\:$YRGLOO-V[VRF\&_T_YO[_BLW+A:26;i0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR:V"J`G.T]NQ]E^:>1^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S9W%YRHKRD05?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY3Y+SXD\^946[?/T]JGJH(]VY_MY!Z_RVBPZEI\&MP<P Z_YMQG\4d3\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]6U'MeD#[PMTZ@]71<]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^7Z&\UMH_K=6:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT1\,V[ISS:11^<"[PIBMM+PYT\H^$YR][AU]@JQ)@S8W%YRV@RB[1g>S7'\UBOB@ U^QWEQ)RWZ^JXRMAT.EX6X(@fA$^S@[WCX04?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY1Y+SXNMXN>;5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW?S!U^NVP7><]9%^SDM@N.W\WQGS'\UXXLZPCOV,C^4Z&\USC_MV2b9V4*SXAJEE#XQ\T@V,QZUSI]UHBY!H[2_-CkN)]VG^TNW=7:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT3\,V[CBUM;<0Y=!Z_HALJ*SX[]K_#XQ\T@V\GKR(OR9V"XQCUU0;?P6(]VCHCC!Z_RVBP*SX[]K_SN@[/FY0Y+SXPFXHU?m4U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV:R.FlK*PYJ]QIR>:5Z0.W\MFII'\UXXLZ U^QWEQYDF]%LW9S!U^DGV@413\:$YRGLOO-V[VRF\&_T_YO[_BLW+B]3U'_T@XZ=8:W3+PYNKFD$YR][AU-V[VRF\VIEX"IT4\,V[]IUKP8<7X> U^K@KK)RWZ^JX"[PSUCW[FHS'NQ>Q#[PFEPF63=R8&_TENAA/T]PPDR(]VY_MYQLNU-D_0[)]VF^X?64U1-V[LEHF&_T_YO[/T]PPDRXKG^$KV;R.T][KWE^:91^<"[PIBMM+PYT\H^$YR][AU]@JQ)T4949<6[?/T]JGJH(]VY_MY!Z_RVBPZEI\&Y7=3<?;T2,QZODGG%^S^ZNT.W\WQGSWJD_#^2=>328Q5)RW@IDB"[PSUCW+PYT\H^TOCZ S=1=65=R8&_TENAA/T]PPDR(]VY_MYQLNU-P818582_;#XQFCNL,QZUSI]%^S^ZNT^AMP*U;=7;h7X> U^K@KK)RWZ^JX"[PSUCW[MO@@8h0Y=!Z_HALJ*SX[]K_#XQ\T@V\HJQ6>2_;#XQFCNL,QZUSI]%^SYJ@1c9V4*SXAJEE#XQ\T@V,QZRCGVdnty?j;T2,QZODGG%^S^ZNT.k@IAY@ZY:T_HB>c:W3+PYNKFD$YR][AU-j@DRFW_KGEI?6;T2,QZODGG%^S^ZNT.kPPDR;87;27X> U^K@KK)RWZ^JX"g\T@V?5;7>3\:$YRGLOO-V[VRF\&cXXLZ32?3:?P6(]VCHCC!Z_RVBP*oT\H^7?3?l;T2,QZODGG%^S^ZNT.kPPDRDEMUHI<k4U1-V[LEHF&_T_YO[/hQWEQEJLVINSc?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7<3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7=3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7>3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^7?3?k;T2,QZODGG%^S^ZNT.kPPDRXKG^783?k;T2,QZODGG%^S^ZNT.kPPDRXKG^793?m;T2,QZODGG%^S^ZNT.kPPDRX@@MC=l5Z0.W\MFII'\UXXLZ iRVBPZJH_8k0Y=!Z_HALJ*SX[]K_#dZKO^lf|q4e3\:$YRGLOO-V[V_IK&MT_T@L_VMQ@^6Z&@UEI= Z_GFQ6g=R8&_TENAA/T]P]KE(OVYRBNQXOSFX5X(NWGO;"XQIDS0a?P6(]VCHCC!Z_R[MG*AX[PDHSZA]DZ0^*LYIM9$^SKJ]2c9V4*SXAJEE#XQ\YOA,CZU^FJU\C_JT3\,J[KC7&\UMH_<m;T2,QZODGG%^S^WAC.E\W\HDW^EYHV:R.H]MA5(RWONY>o5Z0.W\MFII'\UXUCM G^QZJFYPG[NP9P F_OG3*PYAL[8i7X> U^K@KK)RWZSEO"IPSXL@[RIULR<V"DQJQ1,V[CBU9k1^<"[PIBMM+PYTQGI$YRI@T^QZJF7c3\:$YRGLOO-V[V_IK&_TKBZPSXL@[M7c3\:$YRGLOO-V[V_IK&_TKBZPSXL@[I703\:$YRGLOO-V[V_IK&_T_T@L1d9V4*SXAJEE#XQ\YOA,QZoCI]KTO@JPn0a8Q5)RW@IDB"[PSXL@+lBF\HUHAIQa1`9V4*SXAJEE#XQ\YOA,mBISWZSEO<h4U1-V[LEHF&_T_T@L/hELPZU^FJUCEJF>e:W3+PYNKFD$YR]VNB-jCJRX[PDHSAAX1d9V4*SXAJEE#XQ\YOA,mV_IKV]D^I2?>0g8Q5)RW@IDB"[PSXL@+lU^FJU\C_J31?3f?P6(]VCHCC!Z_R[MG*oTQGIT[B\K<3<2a>S7'\UBOB@ U^QZJF)n[PDHSZA]D=1=5`=R8&_TENAA/T]P]KE(aZSEORY@RE>7:4c<]9%^SDM@N.W\W\HD'`YRBNQXOSF?1;7b3\:$YRGLOO-V[V_IK&cXUCMPWNPG8386;2_;#XQFCNL,QZRCAVxnk?h4U1-V[LEHF&_TXIAPMTZ,QZETWD_S#D:!U^OV\40(EdsSB?<0:W3+PYNKFD$YRZKO^OV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@1013?P6(]VCHCC!Z_UFL[HS_'\UH_RCZX.K7*PYJ]Q;=#@czx^M2645<]9%^SDM@N.W\PAIXzlm:96[?/T]JGJH(]VcOMYOPCLF23>S7'\UBOB@ U^kGEQGXKDNTb<<4U1-V[LEHF&_Te^KC_o3:?P6(]VCHCC!fCNONEKBXO[Z7<3?6;T2,QZODGG%bOBCBAOF\CWV;97;27X> U^K@KK)nKFGFMCJPSDN?4;7f3\:$YRGLOO-jGJKJIGNT_HB311<2e>S7'\UBOB@ iBMNIDHCWZOG0<?11`9V4*SXAJEE#dM@MLCM@ZUBD5;92<o4U1-V[LEHF&cHC@CNNE]PAI:6;7;j7X> U^K@KK)nKFGFMCJPSDN?5186i2_;#XQFCNL,mFIJEHDOS^KC<07=5<=R8&_TENAA/hALIHGILVYN@1?1189V4*SXAJEE#dM@MLCM@ZUBD585=45Z0.W\MFII'`IDA@OAD^QFH959901^<"[PIBMM+lEHEDKEHR]JL=6=5<=R8&_TENAA/hALIHGILVYN@1;1189V4*SXAJEE#dM@MLCM@ZUBD5<5=45Z0.W\MFII'`IDA@OAD^QFH919901^<"[PIBMM+lEHEDKEHR]JL=:=5<=R8&_TENAA/hALIHGILVYN@171149V4*SXAJEE#dM@RD]J@9699<1^<"[PIBMM+lEHZLUBH1?1149V4*SXAJEE#dM@RD]J@9499<1^<"[PIBMM+lEHZLUBH1=1189V4*SXAJEE#dM@RD]J@ZUBD5:5=l5Z0.W\MFII'`ID^HQFD^QFH9726830Y=!Z_HALJ*oDG[OTEIQ\EM>2:4?<]9%^SDM@N.k@KWCXAMUXIA2=>018Q5)RW@IDB"gKAUC\GHB6=2_;#XQFCNL,mAGSIVIFHR`j;T2,QZODGG%b_HB>0:W3+PYNKFD$e^KC_od8Q5)RW@IDB"g\YOAf?P6(]VCHCC!fTEKf?P6(]VCHCC!fTEM22>S7'\UBOB@ iUFL[SCD48?5=>5Z0.W\MFII'`^OCR`jxuf8Q5)n[ACMXRAZTd9V4*oRXMK_IRAZT79VTAGSMj1^_H\PVHQJFIC43_IH56XFEV]W]UC6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO23QEYOT84XRVOMG1<PZ^TKCJ8;YQW[SEDj2RTOB\J_HLEK3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn>l4_^][HKKXWV:TSR[?/T]JGJH(]VIFH"[PCNONEKBX[LF$E9 IIZ37Y+SXD\^8n6QP_YNMIZYX99UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[1_-QZJDM:i0SRQWLOO\[Z76WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU>0\,V[IEB;j1TSRVCNL]\[44XWV_;#XQFCNL,QZESZEUDYY!I_OAS_5[)NVIFHV?>]/W\HFC4k2UTSUBAM^]\56YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW<<R.T]OG@5d3VUTTA@B_^]20ZYX]9%^SDM@N.W\GQTKWF__#KQACQY3Y+@XKDNP=>S!U^N@A6e<WVUS@CCP_^36[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:8P Z_MAF7f=XWVRGB@QP_04\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[1_-BZEJLR;>Q#[PLBG0g>YXWQFEARQP16]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T1\,E[FKCS8:V"XQCCD1`?ZYXPEDFSRQ>8^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\98W%YRBLE2a8[ZY_DGGTSR?6_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6:T$^SAMJ3c9\[Z^KFDUTS<QP_T2,QZODGG%^SNCK/T]@KHKFFMUXIA!F4/DJ_44Z&\UGYY=l;^]\\IHJWVU9<RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX56[)]VFHI>m4_^][HKKXWV8:SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR;V"KQLMEY20X(RWEIN?n5P_^ZOJHYXW;8TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS8W%JRMBDZ36Y+SXDJO8o6QP_YNMIZYX::UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[02^*PYKKL9h7RQPXMLN[ZY5<VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT10_-QZJDM:i0SRQWLOO\[Z42WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU>2\,V[IEB;j1TSRVCNL]\[70XWV_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV?<]/W\HFC4k2UTSUBAM^]\62YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX6X(AWJGOW<:R.T]OG@5d3VUTTA@B_^]1<ZYX]9%^SDM@N.W\GQTKWF__#KQACQY1Y+@XKDNP=8S!U^N@A6d<WVUS@CCP_^0:[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ:Q#[PLBG0f>YXWQFEARQP2^]\Q5)RW@IDB"[PCLF,QZEHEDKEHR]JL.K7*CO\99W%YRBZT2`8[ZY_DGGTSR=?_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V>R.G]@IA]5U'_T@NK<b:]\[]JIEVUT?<QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP<P I_BOG_6[)]VFHI>l4_^][HKKXWV99SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY7Y+SXDJO8n6QP_YNMIZYX;:UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\8T$MSNCK[4_-QZJDM:h0SRQWLOO\[Z53WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^6Z&OUHAIU9]/W\HFC4j2UTSUBAM^]\70YXW\:$YRGLOO-V[FRUDVE^X"HPNBRX4X(AWJGOW:S!U^N@A6d<WVUS@CCP_^15[ZYR8&_TENAA/T]@PWJXG\^$JR@LPZ2^*CYDEMQ3Q#[PLBG0f>YXWQFEARQP36]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T0\,E[FKCS0W%YRBLE2`8[ZY_DGGTSR=7_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V?R.G]@IA]6U'_T@NK<b:]\[]JIEVUT?4QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP=P I_BOG_7[)]VFHI>o4_^][HKKXWV9TSR[?/T]JGJH(]VIFH"[PCNPF[LBX[LF$E9 IIZ3^*PYK]]9i7RQPXMLN[ZY38VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT3\,V[IEB;k1TSRVCNL]\[17XWV_;#XQFCNL,QZESZEUDYY!I_OAS_4[)NVIFHV:R.T]OG@5e3VUTTA@B_^]76ZYX]9%^SDM@N.W\GQTKWF__#KQACQY2Y+@XKDNP9P Z_MAF7g=XWVRGB@QP_51\[ZS7'\UBOB@ U^AWVIYH]]%MSCM_[0_-BZEJLR<V"XQCCD1a?ZYXPEDFSRQ;4^]\Q5)RW@IDB"[PCUPO[JSS'OUEO]U>]/D\GHB\?T$^SAMJ3c9\[Z^KFDUTS9;P_^W3+PYNKFD$YRM[RM]LQQ)AWGI[W<S!F^AN@^>Z&\UGOH=m;^]\\IHJWVU?:RQPU1-V[LEHF&_TOY\C_NWW+CYIKYQ:Q#HPCLFX=X(RWEIN?o5P_^ZOJHYXW==TSR[?/T]JGJH(]VI_^AQ@UU-E[KEWS;W%JRMBDZ3^*PYKKL9i7RQPXMLN[ZY30VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]5U'LTO@JT2\,V[IEB;k1TSRVCNL]\[1?XWV_;#XQFCNL,QZESZEUDYY!I_OAS_7[)NVIFHV=R.T]OG@5f3VUTTA@B_^]7[ZYR8&_TENAA/T]@IA)RWJEFAL@K_RGO+L2)N@Q3Q#[PLTV0f>YXWQFEARQP51]\[P6(]VCHCC!Z_BVQHZIR\&LTBN^T2\,E[FKCS=W%YRBLE2`8[ZY_DGGTSR;>_^]V4*SXAJEE#XQLTSN\KPR(NVDH\V<R.G]@IA]2U'_T@NK<b:]\[]JIEVUT9?QP_T2,QZODGG%^SNZ]L^MVP*@XFJZP>P I_BOG_3[)]VFHI>l4_^][HKKXWV?8SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR8V"KQLMEY4Y+SXDJO8n6QP_YNMIZYX==UTSX> U^K@KK)RWJ^Y@RAZT.D\JFV\:T$MSNCK[9_-QZJDM:h0SRQWLOO\[Z32WVU^<"[PIBMM+PYD\[FTCXZ F^L@T^4Z&OUHAIU6]/W\HFC5l2UTSUBAM^]\13YXW\:$YRGLOO-V[FRUDVE^X"[PCLFDVU6482UTSUBAM^]\12YXW\:$YRGLOO-V[FRUDVE^X"[PD@VB[SGKAM8j7RQPXMLN[ZY20VUTY=!Z_HALJ*SX[PDH#XQHOU]P]KE4i2UTSUBAM^]\1ZYX]9%^SDM@N.W\GHB(]VIDA@OAD^QFH*O3&OCP:P Z_MWW7d=XWVRGB@QP_7]\[P6(]VCHCC!Z_BOG+PYDGDGJBIQ\EM-J0+@NS=W%YRBZT2c8[ZY_DGGTSR9P_^W3+PYNKFD$YRMBD.W\GJKJIGNT_HB I5,EM^4Z&\UGYY=n;^]\\IHJWVU3SRQZ0.W\MFII'\UHX_BPOTV,BZHDXR:V"KQLMEY3Y+SXDJO8m6QP_YNMIZYX1VUTY=!Z_HALJ*SXK]XGSB[[/G]MGU]6U'LTO@JT0\,V[IEBl2kxucmPddal``t>3hyrbnQbuyg8gmow{je{iRgloo0e?fnnxzid|hQfcnl/gmow{je{iRgloo]u5Z61Wh&ECCK#NNLF730<kac{nae^k`kk*d``zxob~j_haljZp6W9<Tm!ul_tqf[kcpWykyh|20-a\|fiXd`y1C{bbdp\GjhszffT\b|{s<18QvcXMq~TN~mnn<dbhvc<]zoyS^mnn^Akelh:]ZOY=6Kaacnf[OrfoVH~jj3{rtg8QvcX]gxiiQMsbcm9cgk{l1^hQ\ogvawfgi5okgh"l_yffrlebnhgb`t3uyos/gZehzlUu}k21-a\idlhzV|n~~g`n<37(fYjageyS{k}shmm97*dWkb`iQ}ewkpmjh:8%iTob|j_lcikwYqm{7: nQlosg\ilhhzV|n~0<#c^alv`YjageySlbh`]uaw;>?%iTakiPie?2(fYr{lUiyk|20-a\qvcX{`g6<!mPurg\wj`sjzijb0>#c^rbvqYnljeoiR|jghqwaw;7$jU~hQet`e\fp``58&hSc{b_bmmpwikWyeyx~3<,b]vw`Ydgg~yca>21-a\qvcXkfd~bb>=0.`[pubWjeexac2<3/gZstmVidby|`l2?3(fYr{lUhccz}om6>4)eX}zoTob`{rnn695*dW|ynSnaatsmo286+kVxiRm`nuplh2;7$jU~hQloovqki>:8%iTy~kPcnlwvjj>59&hSx}j_bmmpwik997; nQzsd]`kkruge;:1="l_tqf[fii|{eg=?3?,b]vw`Ydgg~yca?<=1.`[pubWjeexac15?3(fYr{lUntyQmsbcm95*dW|ynSx`zsdf\fveff4:'oR{|e^zppZrdcV}d~fo}otlg94*dWlrja}bj_egpmbh:nhfxi!mPcnlppwgnf}Uu}k2eyvfvkgk$jU~h|Psbcm[fnfag7: p==;bjjtvehxlUbob`Pv0]32Zg+(Qcgecv/CNPF$Bcim{kc.>4/04?firf}oy:6m|_lw{<>etWds=;o4ibmm[gudigl0enaa_cq`ekYe}omm7dm`n^an`Zbbkfnn56gloo]`kwcc3`idbRm{rm]lqqd<ajeeS~znttq:?lehfVyrbn<4nn33?}e0r98;!nbh412.6xFGx?<n7MNw2719B?4=9rYj57<=4;fg>4548?;m6?<i1`ym674=92d9>>49;%015?46j2wX?54=258g`?74;9<:j7<=f308`704290:6<u\a88161<cl3;8?=8>f;01b4b<~]oh6=4>:08`e~Uf138987jk:010437a2;8m=i5+20c9g1=#ll09;?5m27194?0d2j31oluG20:8 c4=:?90V?>53z36>40=9>0v(?<?:345?!432;<?7)o7:346?!ce291/il4=249(12<72-o;68;4ned965=<#>i1<7*j0;5b?kba2;:07&o>:18'a5<>n2doj7<?;:)62?6=,l:1985adg83?>-2<3:1(h>5549m`c<632!>?7>5$d2910=ilo0976%:2;29 `6==<1ehk4<;:)65?6=,l:1985adg87?>-283:1(h>5549m`c<232!?i7>5$d2910=ilo0=76%;d;29 `6==<1ehk48;:)7g?6=,l:1985adg8;?>-3j3:1(h>5549m`c<>32!?m7>5$d2910=ilo0j76%;9;29 `6==<1ehk4m;:)7<?6=,l:1985adg8`?>-3?3:1(h>5549m`c<c32!?:7>5$d2910=ilo0n76%;5;29 `6==<1ehk4i;:)77?6=,l:1985adg824>=,<;0;6)k?:478ja`=9810'9?50;&f4?323gnm6<<4;*63>5<#m90>96`kf;30?>-4n3:1(h>5549m`c<6<21 ?h4?:%g3>03<fml1=854+2f94?"b83?>7cji:048?.5d290/i=4:5:lgb?7032!8n7>5$d2910=ilo0:465$3`83>!c72<?0bih51898/0b=83.n<7;:;ofe>4g<3"?h6=4+e1861>hcn3;i76%:b;29 `6==<1ehk4>c:9(1d<72-o;68;4ned95a=<#<31<7*j0;76?kba28o07&;7:18'a5<2=2doj7?i;:)7b?6=,l:1985adg815>=,<=0;6)k?:478ja`=:;10'>750;&f4?323gnm6?=4;*5a>5<#m90<m6`kf;28?.1>290/i=48a:lgb?7<3"=36=4+e184e>hcn3807&98:18'a5<0i2doj7=4;*55>5<#m90<m6`kf;68?.12290/i=48a:lgb?3<3"=86=4+e184e>hcn3<07&9=:18'a5<0i2doj794;*52>5<#m90<m6`kf;:8?.17290/i=48a:lgb??<3"<m6=4+e184e>hcn3k07&8j:18'a5<0i2doj7l4;*4g>5<#m90<m6`kf;a8?.0d290/i=48a:lgb?b<3"<i6=4+e184e>hcn3o07&8n:18'a5<0i2doj7h4;*4;>5<#m90<m6`kf;33?>-1?3:1(h>57`9m`c<6921 :;4?:%g3>2g<fml1=?54+7794?"b83=j7cji:018?.03290/i=48a:lgb?7332!=?7>5$d293d=ilo0:965$6383>!c72>k0bih51798/37=83.n<79n;ofe>41<3"<;6=4+e184e>hcn3;376%:f;29 `6=?h1ehk4>9:9(<7<72-o;6:o4ned95d=<#1;1<7*j0;5b?kba28h07&6?:18'a5<0i2doj7?l;:)4b?6=,l:1;l5adg82`>=,?l0;6)k?:6c8ja`=9l10':j50;&f4?1f3gnm6<h4;*57>5<#m90<m6`kf;02?>-113:1(h>57`9m`c<5:21 9h4?:%g3>2g<fml1>>54+`294?"b833m7cji:198/<c=83.n<77i;ofe>4=<#0n1<7*j0;;e?kba2;10'4m50;&f4??a3gnm6>54+8`94?"b833m7cji:598/<g=83.n<77i;ofe>0=<#021<7*j0;;e?kba2?10'4950;&f4??a3gnm6:54+8494?"b833m7cji:998/<3=83.n<77i;ofe><=<#0>1<7*j0;;e?kba2h10'4=50;&f4??a3gnm6o54+8094?"b833m7cji:b98/<7=83.n<77i;ofe>a=<#0:1<7*j0;;e?kba2l10'5h50;&f4??a3gnm6k54+9f94?"b833m7cji:028?.>d290/i=46f:lgb?7632!3n7>5$d29=c=ilo0:>65$8`83>!c720l0bih51298/=?=83.n<77i;ofe>42<3"236=4+e18:b>hcn3;>76%77;29 `6=1o1ehk4>6:9(<3<72-o;64h4ned952=<#1?1<7*j0;;e?kba28207&6;:18'a5<>n2doj7?6;:)b3?6=,l:15k5adg82e>=,i?0;6)k?:8d8ja`=9k10'l;50;&f4??a3gnm6<m4;*c7>5<#m902j6`kf;3g?>-f;3:1(h>59g9m`c<6m21 m?4?:%g3><`<fml1=k54+8;94?"b833m7cji:338?.>b290/i=46f:lgb?4532!3?7>5$d29=c=ilo09?65m20d94?7=83:p(k<520;8L77c3A8:46akc;29?xd59l0;6<4?:1y'b7<e>2B9=i5G20:8kg3=831vnk=50;094?6|,o81=k5G20f8L77?3-ni6?9>;nfb>5<<ak=1<75rbg694?d=83:p(k<51d9K64b<@;;37cm?:09'ef<fn2en57>5;nge>5<<glo1<75`e783>>i59>0;66aj5;29?jc?2900ek>50;9l67<722em=7>5;ncg>5<<uk;m<7>52;294~"a:3hm7E<>d:J15==#lk09;<5fb683>>ici3:17pl>f083>7<729q/j?4mf:J15a=O:820(il52638mg1=831dhl4?::a5`c=83>1<7>t$g09f7=O:8n0D??7;%fa>7163-hn6<5f2083>>o5;3:17don:188k`5=831vn<kn:187>5<7s-l96o<4H33g?M4602.on7<81:&aa?7<a;;1<75f2283>>ofi3:17bk<:188yg7bn3:187>50z&e6?d73A8:h6F=199'`g<5?81/nh4>;h02>5<<a:=1<75fa`83>>ib;3:17pl>2183>7<729q/j?4mf:J15a=O:820(il52638mg1=831dhl4?::a577=8391<7>t$g09g3=O:8n0D??7;%fa>7163-hn6<64$d3960d<ak21<75fb883>>ici3:17plic;291?6=8r.m>7l>;I02`>N5911/ho4=709'f`<63`8:6=44i3194?=n;>0;66gna;29?jc42900qohk:186>5<7s-l96o?4H33g?M4602.on7<81:&aa?7<a;;1<75f2283>>o4?3:17don:188k`5=831vnkk50;794?6|,o81n<5G20f8L77?3-ni6?9>;%`f>4=n:80;66g=3;29?l502900elo50;9la6<722wijk4?:483>5}#n;0i=6F=1e9K64><,mh1>:?4$cg95>o593:17d<<:188m61=831bml4?::mf7?6=3thm:7>55;294~"a:3h:7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9j72<722cjm7>5;ng0>5<<ukl<6=4::183!`52k;0D??k;I02<>"cj38<=6*me;38m77=831b>>4?::k03?6=3`kj6=44od194?=zjo21<7;50;2x c4=j81C><j4H33;?!be2;=:7)lj:09j64<722c9?7>5;h14>5<<ahk1<75`e283>>{en00;684?:1y'b7<e92B9=i5G20:8 ad=:>;0(ok51:k15?6=3`886=44i2594?=nih0;66aj3;29?xdai3:197>50z&e6?d63A8:h6F=199'`g<5?81/nh4>;h02>5<<a;91<75f3683>>ofi3:17bk<:188yg`e290>6=4?{%d1>g7<@;;o7E<>8:&gf?4092.ii7?4i3394?=n::0;66g<7;29?lgf2900ch=50;9~f461290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>7413`h36=44ic;94?=njh0;66gmb;29?jbf2900qo??5;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<612.n=7<=6:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd68=0;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok5139'a4<5;81bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm11194?3=83:p(k<5c69K64b<@;;37)jm:352?!db28:0(h?52238mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:96=4::183!`52j=0D??k;I02<>"cj38<=6*me;g8 `7=::i0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb022>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3n0(h?522a8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:m6=4::183!`52j=0D??k;I02<>"cj38<=6*me;a8 `7=:<80eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb02f>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3k0(h?52408mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:o6=4::183!`52j=0D??k;I02<>"cj38<=6*me;;8 `7=:<i0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb02`>5<2290;w)h=:b58L77c3A8:46*kb;045>"em320(h?524a8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:i6=4::183!`52j=0D??k;I02<>"cj38<=6*me;58 `7=:<n0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb02b>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3<0(h?524f8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:26=4::183!`52j=0D??k;I02<>"cj38<=6*me;78 `7=:<o0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb02;>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3>0(h?524g8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:<6=4::183!`52j=0D??k;I02<>"cj38<=6*me;18mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8:;6=4::183!`52j=0D??k;I02<>"cj38<=6*me;08mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8;=6=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;:97>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82=>oe03:17dl6:188mgg=831bno4?::mge?6=3th:=94?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg957=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=<=50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>46<ak21<75fb883>>oei3:17dlm:188kag=831vn<?=:186>5<7s-l96n94H33g?M4602.on7<81:&aa?c<ak21<75fb883>>oei3:17dlm:188kag=831vn<?>:186>5<7s-l96n94H33g?M4602.on7<81:&aa?b<ak21<75fb883>>oei3:17dlm:188kag=831vn<?i:186>5<7s-l96n94H33g?M4602.on7<81:&aa?e<ak21<75fb883>>oei3:17dlm:188kag=831vn<?j:186>5<7s-l96n94H33g?M4602.on7<81:&aa?g<ak21<75fb883>>oei3:17dlm:188kag=831vn<?k:186>5<7s-l96n94H33g?M4602.on7<81:&aa??<ak21<75fb883>>oei3:17dlm:188kag=831vn<?l:186>5<7s-l96n94H33g?M4602.on7<81:&aa?><ak21<75fb883>>oei3:17dlm:188kag=831vn<?m:186>5<7s-l96n94H33g?M4602.on7<81:&aa?1<ak21<75fb883>>oei3:17dlm:188kag=831vn<?n:186>5<7s-l96n94H33g?M4602.on7<81:&aa?0<ak21<75fb883>>oei3:17dlm:188kag=831vn<?6:186>5<7s-l96n94H33g?M4602.on7<81:&aa?3<ak21<75fb883>>oei3:17dlm:188kag=831vn<?7:186>5<7s-l96n94H33g?M4602.on7<81:&aa?2<,l;1>994ic:94?=nj00;66gma;29?lde2900cio50;9~f470290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7=4$d39611<ak21<75fb883>>oei3:17dlm:188kag=831vn<??:186>5<7s-l96n94H33g?M4602.on7<81:&aa?4<ak21<75fb883>>oei3:17dlm:188kag=831vn<<7:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?;i;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg7513:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?42n2ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>3783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=3c9jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e9:=1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=::h0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb01;>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:31g?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;857>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b9388h6gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`27d<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e0817`=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=>l50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>>k4ic:94?=nj00;66gma;29?lde2900cio50;9~f45d290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>75a3`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?<d;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<<f:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6;l0;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5<91bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm12d94?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?52528mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8>;6=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;>:7dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c375?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;075>oe03:17dl6:188mgg=831bno4?::mge?6=3th:8?4?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m8098?5fb983>>oe13:17dln:188mgd=831dhl4?::a515=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d39614<ak21<75fb883>>oei3:17dlm:188kag=831vn<:;:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?:<;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg73=3:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?43;2ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>4783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=459jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e9==1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=:=>0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb00b>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:30;?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;9n7>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b938946gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`26f<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e0816<=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=?j50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>?74ic:94?=nj00;66gma;29?lde2900cio50;9~f44b290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>74f3`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?=f;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<=a:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6;90;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5:k1bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm12394?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?523`8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8996=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;8h7dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c307?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;01g>oe03:17dl6:188mgg=831bno4?::mge?6=3th:?94?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m809>i5fb983>>oe13:17dln:188mgd=831dhl4?::a563=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d3967b<ak21<75fb883>>oei3:17dlm:188kag=831vn<:7:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?8?;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg7313:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?4182ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>5783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=449jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e9<=1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=:=?0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb07;>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:365?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;>57>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b938?:6gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`21d<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e0810==nj10;66gm9;29?ldf2900eol50;9l`d<722wi=8l50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>964ic:94?=nj00;66gma;29?lde2900cio50;9~f43d290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>72>3`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?:d;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<;9:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6=l0;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5<h1bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm14d94?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?525c8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8<;6=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;>i7dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c355?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;07f>oe03:17dl6:188mgg=831bno4?::mge?6=3th::?4?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m8098n5fb983>>oe13:17dln:188mgd=831dhl4?::a535=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d3961e<ak21<75fb883>>oei3:17dlm:188kag=831vn<8;:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?:k;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg71=3:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?43l2ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>6783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=4d9jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e9?=1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=:=o0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb06b>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:30f?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;?n7>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b9389i6gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`20f<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e0816c=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=9j50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>?h4ic:94?=nj00;66gma;29?lde2900cio50;9~f42b290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>7573`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?;f;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<<0:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6=90;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5;;1bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm14394?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?52208mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8?96=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;987dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c367?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;007>oe03:17dl6:188mgg=831bno4?::mge?6=3th:994?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m809?95fb983>>oe13:17dln:188mgd=831dhl4?::a503=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d39662<ak21<75fb883>>oei3:17dlm:188kag=831vn<87:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?<8;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg7113:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?45?2ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>7783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=4g9jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e9>=1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=:=l0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb05;>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:373?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;<57>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b938><6gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`23d<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e08114=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=:l50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>8?4ic:94?=nj00;66gma;29?lde2900cio50;9~f41d290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>7343`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?8d;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<:3:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6?l0;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5==1bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm16d94?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?52468mg>=831bn44?::kae?6=3`hi6=44oec94?=zj82;6=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;?>7dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c3;5?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;061>oe03:17dl6:188mgg=831bno4?::mge?6=3th:4?4?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m8099;5fb983>>oe13:17dln:188mgd=831dhl4?::a5=5=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d39600<ak21<75fb883>>oei3:17dlm:188kag=831vn<6;:186>5<7s-l96n94H33g?M4602.on7<81:&aa?7d3-o:6?;8;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg7?=3:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4>c:&f5?42?2ci47>5;h`:>5<<akk1<75fbc83>>ici3:17pl>8783>0<729q/j?4l7:J15a=O:820(il52638 gc=9j1/i<4=599jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e91=1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:0a8 `7=:<20eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb04b>5<2290;w)h=:b58L77c3A8:46*kb;045>"em3;h7)k>:316?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;=n7>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd82g>"b938896gm8;29?ld>2900eoo50;9jfg<722eom7>5;|`22f<72<0;6=u+f38`3>N59m1C><64$e`9627<,ko1=n5+e08173=nj10;66gm9;29?ldf2900eol50;9l`d<722wi=;j50;794?6|,o81o:5G20f8L77?3-ni6?9>;%`f>4e<,l;1>>84ic:94?=nj00;66gma;29?lde2900cio50;9~f40b290>6=4?{%d1>f1<@;;o7E<>8:&gf?4092.ii7?l;%g2>7503`h36=44ic;94?=njh0;66gmb;29?jbf2900qo?9f;291?6=8r.m>7m8;I02`>N5911/ho4=709'f`<6k2.n=7<<7:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6?90;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok51b9'a4<5;11bn54?::ka=?6=3`hj6=44ic`94?=hlh0;66sm16394?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0(h?522:8mg>=831bn44?::kae?6=3`hi6=44oec94?=zj8=96=4::183!`52j=0D??k;I02<>"cj38<=6*me;3`?!c62;927dl7:188mg?=831bnl4?::kaf?6=3fnj6=44}c347?6==3:1<v*i2;a4?M46l2B9=55+dc8134=#jl0:o6*j1;00=>oe03:17dl6:188mgg=831bno4?::mge?6=3th:;94?:483>5}#n;0h;6F=1e9K64><,mh1>:?4$cg95f=#m809?l5fb983>>oe13:17dln:188mgd=831dhl4?::a523=83?1<7>t$g09g2=O:8n0D??7;%fa>7163-hn6<m4$d3966g<ak21<75fb883>>oei3:17dlm:188kag=831vn<66:180>5<7s-l96n84H33g?M4602.on7<81:&aa?763-o:6?;6;h`;>5<<ak31<75`d`83>>{e9121<7=50;2x c4=k?1C><j4H33;?!be2;=:7)lj:g9'a4<5=01bn54?::ka=?6=3fnj6=44}c3;e?6=;3:1<v*i2;a5?M46l2B9=55+dc8134=#jl0:n6*j1;06e>oe03:17dl6:188kag=831vn<m>:187>5<7s-l96nm4H33g?M4602.on7<81:ka<?6=3`h26=44id594?=hlh0;66sm1cd94?2=83:p(k<5cc9K64b<@;;37)jm:352?ld?2900eo750;9ja2<722eom7>5;|`2f`<72=0;6=u+f38`f>N59m1C><64$e`9627<ak21<75fb883>>ob?3:17bjn:188yg7?m3:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4m;h`;>5<<ak31<75fb`83>>oej3:17bjn:188yg7?n3:197>50z&e6?e03A8:h6F=199'`g<5?81/nh4ne:ka<?6=3`h26=44icc94?=njk0;66aka;29?xd6190;684?:1y'b7<d?2B9=i5G20:8 ad=:>;0(ok5129jf=<722ci57>5;h`b>5<<akh1<75`d`83>>{e90;1<7;50;2x c4=k>1C><j4H33;?!be2;=:7)lj:068mg>=831bn44?::kae?6=3`hi6=44oec94?=zj83>6=4=:183!`52kl0D??k;I02<>"cj38<=6gm7;29?jbf2900qo?62;297?6=8r.m>7m9;I02`>N5911/ho4=709'f`<a3`h36=44ic;94?=hlh0;66sm18694?3=83:p(k<5c69K64b<@;;37)jm:352?!db28i0eo650;9jf<<722cim7>5;h`a>5<<gmk1<75rb0;0>5<4290;w)h=:b48L77c3A8:46*kb;045>"em3;i7)k>:37b?ld?2900eo750;9l`d<722wi=n>50;694?6|,o81n?5G20f8L77?3-ni6?9>;%`f>4=n:80;66g=3;29?lgf2900ch=50;9~f4g329086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3b5?6=<3:1<v*i2;ab?M46l2B9=55+dc8134=n:<0;66gnb;29?lc02900cn<50;9~f4g?29086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3b1?6=<3:1<v*i2;ab?M46l2B9=55+dc8134=n:<0;66gnb;29?lc02900cn<50;9~f4gd29086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3b=?6=<3:1<v*i2;ab?M46l2B9=55+dc8134=n:<0;66gnb;29?lc02900cn<50;9~f4d729086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3b`?6=<3:1<v*i2;ab?M46l2B9=55+dc8134=n:<0;66gnb;29?lc02900cn<50;9~f4d329086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3a5?6=<3:1<v*i2;ab?M46l2B9=55+dc8134=n:<0;66gnb;29?lc02900cn<50;9~f4d029086=4?{%d1>77d3A8:h6F=199'`g<5?81b>84?::k`5?6=3fnj6=44}c3b7?6=:3:1<v*i2;a6?M46l2B9=55+dc8134=#jl0:=6gm8;29?jbf2900qo?n7;296?6=8r.m>7m:;I02`>N5911/ho4=709'f`<692ci47>5;nfb>5<<uk;jn7>52;294~"a:3i>7E<>d:J15==#lk09;<5+bd825>oe03:17bjn:188yg7fn3:1>7>50z&e6?e23A8:h6F=199'`g<5?81/nh4>1:ka<?6=3fnj6=44}c3a7?6=:3:1<v*i2;a6?M46l2B9=55+dc8134=#jl0:=6gm8;29?jbf2900qo?m6;296?6=8r.m>7m:;I02`>N5911/ho4=709'f`<692ci47>5;nfb>5<<uk;j>7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;j:7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;jm7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;ji7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;i>7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;i97>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;oo7>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd8`?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;om7>53;294~"a:3i=7E<>d:J15==#lk09;<5+bd82f>"b938>n6gm8;29?ld>2900cio50;9~f4bb29096=4?{%d1>g`<@;;o7E<>8:&gf?4092ci;7>5;nfb>5<<uk;on7>55;294~"a:3i<7E<>d:J15==#lk09;<5+bd8g?ld?2900eo750;9jfd<722cin7>5;nfb>5<<uk;oh7>55;294~"a:3h?7E<>d:J15==#lk09;<5+bd82?l462900e?=50;9jed<722cn87>5;ng0>5<<uk;o?7>54;294~"a:3h87E<>d:J15==#lk09;<5+bd82?l462900elo50;9ja1<722en?7>5;|`2`1<72=0;6=u+f38a7>N59m1C><64$e`9627<,ko1=6g=1;29?lgf2900eh:50;9la6<722wi=i;50;694?6|,o81n>5G20f8L77?3-ni6?9>;%`f>4=n:80;66gna;29?lc32900ch=50;9~f4b1290?6=4?{%d1>g5<@;;o7E<>8:&gf?4092.ii7?4i3394?=nih0;66gj4;29?jc42900qo?k7;290?6=8r.m>7l<;I02`>N5911/ho4=709'f`<63`8:6=44i`c94?=nm=0;66aj3;29?xd6l10;694?:1y'b7<e;2B9=i5G20:8 ad=:>;0(ok51:k15?6=3`kj6=44id694?=hm:0;66sm1e;94?2=83:p(k<5b29K64b<@;;37)jm:352?!db281b><4?::kbe?6=3`o?6=44od194?=zj8oh6=49:183!`52j20D??k;I02<>"em3;j7dl7:188mg?=831bnl4?::kaf?6=3`hh6=44oec94?=zj8oo6=48:183!`52j30D??k;I02<>"em3;o7dl7:188mg?=831bnl4?::kaf?6=3`hh6=44icf94?=hlh0;66s|5683>7}Y=>16=hm5b89~w2e=838pR:m4=0g`>ge<uzk:6=4={_c2?87bk3hi7p}:6;296~X2>27:?94ka:p11<72;qU99521209`d=z{<91<7<t^41894572mk0q~;=:181[3534;9i7jn;|q65?6=:rT>=63>2b8ge>{t=90;6?uQ519>57g=lh1v9k50;0xZ1c<58>=6io4}r6g>5<5sW>o70?;4;fb?xu3k3:1>vP;c:?207<ci2wx8o4?:3y]0g=:9=:1hl5rs5c94?4|V=k01<=j:ec8yv2>2909wS:6;<30g?bf3ty?47>52z\7<>;6;h0om6s|4683>7}Y<>16=>65d`9~w10=838pR984=015>ag<uz>>6=4={_66?87503nj7p};3;296~X3;27:?84ka:p07<72;qU8?521219`d=z{=;1<7<t^53894562mk0q~:?:181[2734;9j7jn;|q0b?6=:rT8j63>2e8ge>{t;l0;6?uQ3d9>57d=lh1v>j50;0xZ6b<58><6io4}r1`>5<5sW9h70?;5;fb?xu4j3:1>vP<b:?206<ci2wx?l4?:3y]7d=:9=;1hl5rs4f94?4|V<n01<=i:ec8yv3d2909wS;l;<30`?bf3ty>n7>52z\6f>;6;k0om6s|5`83>7}Y=h16=>75d`9~w0?=838pR874=014>ag<uz?36=4={_7;?87513nj7p}8b;296~X0j27:994ka:p3<<72;qU;4521409`d=z{>21<7<t^6:894372mk0q~98:181[1034;?i7jn;|q42?6=:rT<:63>4b8ge>{t?<0;6?uQ749>51g=lh1v:=50;0xZ25<58<=6io4}r51>5<5sW=970?94;fb?xu093:1>vP81:?227<ci2wx;=4?:3y]35=:9?:1hl5rs7d94?4|V?l01<;j:ec8yv0b2909wS8j;<36g?bf3ty=h7>52z\5`>;6=h0om6s|6b83>7}Y>j16=865d`9~w3d=838pR;l4=075>ag<uz<j6=4={_4b?87303nj7p}98;296~X1027:984ka:p22<72;qU::521419`d=z{?<1<7<t^74894362mk0q~8::181[0234;?j7jn;|q50?6=:rT=863>4e8ge>{t>:0;6?uQ629>51d=lh1v;<50;0xZ34<58<<6io4}r42>5<5sW<:70?95;fb?xu183:1>vP90:?226<ci2wx9k4?:3y]1c=:9?;1hl5rs9094?4|V1801<;i:ec8yv>62909wS6>;<36`?bf3ty3<7>52z\;4>;6=k0om6s|7g83>7}Y?o16=875d`9~w2c=838pR:k4=074>ag<uz=o6=4={_5g?87313nj7p}n0;296~Xf827:;94ka:p=`<72;qU5h521609`d=z{0n1<7<t^8f894172mk0q~7l:181[?d34;=i7jn;|q:f?6=:rT2n63>6b8ge>{t1h0;6?uQ9`9>53g=lh1v4650;0xZ<><582=6io4}r;4>5<5sW3<70?74;fb?xu>>3:1>vP66:?2<7<ci2wx584?:3y]=0=:91:1hl5rs8694?4|V0>01<9j:ec8yv?42909wS7<;<34g?bf3ty2>7>52z\:6>;6?h0om6s|9083>7}Y1816=:65d`9~w<6=838pR4>4=055>ag<uz2m6=4={_:e?87103nj7p}7d;296~X?l27:;84ka:p<f<72;qU4n521619`d=z{1h1<7<t^9`894162mk0q~6n:181[>f34;=j7jn;|q;=?6=:rT3563>6e8ge>{t010;6?uQ899>53d=lh1v5950;0xZ=1<582<6io4}r:5>5<5sW2=70?75;fb?xu?=3:1>vP75:?2<6<ci2wx494?:3y]<1=:91;1hl5rs`594?4|Vh=01<9i:ec8yvg12909wSo9;<34`?bf3tyj97>52z\b1>;6?k0om6s|a583>7}Yi=16=:75d`9~wd5=838pRl=4=054>ag<uzk96=4={_c1?87113nj7p}ld;29`~;59o0oo63>e`817>;6ml09?63>c1817>;6j<09?63>b3817>;6il09?63>a`817>;6i?09?63>a3817>;6i809963>a5811>;6lm0jm6s|20494?4|58om6??4=g69641<uz8:97>54z?264<e127:4l4m9:?2=6<e127m87k6;|qe1?6=:r7m87ok;<d0>g1<uz8;h7>52z?2ac<fi27:j=4ka:p65`=838p1<ki:25894`62mk0q~<>0;297~;60h0i463>928a<>;6lm0n?6s|20094?4|58on6h=4=g69b5=z{;;86=4={<3`4?c434;nh7lk;|q2b1<721q6==>5d`9>53?=j016=;65b89>51?=j016=965b89>57?=j016=?65b89>5<2=j01v<hm:184877?3nj70?87;`:?870>3h270?:7;`:?872>3h270?<7;`:?874>3h27p}>fb83>2}:9921hl5216;9f<=:9>21n45214;9f<=:9<21n45212;9f<=:9:21n45rs0dg>5<0s4;;57jn;<34f?d>34;<m7l6;<36f?d>34;>m7l6;<30f?d>34;8m7l6;|q2b`<72>q6==o5d`9>52b=j016=:m5b89>50b=j016=8m5b89>56b=j016=>m5b89~w4`a290<w0??b;fb?870n3h270?8e;`:?872n3h270?:e;`:?874n3h270?<e;`:?xu5890;6:u211a9`d=:91;1n4521929f<=:9?;1n4521729f<=:9=;1n4521529f<=z{;::6=48{<33`?bf34;3?7l6;<3;6?d>34;=?7l6;<356?d>34;??7l6;<376?d>3ty9<?4?:6y>55c=lh16=5;5b89>5=2=j016=;;5b89>532=j016=9;5b89>512=j01v?><:184877n3nj70?77;`:?87?>3h270?97;`:?871>3h270?;7;`:?873>3h27p}>f483>2}:99;1hl5217`9f<=:9?k1n45215`9f<=:9=k1n45213`9f<=:9;k1n45rs0d5>5<0s4;;>7jn;<35`?d>34;=o7l6;<37`?d>34;?o7l6;<31`?d>34;9o7l6;|q2b2<72>q6===5d`9>53`=j016=;k5b89>51`=j016=9k5b89>57`=j016=?k5b89~w4`?290<w0??4;fb?87093h270?80;`:?87293h270?:0;`:?87493h270?<0;`:?xu6n00;6:u21179`d=:9>91n4521609f<=:9<91n4521409f<=:9:91n4521209f<=z{8lj6=48{<332?bf34;<97l6;<340?d>34;>97l6;<360?d>34;897l6;<300?d>3ty9<54?:33x94772mk01<=::cc894532kk01<=<:cc894552kk01<=>:cc894572kk01<<i:cc8944b2kk01<<k:cc8944d2kk01<<m:cc8944f2kk01<:8:cc894212kk01<:::cc894232kk01<:<:cc894252kk01<:>:cc894272kk01<=i:cc8945b2kk01<=k:cc8945d2kk01<=m:cc8945f2kk01<=6:cc8945?2kk01<=8:cc894512kk01<<6:cc8944?2kk0q~<?a;2964}:98=1hl521479fd=:9<>1nl521419fd=:9<81nl521439fd=:9<:1nl5215d9fd=:9=o1nl5215f9fd=:9=i1nl5215`9fd=:9=k1nl521759fd=:9?<1nl521779fd=:9?>1nl521719fd=:9?81nl521739fd=:9?:1nl5214d9fd=:9<o1nl5214f9fd=:9<i1nl5214`9fd=:9<k1nl5214;9fd=:9<21nl521459fd=:9<<1nl5215;9fd=:9=21nl5rs32a>5<59r7:=54ka:?230<ei27:;94ma:?236<ei27:;?4ma:?234<ei27:;=4ma:?22c<ei27::h4ma:?22a<ei27::n4ma:?22g<ei27::l4ma:?2<2<ei27:4;4ma:?2<0<ei27:494ma:?2<6<ei27:4?4ma:?2<4<ei27:4=4ma:?23c<ei27:;h4ma:?23a<ei27:;n4ma:?23g<ei27:;l4ma:?23<<ei27:;54ma:?232<ei27:;;4ma:?22<<ei27::54ma:p65?=838p1<?9:ec894?32kk0q~<?4;2951}:n10n?63i7;cb?87683h370?>7;`;?87603h370?>9;`;?876i3h370?>b;`;?876k3h370?>d;`;?876m3h370?>f;`;?87693h370?>2;`;?876;3h370?>4;`;?876=3h370?>6;`;?87bk3h370?jd;`a?xu58<0;6<:t=g;9a6=:n10jm63>118a=>;69>0i563>198a=>;6900i563>1`8a=>;69k0i563>1b8a=>;69m0i563>1d8a=>;69o0i563>108a=>;69;0i563>128a=>;69=0i563>148a=>;69?0i563>eb8ae>;6mm0io6s|21494?74s4lj6h=4=g;9ed=:98:1nl521059fd=:9821nl5210;9fd=:98k1nl5210`9fd=:98i1nl5210f9fd=:98o1nl5210d9fd=:98;1nl521009fd=:9891nl521069fd=:98?1nl521049fd=:9ln1n45rs324>5<6;r7mn7k<;<db>dg<58;;6ol4=034>gd<58;36ol4=03:>gd<58;j6ol4=03a>gd<58;h6ol4=03g>gd<58;n6ol4=03e>gd<58;:6ol4=031>gd<58;86ol4=037>gd<58;>6ol4=035>gd<58oo6o64}r3e6?6=<r7mo7k<;<3;<?d?34;357l7;<3:6?d?3ty:j>4?:4y>ba<b;27mo7on;<3;<?d>34;357l6;<3:6?d>3ty9<n4?:5y>5``=m:16=k>5b69>577=j116=ik5b69~w7732909w0?je;cb?87bl3nj7p}=0d83>6}:9o;1n:52f58f<>;6:90i;6s|20394?4|58oj6lo4=g69ac=z{8896=4m{<da>61<5ok1?:52f8803>;a039<70h8:2589c0=;>16jk4<7:?ea?5034lo6>94=ga972=:9;:1hl5rs004>5<es4li6?=4=gc966=:n009?63i8;00?8`02;901k85229>bc<5;27mi7<<;<dg>75<5oi1>>521339`d=z{88>6=4>2z?ea?c434lo6lo4=023>g><58:<6o64=02;>g><58:26o64=02b>g><58:i6o64=02`>g><58:o6o64=02f>g><58:m6o64=022>g><58:96o64=020>g><58:?6o64=026>g><58:=6o64}r312?6=9;q6jk4j3:?ea?gf34;;<7l6;<333?d>34;;47l6;<33=?d>34;;m7l6;<33f?d>34;;o7l6;<33`?d>34;;i7l6;<33b?d>34;;=7l6;<336?d>34;;?7l6;<330?d>34;;97l6;<332?d>3ty:>>4?:00x9c0=m:16jk4na:?245<ei27:<:4ma:?24=<ei27:<44ma:?24d<ei27:<o4ma:?24f<ei27:<i4ma:?24`<ei27:<k4ma:?244<ei27:<?4ma:?246<ei27:<94ma:?240<ei27:<;4ma:p572=83;9w0h8:d189c0=ih16==>5bc9>551=jk16==65bc9>55?=jk16==o5bc9>55d=jk16==m5bc9>55b=jk16==k5bc9>55`=jk16==?5bc9>554=jk16===5bc9>552=jk16==;5bc9>550=jk1v<6l:1805~;6000om63>758af>;6?;0in63>718af>;6>l0in63>6b8af>;6>h0in63>878af>;60=0in63>838af>;6090in63>7d8af>;6?j0in63>7`8af>;6?10in63>778af>;6>10in63>558af>;6=;0in63>518af>;6<l0in63>4b8af>;6<h0in63>678af>;6>=0in63>638af>;6>90in63>5d8af>;6=j0in63>5`8af>;6=10in63>578af>;6<10in63>358af>;6;;0in63>318af>;6:l0in63>2b8af>;6:h0in63>478af>;6<=0in63>438af>;6<90in63>3d8af>;6;j0in63>3`8af>;6;10in63>378af>;6:10in6s|19`94?56s4;347jn;<341?de34;<?7lm;<345?de34;=j7lm;<35`?de34;=n7lm;<3;3?de34;397lm;<3;7?de34;3=7lm;<34b?de34;<h7lm;<34f?de34;<57lm;<343?de34;=57lm;<361?de34;>?7lm;<365?de34;?j7lm;<37`?de34;?n7lm;<353?de34;=97lm;<357?de34;==7lm;<36b?de34;>h7lm;<36f?de34;>57lm;<363?de34;?57lm;<301?de34;8?7lm;<305?de34;9j7lm;<31`?de34;9n7lm;<373?de34;?97lm;<377?de34;?=7lm;<30b?de34;8h7lm;<30f?de34;857lm;<303?de34;957lm;|q2<a<72?;p1<6n:ec894122k201<9;:c:894142k201<9=:c:894162k201<9?:c:8940a2k201<8j:c:8940c2k201<8l:c:8940e2k201<8n:c:894>02k201<69:c:894>22k201<6;:c:894>42k201<6=:c:894>62k201<6?:c:8941a2k201<9j:c:8941c2k201<9l:c:8941e2k201<9n:c:8941>2k201<97:c:894102k201<99:c:8940>2k201<87:c:894322k201<;;:c:894342k201<;=:c:894362k201<;?:c:8942a2k201<:j:c:8942c2k201<:l:c:8942e2k201<:n:c:894002k201<89:c:894022k201<8;:c:894042k201<8=:c:894062k201<8?:c:8943a2k201<;j:c:8943c2k201<;l:c:8943e2k201<;n:c:8943>2k201<;7:c:894302k201<;9:c:8942>2k201<:7:c:894522k201<=;:c:894542k201<==:c:894562k201<=?:c:8944a2k201<<j:c:8944c2k201<<l:c:8944e2k201<<n:c:894202k201<:9:c:894222k201<:;:c:894242k201<:=:c:894262k201<:?:c:8945a2k201<=j:c:8945c2k201<=l:c:8945e2k201<=n:c:8945>2k201<=7:c:894502k201<=9:c:8944>2k201<<7:c:8yv7c:3:1>v3>c18be>;6k80om6s|1e394?4|58hm6io4=0a2>g><uz;o<7>52z?2f`<ci27:o<4m9:p5f2=838p1<6j:ec894da2k20q~?l5;296~;60o0om63>bg8a=>{t9j<1<7<t=0;3>ag<58hn6o64}r3`3?6=:r7:5<4ka:?2f`<e12wx=n750;5x94?22mk01<l::d6894d52l>01<oj:d6894gf2l>01<o9:d6894g52l>0q~?l2;296~;61=0in63>938ge>{t9j21<7<t=0;7>ag<583>6o94}r3`7?6=:r7:5>4ka:?2=1<e02wx=nh50;1x94e62l=01<l::d1894d12k20q~?le;290~;6jl0n;63>bg8f3>;6j;0n?63>b28a<>{t9jn1<78t=0;2>gd<583;6ol4=0:e>gd<582n6ol4=0cf>`5<58km6o64}r3`g?6=>r7:5<4ma:?2=5<ei27:4k4ma:?2<`<ei27:ml4j3:?2eg<e02wx=nl50;4x94?62k301<7?:c;894>a2k301<6j:c;894g12l901<o8:c:8yv7di3:1:v3>908a<>;6190i463>8g8a<>;60l0i463>a38f7>;6i:0i46s|18`94?4|58k96lo4=0c7>ag<uz;2:7>53z?2e0<5=27:m54=5:?2e4<d:2wx=4m50;0x94g12hk01<o7:ec8yv7>?3:1?v3>a8811>;6ij09963>a48`6>{t90n1<7<t=0cb>dg<58kh6io4}r3:<?6=;r7:mi4=5:?2f5<5=27:m44l2:p5<c=838p1<oj:`c894d72mk0q~?69;297~;6j809963>b5811>;6im0h>6s|18d94?4|58h96lo4=0`7>ag<uz;2m7>52z?2f2<5=27:n<4l2:p5d6=838p1<l::`c894d02mk0q~?m8;297~;6i:0om63>a08f3>;6i=0h=6s|1c;94?5|58k<6io4=0c6>`1<58k36n?4}r3ae?6=;r7:mo4ka:?2e<<b?27:mn4l1:p5gd=839p1<oi:ec894gc2l=01<l?:b38yv7ek3:1?v3>b28ge>;6j80n;63>b58`5>{t9kn1<7<t=0`5>ag<58h<6n?4}r3f6?6=:r7:hl4m8:?2`f<ci2wx=h>50;0x94bc2;901<jn:ec8yv7cn3:15v3>d88f0>;6l10n863>d68f0>;6l?0n863>d48f0>;6l=0n863>d28f0>;6lm0n863>dd8ge>{t9l91<7<t=0f0>`5<58nh6o64}r3f0?6=;r7:h94j3:?2`6<fi27:hn4m9:p5`3=839p1<j::d1894b32hk01<jl:cc8yv7b>3:1?v3>d78f7>;6l<0jm63>db8af>{t9l=1<7=t=0f4>`5<58n=6lo4=0fa>g><uz;n47>53z?2`=<b;27:h:4na:?2`g<e12wx=h?50;0x94be2mk01<jn:c;8yv7b13:1?v3>d88f7>;6l10jm63>dc8ae>{t9lh1<7<t=0g`>ag<58oo6oo4}raf>5<7styhj7>50zp`5<729qvi?50;2xyvb5290;wp}k3;294~{tl=0;64uQ4g9]31=:9k;1mo521`f9eg=:9h31mo521`79eg=:9h;1mo5220g9f0=Y101vi;50;5xZ12<V?301kl5a`9>5a?=ih16=il5bc9]<`=:9lk1i>5rse494?7asW927S;j;<3fe?4634;ni7<>;<da>77<5ok1><52f8815>;a038:70h8:3389c0=:816jk4=1:?ea?4634lo6??4=ga964=:9j:1><521c7964=:9k81><521`g964=:9hk1><521`4964=:9h81><521e;964=:9m21><521e5964=:9m<1><521e7964=:9m>1><521e1964=:9mn1><5Q829>b6<ci2wvb9jn:182M4602we8il50;3xL77?3td?hn4?:0yK64><ug>oh7>51zJ15==zf=nn6=4>{I02<>{i<ml1<7?tH33;?xh3m90;6<uG20:8yk2b93:1=vF=199~j1c5290:wE<>8:m0`5=83;pD??7;|l7a1<728qC><64}o6f1?6=9rB9=55rn5g5>5<6sA8:46sa4d594?7|@;;37p`;e983>4}O:820qc:j9;295~N5911vb9kn:182M4602we8hl50;3xL77?3td?in4?:0yK64><ug>nh7>51zJ15==zf=on6=4>{I02<>{i<ll1<7?tH33;?xh3n90;6<uG20:8yk2a93:1=vF=199~j1`5290:wE<>8:m0c5=83;pD??7;|l7b1<728qC><64}o6e1?6=9rB9=55rn5d5>5<6sA8:46sa4g594?7|@;;37p`;f983>4}O:820qc:i9;295~N5911vb9hn:182M4602we8kl50;3xL77?3td?jn4?:0yK64><ug>mh7>51zJ15==zf=ln6=4>{I02<>{i<ol1<7?tH33;?xh2890;6<uG20:8yk3793:1=vF=199~j065290:wE<>8:m155=83;pD??7;|l641<728qC><64}o731?6=9rB9=55rn425>5<6sA8:46sa51594?7|@;;37p`:0983>4}O:820qc;?9;295~N5911vb8>n:182M4602we9=l50;3xL77?3td><n4?:0yK64><ug?;h7>51zJ15==zf<:n6=4>{I02<>{i=9l1<7?tH33;?xh2990;6<uG20:8yk3693:1=vF=199~j075290:wE<>8:m145=83;pD??7;|l651<728qC><64}o721?6=9rB9=55rn435>5<6sA8:46sa50594?7|@;;37p`:1983>4}O:820qc;>9;295~N5911vb8?n:182M4602we9<l50;3xL77?3td>=n4?:0yK64><ug?:h7>51zJ15==zf<;n6=4>{I02<>{i=8l1<7?tH33;?xh2:90;6<uG20:8yk3593:1=vF=199~j045290:wE<>8:m175=83;pD??7;|l661<728qC><64}o711?6=9rB9=55rn405>5<6sA8:46sa53594?7|@;;37p`:2983>4}O:820qc;=9;295~N5911vb8<n:182M4602we9?l50;3xL77?3td>>n4?:0yK64><ug?9h7>51zJ15==zf<8n6=4>{I02<>{i=;l1<7?tH33;?xh2;90;6<uG20:8yk3493:1=vF=199~j055290:wE<>8:m165=83;pD??7;|l671<728qC><64}o701?6=9rB9=55rn415>5<6sA8:46sa52594?7|@;;37p`:3983>4}O:820qc;<9;295~N5911vb8=n:182M4602we9>l50;3xL77?3td>?n4?:0yK64><ug?8h7>51zJ15==zf<9n6=4>{If:?Mb?3A8:46sa52d94?7|@m30Di64H33;?xh2<90;6<uG20:8yk3393:1=vF=199~j025290:wE<>8:m115=83;pD??7;|l601<728qC><64}o771?6=9rB9=55rn465>5<6sA8:46sa55594?7|@;;37p`:4983>4}O:820qc;;9;295~N5911vb8:n:182M4602we99l50;3xL77?3td>8n4?:0yK64><ug??h7>51zJ15==zf<>n6=4>{I02<>{i==l1<7?tH33;?xh2=90;6<uG20:8yk3293:1=vF=199~j035290:wE<>8:m105=83;pD??7;|l611<728qC><64}o761?6=9rB9=55rn475>5<6sA8:46sa54594?7|@;;37p`:5983>4}O:820qc;:9;295~N5911vb8;n:182M4602we98l50;3xL77?3td>9n4?:0yK64><ug?>h7>51zJ15==zf<?n6=4>{I02<>{i=<l1<7?tH33;?xh2>90;6<uG20:8yk3193:1=vF=199~j005290:wE<>8:m135=83;pD??7;|l621<728qC><64}o751?6=9rB9=55rn445>5<6sA8:46sa57594?7|@;;37p`:6983>4}O:820qc;99;295~N5911vb88n:182M4602we9;l50;3xL77?3td>:n4?:0yK64><ug?=h7>51zJ15==zf<<n6=4>{I02<>{i=?l1<7?tH33;?xh2?90;6<uG20:8yk3093:1=vF=199~j015290:wE<>8:m125=83;pD??7;|l631<728qC><64}o741?6=9rB9=55rn455>5<6sA8:46sa56594?7|@;;37p`:7983>4}O:820qc;89;295~N5911vb89n:182M4602we9:l50;3xL77?3td>;n4?:0yK64><ug?<h7>51zJ15==zf<=n6=4>{I02<>{i=>l1<7?tH33;?xh2090;6<uG20:8yk3?93:1=vF=199~j0>5290:wE<>8:m1=5=83;pD??7;|l6<1<728qC><64}o7;1?6=9rB9=55rn4:5>5<6sA8:46sa59594?7|@;;37p`:8983>4}O:820qc;79;295~N5911vb86n:182M4602we95l50;3xL77?3td>4n4?:0yK64><ug?3h7>51zJ15==zf<2n6=4>{I02<>{i=1l1<7?tH33;?xh2190;6<uG20:8yk3>93:1=vF=199~j0?5290:wE<>8:m1<5=83;pD??7;|l6=1<728qC><64}o7:1?6=9rB9=55rn4;5>5<6sA8:46sa58594?7|@;;37p`:9983>4}O:820qc;69;295~N5911vb87n:182M4602we94l50;3xL77?3td>5n4?:0yK64><ug?2h7>51zJ15==zf<3n6=4>{I02<>{i=0l1<7?tH33;?xh2i90;6<uG20:8yk3f93:1=vF=199~j0g5290:wE<>8:m1d5=83;pDi74He:8L77?3twvqMNL{74f>2de9<=m?pNOBz2~DEV|uIJ
/trunk/rtl/xilinx/coregen/cleanup.bat
0,0 → 1,3
del *.txt *.gise *.cdc *.veo *.xdc *.xise *.tcl *.ucf *.ncf *.asy
/trunk/rtl/xilinx/coregen/chipscope_vio_mask.xco
0,0 → 1,59
##############################################################
#
# Xilinx Core Generator version 14.2
# Date: Tue Nov 20 02:35:57 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_vio:1.05.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT VIO_(ChipScope_Pro_-_Virtual_Input/Output) family Xilinx,_Inc. 1.05.a
# END Select
# BEGIN Parameters
CSET asynchronous_input_port_width=8
CSET asynchronous_output_port_width=8
CSET component_name=chipscope_vio_mask
CSET constraint_type=external
CSET enable_asynchronous_input_port=false
CSET enable_asynchronous_output_port=false
CSET enable_synchronous_input_port=false
CSET enable_synchronous_output_port=true
CSET example_design=true
CSET invert_clock_input=false
CSET synchronous_input_port_width=8
CSET synchronous_output_port_width=40
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-07-21T03:12:17Z
# END Extra information
GENERATE
# CRC: 42ba3c1a
/trunk/rtl/xilinx/coregen/cleanup.sh
0,0 → 1,59
rm -f *.txt *.gise *.cdc *.veo *.xdc *.xise *.tcl *.ucf *.ncf *.asy
/trunk/rtl/xilinx/coregen/chipscope_vio_fifo.v
0,0 → 1,33
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 2012 Xilinx, Inc.
// All Rights Reserved
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 14.2
// \ \ Application: Xilinx CORE Generator
// / / Filename : chipscope_vio_fifo.v
 
// \ \ / \
// \___\/\___\
//
// Design Name: Verilog Synthesis Wrapper
///////////////////////////////////////////////////////////////////////////////
// This wrapper is used to integrate with Project Navigator and PlanAhead
 
`timescale 1ns/1ps
 
module chipscope_vio_fifo(
CONTROL,
CLK,
SYNC_IN,
SYNC_OUT);
 
 
inout [35 : 0] CONTROL;
input CLK;
input [91 : 0] SYNC_IN;
output [1 : 0] SYNC_OUT;
 
endmodule
/trunk/rtl/xilinx/coregen/scfifo.xco
0,0 → 1,213
##############################################################
#
# Xilinx Core Generator version 14.2
# Date: Mon Nov 19 07:41:02 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:9.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:9.2
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=scfifo
CSET data_count=true
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=3
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Common_Clock_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=1
CSET full_threshold_assert_value=1022
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=1021
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=82
CSET input_depth=1024
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=82
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-06-23T13:35:37Z
# END Extra information
GENERATE
# CRC: f059667d
/trunk/rtl/xilinx/coregen/chipscope_vio_fifo.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$011a~4<%8;0<95?0127?567==1;==?;;14244=5<28;<=:4202353=52F__\XZ5SXL@[JSS480;2<84378JJJSS2JEEX_AC<2794;2<?OLM==58FGDEBC@ANOLMJKH>;935?<4=AGZ^X7]VNB]JJ9?629497L>=;@31?D453H937LHNC@1B4==E]ZUBBKA>;B68G567:2IJ>6MJ139@L@ELWECHIC]J_U[SA7=DA:1H@F:4CMI32>EKC82;:6MCK3531>EKC;R37NBD2Y3;40=DDB3;96MCK@34?FJLI8N9;6MCK@3G02=DDBK:H5;4CMIA50=DDBI:96MCKE36?FJLL_i0OAEKV^FJRLBB=2IGGKV7;BNHB]7?8:1H@_74CNONMQRBLh1HCCZ]OM>3:g=DGG^YCA2>0?`8GJHSZFF7=<0m;BMMPWIK4885n6M@NUPLH9746k1HCCZ]OM>20;d<KFD_^BB314<a?FII\[EG0<81b:ALJQTHD5;<2o5LOOVQKI:607h0OB@[RNN?5<8f3JEEX_AC<0<a?FII\[EG0?>1b:ALJQTHD58:2o5LOOVQKI:5:7h0OB@[RNN?668e3JEEX_AC<36=f>EHF]XD@1<:>c9@KKRUGE69:3l4CNLWVJJ;:>4i7NAATSMO87>9j2IDBY\@L=0::d=DGG^YCA2=>c9@KKRUGE68<3l4CNLWVJJ;;84i7NAATSMO8649j2IDBY\@L=10:g=DGG^YCA2<4?f8GJHSZFF7?84?>c9@KKRUGE6893o4CNLWVJJ;;7k0OB@[RNN?0;g<KFD_^BB35?c8GJHSZFF7:3o4CNLWVJJ;?7k0OB@[RNN?<;g<KFD_^BB39?37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@7=CA=1N>=7>0:DG30D>;8OH:9O72118BAE33ONHI>5IDD18BAT33ONYI<>4FGDEBC@ANOLMJKHJ1:E0?BHC92C97D>=;H31?L453@997D:=;H7:?LHN\Z^JXH=4IOT1?IO53EE=7AALKDF4?II@AJKG86BZT068HPR5<2F^X>:4LTV70>JR\<>0@XZ97:OV\F_XD;1E<?5A169MAQQHZB;0C<94OQVJIL\BWYXBADZFVDQ\JBE63Y20\#<7xe]O0>VFZ]k0\D@PBTQJ@]d<X@DTNX]AALG:?UMX@E__B@84PRFLJ@7<Y:1Z=8?4R09P54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B>2YDY_MJ7:QQHF71M?1XXLZZS`9P]KEXAG6;2o5\YOA\MK:687h0_T@L_HL?548e3ZSEORGA<00=f>U^FJUBB1?<>c9P]KEXAG6:83l4SXL@[LH;9<4i7^WAC^KM8409j2YRBNQFN=34:g=TQGITEC2>8?`8W\HDW@D7=40n;R[MGZOI484i7^WAC^KM8769j2YRBNQFN=02:g=TQGITEC2=2?`8W\HDW@D7>>0m;R[MGZOI4;>5n6]VNB]JJ9426k1XUCMPIO>12;d<[PDHSD@326<a?V_IKVCE0?61b:QZJFYNF5822l5\YOA\MK:56k1XUCMPIO>04;d<[PDHSD@330<a?V_IKVCE0><1b:QZJFYNF5982o5\YOA\MK:4<7h0_T@L_HL?708e3ZSEORGA<24=f>U^FJUBB1=8>c9P]KEXAG6843l4SXL@[LH;;04j7^WAC^KM868e3ZSEORGA<52=f>U^FJUBB1:>>c9P]KEXAG6?>3l4SXL@[LH;<:4i7^WAC^KM8129j2YRBNQFN=66:g=TQGITEC2;6?`8W\HDW@D78:0m;R[MGZOI4=25n6]VNB]JJ92>6h1XUCMPIO>7:g=TQGITEC2:0?`8W\HDW@D79<0m;R[MGZOI4<85n6]VNB]JJ9346k1XUCMPIO>60;d<[PDHSD@354<a?V_IKVCE0881b:QZJFYNF5?<2o5\YOA\MK:207h0_T@L_HL?1<8f3ZSEORGA<4<a?V_IKVCE0;>1b:QZJFYNF5<:2o5\YOA\MK:1:7h0_T@L_HL?268e3ZSEORGA<76=f>U^FJUBB18:>c9P]KEXAG6=:3l4SXL@[LH;>>4i7^WAC^KM83>9j2YRBNQFN=4::d=TQGITEC29>c9P]KEXAG6<<3l4SXL@[LH;?84i7^WAC^KM8249j2YRBNQFN=50:g=TQGITEC284?`8W\HDW@D7;80m;R[MGZOI4><5n6]VNB]JJ9106k1XUCMPIO>4<;d<[PDHSD@378<b?V_IKVCE0:0m;R[MGZOI41:5n6]VNB]JJ9>66k1XUCMPIO>;6;d<[PDHSD@382<a?V_IKVCE05:1b:QZJFYNF52>2o5\YOA\MK:?>7h0_T@L_HL?<28e3ZSEORGA<9:=f>U^FJUBB166>`9P]KEXAG632o5\YOA\MK:>87n0_T@L_HL?=4<76k1XUCMPIO>:5;g<[PDHSD@39?`8W\HDWF__0=0k;R[MGZIR\5;1<3l4SXL@[JSS484?7YW_E008Q5)NW_CD#L\B_qwow`753\:$ERXFO.FBPDYCG\^8<6[?/H]UMJ)@MGUXUCMPIOY3Y+U^FJUBBRMJLM-BW\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^6Z&ZSEORGA_BGOH*GTQGIT^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS9W%_T@L_HL\G@JK'ZBBJYQ]EF0e?P6(AV\BC"IJN^QZJFYNFR:V"^WAC^KM[FCKD&YRBNQI_LW[6c=R8&CTZDA GDL\W\HDW@DP<P \YOA\MKYDMEF$_T@L_S]NQ]553\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY3Y+U^FJUBBRMJLM-P[DU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ([VYRBNQI_SGD74=R8&CTZDA GDL\W\HDW@DP<P \YOA\MKYDMEF$_R]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T0\,P]KEXAGUHIAB URGQ[FJLW[OL>i5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,QVCUWD_S>i5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,QVCUW[OL>h5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,QZ@FDECEK?j4U1-J[SOH'NOES^WAC^KM_5[)[PDHSD@PCDNO+PYUAZCEK>>4U1-J[SOH'NOES^WAC^KM_5[)[PDHSD@PCDNO+PYT\H^HAIQa2e9V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ(]VYRBNQI2e9V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ(]VYRBNQ]359V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ(izseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU?]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<l;T2,MZPNG&MNBR]VNB]JJ^6Z&ZSEORGA_BGOH*ekgjanh?m4U1-J[SOH'NOES^WAC^KM_5[)[PDHSD@PCDNO+cgkd`dl?=5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,bdjkagmTcxz=c:W3+LYQAF%LICQ\YOA\MK]7U'YRBNQFN^AFHI)al8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^6Z&ZSEORGA_BGOH*`c:Ve~x?m4U1-J[SOH'NOES^WAC^KM_5[)[PDHSD@PCDNO+cb4Wf>n5Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,ba2Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY3Y+U^FJUBBRMJLM-e`0Yh}}8o7X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.ov|4Yh}}8i7X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.pjwlh`:o1^<"GPVHM,C@HX[PDHSD@T0\,P]KEXAGUHIAB rhqjjbYh}}9o7X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]937X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW=S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T0\,P]KEXAGUHIAB sxl`[cYh}}987X> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.qzjfYj}qUmSb{{329V4*OX^@E$KH@PSXL@[LH\8T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5c3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS9W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX4X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T0\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP<P \YOA\MKYDMEF$t`l_s]lqq4b3\:$ERXFO.EFJZU^FJUBBV>R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY24X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]68T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP==S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ:<P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T11_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR;;Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ:<P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP==S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV??]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY24X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX55[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW<>R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR;;Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP==S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW<>R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_46Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ33Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU>0\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ33Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T11_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[02^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW<>R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS8:V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^77U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY24X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^77U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP=<S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T10_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_47Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS8;V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY25X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX54[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_47Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]69T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP=<S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW<?R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^76U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY25X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_47Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^76U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV?>]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ:=P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\98W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ:=P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS8;V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR;:Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^76U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ32Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU>1\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP=<S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU>1\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS88V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV?=]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW<<R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ31Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP=?S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW<<R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV?=]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T13_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*SX[PDHSK<j;T2,MZPNG&MNBR]VNB]JJ^75U'YRBNQFN^AFHI)RWZSEOR\<5:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU>2\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$ji<Potv1`>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP=?S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV?=]/QZJFYNFVIN@A!|yoa\bZccol%BSE<C.T]GKPR412_;#DQYIN-DAKYTQGITECU>2\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]6:T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[00^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX57[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ31Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY26X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU>2\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ:>P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\9;W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_44Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\9;W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ30Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]6;T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ:?P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_45Z&ZSEORGA_BGOH*STM[UFYU<j;T2,MZPNG&MNBR]VNB]JJ^74U'YRBNQFN^AFHI)R[LXT^HI=f:W3+LYQAF%LICQ\YOA\MK]6;T$XUCMPIO]@AIJ(]VLJ@AGAG3g8Q5)NW_CD#JKA_R[MGZOIS89V"^WAC^KM[FCKD&_T^D]FNF12?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%^S^ZNTBOG[k4b3\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU>3\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\9:W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_45Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+wotagmTcxz<e:W3+LYQAF%LICQ\YOA\MK]6;T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[389V4*OX^@E$KH@PSXL@[LH\9:W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T12_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW<=R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR;8Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW<=R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ:?P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP=>S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\9:W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX56[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[01^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV?<]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[01^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,EV_IKVLTAXV<1:W3+LYQAF%LICQ\YOA\MK]6<T$XUCMPIO]@AIJ(IZSEOR\PMTZ1b>S7'@U]EB!HEO]P]KEXAGQ:8P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T15_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,WZGTQGITJR\JG218Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&YTM^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB S^QZJFYAW[OL??5Z0.K\RLI(OLDT_T@L_HLX51[)[PDHSD@PCDNO+VYTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&_XI_QLLJ]QAB4b3\:$ERXFO.EFJZU^FJUBBV?;]/QZJFYNFVIN@A!ZSDP\IP^5m2_;#DQYIN-DAKYTQGITECU>4\,P]KEXAGUHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSD@T15_-W\HDW@DTOHBC/T]EEIJNFN8n7X> I^TJK*ABFVYRBNQFNZ37Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,QZUSI]IFHR`=e:W3+LYQAF%LICQ\YOA\MK]6<T$XUCMPIO]@AIJ(]VYRBNQI2d9V4*OX^@E$KH@PSXL@[LH\9=W%_T@L_HL\G@JK'\UXUCMPR278Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&kxucmPmtz\bZir|:?0Y=!F_WKL+BCIWZSEORGA[06^*V_IKVCESNKCL.cp}keXe|rT~Razt3f8Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&igcnejd3f8Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&lj`agag238Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&lj`agag^mvp7b<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"hk1^mvp7b<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"hk2^mvp7b<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"hk3^mvp7b<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"hk4^mvp7b<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"hk5^mvp7c<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"czx0]lqq4d3\:$ERXFO.EFJZU^FJUBBV?;]/QZJFYNFVIN@A!}irkmc66<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"|fshld[jss;l1^<"GPVHM,C@HX[PDHSD@T15_-W\HDW@DTOHBC/r{mgZ`Xmmmn#DQG2M,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[06^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS8>V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^73U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY20X(TQGITECQLEMN,w|hdWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^73U'YRBNQFN^AFHI)tqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"}vnb]nq}YuWf?h5Z0.K\RLI(OLDT_T@L_HLX51[)[PDHSD@PCDNO+vikVxTiiij/H]O6M(RWME^X>74U1-J[SOH'NOES^WAC^KM_42Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[06^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW<:R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR;?Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]6<T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR;?Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T14_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX50[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS8?V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\9<W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW<;R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]6=T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\9<W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS8?V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ:9P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T14_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[07^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR;>Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-eeijnfnUdyy<k;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)al8Udyy<k;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)al;Udyy<k;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)al:Udyy<k;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)al=Udyy<k;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)al<Udyy<j;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]6=T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS8?V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR;>Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ36Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU>5\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU>5\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW<;R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV?:]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR;>Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^72U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY21X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T14_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY21X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS8<V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW<8R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ35Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$_RO\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.Q\W\HDWOUYIJ==;T2,MZPNG&MNBR]VNB]JJ^71U'YRBNQFN^AFHI)TWZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$Y^K]_BNH[WC@:l1^<"GPVHM,C@HX[PDHSD@T17_-W\HDW@DTOHBC/TQFVZKRP;o0Y=!F_WKL+BCIWZSEORGA[04^*V_IKVCESNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQFNZ35Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX53[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS8<V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR;=Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~896[?/H]UMJ)@MGUXUCMPIOY22X(TQGITECQLEMN,evikVg~tR|Potv1`>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$oaalkdf1`>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$jlbcioe05>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$jlbcioe\kpr5l2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T17_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ35Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY22X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ::P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\9?W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_40Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\9?W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^71U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]6>T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY22X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU>6\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP=;S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS8<V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP=;S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-BW\HDW[UFYU<i;T2,MZPNG&MNBR]VNB]JJ^70U'YRBNQFN^AFHI)T@@L_S_KH319V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'ZSEORHPMTZ04>S7'@U]EB!HEO]P]KEXAGQ:;P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR;<Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU>7\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS8=V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR;<Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ:;P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW<9R.R[MGZOIWJOG@"[PRHQJJB563\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY23X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP=:S!SXL@[LHXKLFG#l}vnb]nq}YuWf>i5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS8=V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ:;P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP=:S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX52[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[05^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV?8]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[05^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU>7\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T16_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP=:S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\9>W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_41Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ34Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_41Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU>8\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX5=[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"]PAR[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY2<X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\91W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"[\ES]@HNYUMN8n7X> I^TJK*ABFVYRBNQFNZ3;Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY2<X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX5=[)[PDHSD@PCDNO+PYAIEFBBJ<j;T2,MZPNG&MNBR]VNB]JJ^7?U'YRBNQFN^AFHI)RW[CXECI<1:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ(]VY_MYMBD^l1a>S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP=5S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>;4U1-J[SOH'NOES^WAC^KM_4>Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"mcobif`7b<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"hnlmkmc67<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"hnlmkmcZir|;n0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.dg5Zir|;n0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.dg6Zir|;n0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.dg7Zir|;n0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.dg0Zir|;n0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.dg1Zir|;o0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.ov|4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ3;Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX5=[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_4>Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW<6R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR;3Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]60T$XUCMPIO]@AIJ({pdhSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR;3Q#]VNB]JJZEBDE%xucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\91W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS82V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_4>Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[0:^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV?7]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ:4P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV?7]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\90W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW<7R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[0;^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ:5P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP=4S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW<7R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU>9\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_4?Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV?6]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<k;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)ddfi`ii<k;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)aiefbbj=>;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%mh<Q`uu0g?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%mh?Q`uu0g?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%mh>Q`uu0g?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ:5P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW<7R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV?6]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^7>U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY2=X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T18_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY2=X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[0;^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ3:Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV?6]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR;2Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]61T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX5<[)[PDHSD@PCDNO+vikVxTcxz=f:W3+LYQAF%LICQ\YOA\MK]61T$XUCMPIO]@AIJ(}zoySd`Pn228Q5)NW_CD#JKA_R[MGZOIS8W%_T@L_HL\G@JK'HYRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$M^WAC^P\IP^5m2_;#DQYIN-DAKYTQGITECU>]/QZJFYNFVIN@A!\HHDW[WC@:o1^<"GPVHM,C@HX[PDHSD@T1\,P]KEXAGUHIAB SXL@[CYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.QZJFYUWD_S??5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,WZGTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS8W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB563\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"]PSXL@[CYUMN9:7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.Q\W\HDW[UYIJ=>;T2,MZPNG&MNBR]VNB]JJ^7Z&ZSEORGA_BGOH*STM[UH@FQ]EF0g?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&_XI_QBUY0g?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&_XI_Q]EF0f?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&_TJLBCIOE1`>S7'@U]EB!HEO]P]KEXAGQ:Q#]VNB]JJZEBDE%^S_G\IOE04>S7'@U]EB!HEO]P]KEXAGQ:Q#]VNB]JJZEBDE%^S^ZNTBOG[k4c3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"[PSXL@[C4c3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"[PSXL@[W533\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_4[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6f=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$oaalkdf1g>S7'@U]EB!HEO]P]KEXAGQ:Q#]VNB]JJZEBDE%mmabfnf13?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&lj`agag^mvp7e<]9%BS[G@/FGM[V_IKVCEW<S!SXL@[LHXKLFG#kj>_nww6f=R8&CTZDA GDL\W\HDW@DP=P \YOA\MKYDMEF$ji<Potv1g>S7'@U]EB!HEO]P]KEXAGQ:Q#]VNB]JJZEBDE%mh>Q`uu0`?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&lo8Razt3a8Q5)NW_CD#JKA_R[MGZOIS8W%_T@L_HL\G@JK'on>Sb{{2e9V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ(e|r:Sb{{2c9V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ(z`ybbj<i;T2,MZPNG&MNBR]VNB]JJ^7Z&ZSEORGA_BGOH*tn{`dlSb{{3e9V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[399V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:846[?/H]UMJ)@MGUXUCMPIOY2Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45>3\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1:?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3<i;T2,MZPNG&MNBR]VNB]JJ^7Z&ZSEORGA_BGOH*u~fjUmSb{{329V4*OX^@E$KH@PSXL@[LH\9T$XUCMPIO]@AIJ({pdhS`{w_g]lqq543\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"}vnb]nq}YuWf?i5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?55Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6402_;#DQYIN-DAKYTQGITECU>]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01:?P6(AV\BC"IJN^QZJFYNFR;V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=6;T2,MZPNG&MNBR]VNB]JJ^7Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;978m7X> I^TJK*ABFVYRBNQFNZ3^*V_IKVCESNKCL.qzjfYuWf>h5Z0.K\RLI(OLDT_T@L_HLX5X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS;:V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW?>R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ03Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$_RO\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[32^*V_IKVCESNKCL.Q\W\HDWOUYIJ==;T2,MZPNG&MNBR]VNB]JJ^47U'YRBNQFN^AFHI)TWZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$Y^K]_BNH[WC@:l1^<"GPVHM,C@HX[PDHSD@T21_-W\HDW@DTOHBC/TQFVZKRP;o0Y=!F_WKL+BCIWZSEORGA[32^*V_IKVCESNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQFNZ03Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX65[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS;:V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR8;Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~896[?/H]UMJ)@MGUXUCMPIOY14X(TQGITECQLEMN,evikVg~tR|Potv1`>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$oaalkdf1`>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$jlbcioe05>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$jlbcioe\kpr5l2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T21_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ03Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY14X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ9<P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\:9W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_76Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\:9W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^47U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]58T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY14X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU=0\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP>=S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS;:V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP>=S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-BW\HDW[UFYU<i;T2,MZPNG&MNBR]VNB]JJ^46U'YRBNQFN^AFHI)T@@L_S_KH319V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'ZSEORHPMTZ04>S7'@U]EB!HEO]P]KEXAGQ9=P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU=1\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS;;V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR8:Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ9=P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW??R.R[MGZOIWJOG@"[PRHQJJB563\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY15X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP><S!SXL@[LHXKLFG#l}vnb]nq}YuWf>i5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS;;V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ9=P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP><S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX64[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[33^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[33^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU=1\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T20_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP><S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\:8W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_77Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ02Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_77Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU=2\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX67[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"]PAR[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\:;W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"[\ES]@HNYUMN8n7X> I^TJK*ABFVYRBNQFNZ01Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY16X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX67[)[PDHSD@PCDNO+PYAIEFBBJ<j;T2,MZPNG&MNBR]VNB]JJ^45U'YRBNQFN^AFHI)RW[CXECI<1:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ(]VY_MYMBD^l1a>S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP>?S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>;4U1-J[SOH'NOES^WAC^KM_74Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"mcobif`7b<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"hnlmkmc67<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"hnlmkmcZir|;n0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.dg5Zir|;n0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.dg6Zir|;n0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.dg7Zir|;n0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.dg0Zir|;n0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.dg1Zir|;o0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.ov|4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ01Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX67[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_74Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW?<R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]5:T$XUCMPIO]@AIJ({pdhSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR89Q#]VNB]JJZEBDE%xucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\:;W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS;8V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_74Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[30^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV<=]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ9>P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV<=]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX66[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\::W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[31^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ9?P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP>>S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU=3\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX66[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_75Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV<<]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<k;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)ddfi`ii<k;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)aiefbbj=>;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%mh<Q`uu0g?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%mh?Q`uu0g?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%mh>Q`uu0g?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ9?P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW?=R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV<<]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^44U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY17X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T22_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY17X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[31^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ00Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV<<]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR88Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]5;T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX66[)[PDHSD@PCDNO+vikVxTcxz=f:W3+LYQAF%LICQ\YOA\MK]5;T$XUCMPIO]@AIJ(}zoySd`Pn238Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[36^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^43U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR8?Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX61[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_72Z&ZSEORGA_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^43U'YRBNQFN^AFHI)RWOKG@D@H2d9V4*OX^@E$KH@PSXL@[LH\:=W%_T@L_HL\G@JK'\UYE^GAG238Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV<;]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]5<T$XUCMPIO]@AIJ(izseoRczx^p\kpr5l2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX61[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^43U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]5<T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU=4\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP>9S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS;>V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP>9S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR8?Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ98P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]5<T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY10X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T25_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW?:R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T25_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR8>Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU=5\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!\_R[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY11X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'\YN^RMCK^PFC7c<]9%BS[G@/FGM[V_IKVCEW?;R.R[MGZOIWJOG@"[\ES]NQ]4b3\:$ERXFO.EFJZU^FJUBBV<:]/QZJFYNFVIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITECU=5\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[37^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-V[VRF\JGOSc<j;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)RWZSEORH=e:W3+LYQAF%LICQ\YOA\MK]5=T$XUCMPIO]@AIJ(]VYRBNQ]349V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'hyrbnQbuy]e[jss;<1^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2e9V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'jfdofkk2e9V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'okg`d`h309V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'okg`d`h_nww6a=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#kj>_nww6a=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW?;R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU=5\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\:<W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_73Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ06Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_73Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY11X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX60[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP>8S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS;?V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^42U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS;?V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU=6\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY12X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\:?W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP>;S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.WPAWYDDBUYIJ<j;T2,MZPNG&MNBR]VNB]JJ^41U'YRBNQFN^AFHI)R[LXTAXV=e:W3+LYQAF%LICQ\YOA\MK]5>T$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\:?W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR8=Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU=6\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T27_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS;<V"^WAC^KM[FCKD&kxucmPmtz\vZir|;n0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.aokfmbl;n0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.dbhioio:;0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.dbhioioVe~x?j4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*`c9Ve~x?j4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*`c:Ve~x?j4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*`c;Ve~x?j4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*`c<Ve~x?j4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*`c=Ve~x?k4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*krp8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^41U'YRBNQFN^AFHI)uazcek>>4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\:?W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS;<V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[34^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV<9]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ9:P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV<9]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP>;S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW?8R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS;<V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_70Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ05Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU=6\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ05Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\:>W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP>:S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_71Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU=7\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T26_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY13X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\:>W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS;=V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ04Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU=7\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ04Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR8<Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]5?T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX62[)[PDHSD@PCDNO+vikVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]5?T$XUCMPIO]@AIJ({pdhS`{w_g]lqq533\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_71Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^40U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ04Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV<8]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ9;P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\:>W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ9;P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[3:^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_7>Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR83Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,WZGTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS;2V"^WAC^KM[FCKD&YT_T@L_G]QAB553\:$ERXFO.EFJZU^FJUBBV<7]/QZJFYNFVIN@A!\_R[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\:1W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS;2V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR83Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP>5S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"[PSUCWGHBXf;o0Y=!F_WKL+BCIWZSEORGA[3:^*V_IKVCESNKCL.W\W\HDWO8n7X> I^TJK*ABFVYRBNQFNZ0;Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ94P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\:1W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR83Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ94P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY1<X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T29_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW?6R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T29_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV<7]/QZJFYNFVIN@A!|yoa\vZccol%BSA<G.T]GKPR412_;#DQYIN-DAKYTQGITECU=8\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ94P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]50T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX6=[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[3:^*V_IKVCESNKCL.qzjfYuWf>k5Z0.K\RLI(OLDT_T@L_HLX6=[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV<6]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY1=X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]51T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[3;^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ0:Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY1=X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_7?Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ95P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX6<[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[3;^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY1=X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX6<[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP>4S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS;3V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^4>U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS;3V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/r{mgZkrpVxTcxz<e:W3+LYQAF%LICQ\YOA\MK]51T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[389V4*OX^@E$KH@PSXL@[LH\:0W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX6<[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T28_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW?7R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR82Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW?7R.R[MGZOIWJOG@"{|es]jjZh482_;#DQYIN-DAKYTQGITECU=]/QZJFYNFVIN@A!NSXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.CP]KEXZVG^T?k4U1-J[SOH'NOES^WAC^KM_7[)[PDHSD@PCDNO+VNNN]UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^4Z&ZSEORGA_BGOH*U^FJUMS@[W2g9V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ([PDHS_QBUY11?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&YTM^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU=]/QZJFYNFVIN@A!\_@QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,WZU^FJUMS_KH309V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ([VYRBNQ]_SGD74=R8&CTZDA GDL\W\HDW@DP>P \YOA\MKYDMEF$Y^K]_BNH[WC@:m1^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB URGQ[HS_:m1^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB URGQ[WC@:l1^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB U^DBHIOIO;n0Y=!F_WKL+BCIWZSEORGA[3_-W\HDW@DTOHBC/T]QMVOIO::0Y=!F_WKL+BCIWZSEORGA[3_-W\HDW@DTOHBC/T]PPDRDEMUe>i5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,QZU^FJUM>i5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,QZU^FJUY?95Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,evikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ9Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8h7X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.aokfmbl;i0Y=!F_WKL+BCIWZSEORGA[3_-W\HDW@DTOHBC/gcohlh`;91^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB f`nomkaXg|~9o6[?/H]UMJ)@MGUXUCMPIOY1Y+U^FJUBBRMJLM-e`4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ0^*V_IKVCESNKCL.dg6Zir|;i0Y=!F_WKL+BCIWZSEORGA[3_-W\HDW@DTOHBC/gf0[jss:j1^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB fe6\kpr5k2_;#DQYIN-DAKYTQGITECU=]/QZJFYNFVIN@A!id4]lqq4c3\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"czx0]lqq4e3\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"|fshld6c=R8&CTZDA GDL\W\HDW@DP>P \YOA\MKYDMEF$~d}fnf]lqq5c3\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS;W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP>P \YOA\MKYDMEF$t`l_g]lqq543\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"}vnb]nq}YaWf?>5Z0.K\RLI(OLDT_T@L_HLX6X(TQGITECQLEMN,w|hdWdsSQ`uu1g?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1;?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>64U1-J[SOH'NOES^WAC^KM_7[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP>P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\:T$XUCMPIO]@AIJ({pdhSQ`uu0f?P6(AV\BC"IJN^QZJFYNFR8V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ8<P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU<0\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY04X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\;9W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ8<P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]48T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP?=S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.WPAWYDDBUYIJ<j;T2,MZPNG&MNBR]VNB]JJ^57U'YRBNQFN^AFHI)R[LXTAXV=e:W3+LYQAF%LICQ\YOA\MK]48T$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\;9W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR9;Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ8<P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU<0\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T31_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS::V"^WAC^KM[FCKD&kxucmPmtz\vZir|;n0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.aokfmbl;n0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.dbhioio:;0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.dbhioioVe~x?j4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*`c9Ve~x?j4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*`c:Ve~x?j4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*`c;Ve~x?j4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*`c<Ve~x?j4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*`c=Ve~x?k4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*krp8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^57U'YRBNQFN^AFHI)uazcek>>4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\;9W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS::V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[22^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV=?]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ8<P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV=?]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP?=S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW>>R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS::V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_66Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ13Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU<0\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ13Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\;8W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP?<S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[23^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_67Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU<1\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T30_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[23^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY05X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\;8W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS:;V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ12Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU<1\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[23^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ12Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR9:Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]49T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX74[)[PDHSD@PCDNO+vikVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]49T$XUCMPIO]@AIJ({pdhS`{w_g]lqq533\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_67Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^56U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ12Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV=>]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ8=P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\;8W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ8=P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW><R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[20^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_64Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR99Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW><R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,WZGTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS:8V"^WAC^KM[FCKD&YT_T@L_G]QAB553\:$ERXFO.EFJZU^FJUBBV==]/QZJFYNFVIN@A!\_R[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\;;W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS:8V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR99Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP??S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW><R.R[MGZOIWJOG@"[PSUCWGHBXf;o0Y=!F_WKL+BCIWZSEORGA[20^*V_IKVCESNKCL.W\W\HDWO8n7X> I^TJK*ABFVYRBNQFNZ11Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ8>P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\;;W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR99Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ8>P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY06X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T33_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW><R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T33_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV==]/QZJFYNFVIN@A!|yoa\vZccol%BSA<G.T]GKPR412_;#DQYIN-DAKYTQGITECU<2\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ8>P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]4:T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX77[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[20^*V_IKVCESNKCL.qzjfYuWf>k5Z0.K\RLI(OLDT_T@L_HLX77[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^54U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR98Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV=<]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY07X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^54U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ10Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]4;T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[21^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ10Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY07X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_65Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^54U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR98Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ8?P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX76[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[21^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY07X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX76[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP?>S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS:9V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^54U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS:9V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/r{mgZkrpVxTcxz<e:W3+LYQAF%LICQ\YOA\MK]4;T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[389V4*OX^@E$KH@PSXL@[LH\;:W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX76[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T32_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW>=R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR98Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW>=R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU<4\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY00X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]4<T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP?9S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU<4\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ88P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T35_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR9?Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ88P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP?9S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV=;]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU<4\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY00X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX71[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW>:R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR9?Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP?9S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW>:R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_62Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ17Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU<4\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ17Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T35_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[26^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW>:R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS:>V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^53U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY00X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^53U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\;<W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP?8S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T34_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_63Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\;<W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX70[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS:?V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY01X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX70[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_63Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]4=T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\;<W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP?8S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW>;R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^52U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY01X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_63Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^52U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV=:]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ89P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\;<W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ89P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS:?V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR9>Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^52U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ16Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU<5\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP?8S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU<5\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[24^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_60Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS:<V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV=9]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[24^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW>8R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ15Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP?;S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW>8R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV=9]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T37_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[24^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_60Z&ZSEORGA_BGOH*SX[PDHSK<j;T2,MZPNG&MNBR]VNB]JJ^51U'YRBNQFN^AFHI)RWZSEOR\<5:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU<6\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$ji<Potv1`>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP?;S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV=9]/QZJFYNFVIN@A!|yoa\bZccol%BSE<C.T]GKPR412_;#DQYIN-DAKYTQGITECU<6\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]4>T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX73[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[24^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX73[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ15Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY02X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU<6\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ8:P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\;?W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_60Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\;?W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR9<Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV=8]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ14Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]4?T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR9<Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^50U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ8;P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_61Z&ZSEORGA_BGOH*STM[UFYU<j;T2,MZPNG&MNBR]VNB]JJ^50U'YRBNQFN^AFHI)R[LXT^HI=f:W3+LYQAF%LICQ\YOA\MK]4?T$XUCMPIO]@AIJ(]VLJ@AGAG3g8Q5)NW_CD#JKA_R[MGZOIS:=V"^WAC^KM[FCKD&_T^D]FNF12?P6(AV\BC"IJN^QZJFYNFR9<Q#]VNB]JJZEBDE%^S^ZNTBOG[k4b3\:$ERXFO.EFJZU^FJUBBV=8]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU<7\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\;>W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_61Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+wotagmTcxz<e:W3+LYQAF%LICQ\YOA\MK]4?T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[389V4*OX^@E$KH@PSXL@[LH\;>W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T36_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW>9R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR9<Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW>9R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ8;P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP?:S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\;>W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX72[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[25^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV=8]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[25^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY0<X(TQGITECQLEMN,EV_IKVLTAXV<1:W3+LYQAF%LICQ\YOA\MK]40T$XUCMPIO]@AIJ(IZSEOR\PMTZ1b>S7'@U]EB!HEO]P]KEXAGQ84P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T39_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY0<X(TQGITECQLEMN,WZGTQGITJR\JG218Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&YTM^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU<8\,P]KEXAGUHIAB S^QZJFYAW[OL??5Z0.K\RLI(OLDT_T@L_HLX7=[)[PDHSD@PCDNO+VYTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&_XI_QLLJ]QAB4b3\:$ERXFO.EFJZU^FJUBBV=7]/QZJFYNFVIN@A!ZSDP\IP^5m2_;#DQYIN-DAKYTQGITECU<8\,P]KEXAGUHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSD@T39_-W\HDW@DTOHBC/T]EEIJNFN8n7X> I^TJK*ABFVYRBNQFNZ1;Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY0<X(TQGITECQLEMN,QZUSI]IFHR`=e:W3+LYQAF%LICQ\YOA\MK]40T$XUCMPIO]@AIJ(]VYRBNQI2d9V4*OX^@E$KH@PSXL@[LH\;1W%_T@L_HL\G@JK'\UXUCMPR278Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&kxucmPmtz\bZir|:?0Y=!F_WKL+BCIWZSEORGA[2:^*V_IKVCESNKCL.cp}keXe|rT~Razt3f8Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&igcnejd3f8Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&lj`agag238Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&lj`agag^mvp7b<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"hk1^mvp7b<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"hk2^mvp7b<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"hk3^mvp7b<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"hk4^mvp7b<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"hk5^mvp7c<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"czx0]lqq4d3\:$ERXFO.EFJZU^FJUBBV=7]/QZJFYNFVIN@A!}irkmc66<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"|fshld[jss;l1^<"GPVHM,C@HX[PDHSD@T39_-W\HDW@DTOHBC/r{mgZ`Xmmmn#DQG2M,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[2:^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS:2V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^5?U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY0<X(TQGITECQLEMN,w|hdWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^5?U'YRBNQFN^AFHI)tqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"}vnb]nq}YuWf?h5Z0.K\RLI(OLDT_T@L_HLX7=[)[PDHSD@PCDNO+vikVxTiiij/H]O6M(RWME^X>74U1-J[SOH'NOES^WAC^KM_6>Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[2:^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW>6R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR93Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]40T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR93Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP?4S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T38_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX7<[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS:3V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP?4S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\;0W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW>7R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]41T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\;0W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS:3V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ85P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP?4S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T38_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[2;^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR92Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-eeijnfnUdyy<k;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)al8Udyy<k;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)al;Udyy<k;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)al:Udyy<k;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)al=Udyy<k;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)al<Udyy<j;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]41T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS:3V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR92Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ1:Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU<9\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP?4S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU<9\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW>7R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV=6]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR92Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^5>U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY0=X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T38_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY0=X(TQGITECQLEMN,qvcuW`dTb>>4U1-J[SOH'NOES^WAC^KM_6[)[PDHSD@PCDNO+DU^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(IZSEOR\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ8Q#]VNB]JJZEBDE%XDDH[_SGD6c=R8&CTZDA GDL\W\HDW@DP?P \YOA\MKYDMEF$_T@L_G]NQ]4a3\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"]VNB]Q[HS_;;1^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB S^CP]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_6[)[PDHSD@PCDNO+VYF[PDHS_Q]EF12?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&YT_T@L_G]QAB563\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"]PSXL@[WYUMN9:7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.WPAWYDDBUYIJ<k;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*STM[UFYU<k;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*STM[UYIJ<j;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*SXNHFGECI=d:W3+LYQAF%LICQ\YOA\MK]4U'YRBNQFN^AFHI)RW[CXECI<0:W3+LYQAF%LICQ\YOA\MK]4U'YRBNQFN^AFHI)RWZ^JXNCK_o0g?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&_T_T@L_G0g?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&_T_T@L_S17?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&kxucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[2_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2b9V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(keehghj=c:W3+LYQAF%LICQ\YOA\MK]4U'YRBNQFN^AFHI)aiefbbj=?;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*`fdecekRazt3a8Q5)NW_CD#JKA_R[MGZOIS:W%_T@L_HL\G@JK'on:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\;T$XUCMPIO]@AIJ(nm8Tcxz=c:W3+LYQAF%LICQ\YOA\MK]4U'YRBNQFN^AFHI)al:Udyy<l;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*`c<Ve~x?m4U1-J[SOH'NOES^WAC^KM_6[)[PDHSD@PCDNO+cb2Wf>i5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,ip~6Wf>o5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,vlunfn8m7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.pjwlh`Wf?i5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?55Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6402_;#DQYIN-DAKYTQGITECU<]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01:?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=6;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;978m7X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.qzjfYaWf?>5Z0.K\RLI(OLDT_T@L_HLX7X(TQGITECQLEMN,w|hdWdsSkQ`uu10?P6(AV\BC"IJN^QZJFYNFR9V"^WAC^KM[FCKD&yrbnQbuy]q[jss;m1^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;11^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20<>S7'@U]EB!HEO]P]KEXAGQ8Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=6;T2,MZPNG&MNBR]VNB]JJ^5Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;87927X> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;4a3\:$ERXFO.EFJZU^FJUBBV=R.R[MGZOIWJOG@"}vnb]q[jss:l1^<"GPVHM,C@HX[PDHSD@T3\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[52^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_16Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS=:V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV:?]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[52^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW9>R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ63Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP8=S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW9>R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV:?]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T41_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[52^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_16Z&ZSEORGA_BGOH*SX[PDHSK<j;T2,MZPNG&MNBR]VNB]JJ^27U'YRBNQFN^AFHI)RWZSEOR\<5:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU;0\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$ji<Potv1`>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP8=S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV:?]/QZJFYNFVIN@A!|yoa\bZccol%BSE<C.T]GKPR412_;#DQYIN-DAKYTQGITECU;0\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]38T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX05[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[52^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX05[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ63Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY74X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU;0\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ?<P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\<9W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_16Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\<9W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR>:Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV:>]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ62Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]39T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR>:Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^26U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ?=P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_17Z&ZSEORGA_BGOH*STM[UFYU<j;T2,MZPNG&MNBR]VNB]JJ^26U'YRBNQFN^AFHI)R[LXT^HI=f:W3+LYQAF%LICQ\YOA\MK]39T$XUCMPIO]@AIJ(]VLJ@AGAG3g8Q5)NW_CD#JKA_R[MGZOIS=;V"^WAC^KM[FCKD&_T^D]FNF12?P6(AV\BC"IJN^QZJFYNFR>:Q#]VNB]JJZEBDE%^S^ZNTBOG[k4b3\:$ERXFO.EFJZU^FJUBBV:>]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU;1\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\<8W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_17Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+wotagmTcxz<e:W3+LYQAF%LICQ\YOA\MK]39T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[389V4*OX^@E$KH@PSXL@[LH\<8W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T40_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW9?R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR>:Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW9?R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ?=P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP8<S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\<8W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX04[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[53^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV:>]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[53^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY76X(TQGITECQLEMN,EV_IKVLTAXV<1:W3+LYQAF%LICQ\YOA\MK]3:T$XUCMPIO]@AIJ(IZSEOR\PMTZ1b>S7'@U]EB!HEO]P]KEXAGQ?>P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T43_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY76X(TQGITECQLEMN,WZGTQGITJR\JG218Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&YTM^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU;2\,P]KEXAGUHIAB S^QZJFYAW[OL??5Z0.K\RLI(OLDT_T@L_HLX07[)[PDHSD@PCDNO+VYTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&_XI_QLLJ]QAB4b3\:$ERXFO.EFJZU^FJUBBV:=]/QZJFYNFVIN@A!ZSDP\IP^5m2_;#DQYIN-DAKYTQGITECU;2\,P]KEXAGUHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSD@T43_-W\HDW@DTOHBC/T]EEIJNFN8n7X> I^TJK*ABFVYRBNQFNZ61Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY76X(TQGITECQLEMN,QZUSI]IFHR`=e:W3+LYQAF%LICQ\YOA\MK]3:T$XUCMPIO]@AIJ(]VYRBNQI2d9V4*OX^@E$KH@PSXL@[LH\<;W%_T@L_HL\G@JK'\UXUCMPR278Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&kxucmPmtz\bZir|:?0Y=!F_WKL+BCIWZSEORGA[50^*V_IKVCESNKCL.cp}keXe|rT~Razt3f8Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&igcnejd3f8Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&lj`agag238Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&lj`agag^mvp7b<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"hk1^mvp7b<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"hk2^mvp7b<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"hk3^mvp7b<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"hk4^mvp7b<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"hk5^mvp7c<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"czx0]lqq4d3\:$ERXFO.EFJZU^FJUBBV:=]/QZJFYNFVIN@A!}irkmc66<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"|fshld[jss;l1^<"GPVHM,C@HX[PDHSD@T43_-W\HDW@DTOHBC/r{mgZ`Xmmmn#DQG2M,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[50^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS=8V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^25U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY76X(TQGITECQLEMN,w|hdWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^25U'YRBNQFN^AFHI)tqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"}vnb]nq}YuWf?h5Z0.K\RLI(OLDT_T@L_HLX07[)[PDHSD@PCDNO+vikVxTiiij/H]O6M(RWME^X>74U1-J[SOH'NOES^WAC^KM_14Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[50^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW9<R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR>9Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]3:T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR>9Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP8>S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T42_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX06[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS=9V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP8>S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\<:W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW9=R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]3;T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\<:W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS=9V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ??P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP8>S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T42_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[51^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR>8Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-eeijnfnUdyy<k;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)al8Udyy<k;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)al;Udyy<k;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)al:Udyy<k;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)al=Udyy<k;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)al<Udyy<j;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]3;T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS=9V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR>8Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ60Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU;3\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP8>S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU;3\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW9=R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV:<]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR>8Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^24U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY77X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T42_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY77X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_12Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS=>V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW9:R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ67Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_12Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$_RO\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[56^*V_IKVCESNKCL.Q\W\HDWOUYIJ==;T2,MZPNG&MNBR]VNB]JJ^23U'YRBNQFN^AFHI)TWZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$Y^K]_BNH[WC@:l1^<"GPVHM,C@HX[PDHSD@T45_-W\HDW@DTOHBC/TQFVZKRP;o0Y=!F_WKL+BCIWZSEORGA[56^*V_IKVCESNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQFNZ67Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX01[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_12Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS=>V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR>?Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~896[?/H]UMJ)@MGUXUCMPIOY70X(TQGITECQLEMN,evikVg~tR|Potv1`>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$oaalkdf1`>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$jlbcioe05>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$jlbcioe\kpr5l2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T45_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ67Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY70X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ?8P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\<=W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_12Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\<=W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^23U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]3<T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY70X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU;4\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP89S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS=>V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP89S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV::]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ66Y+U^FJUBBRMJLM-BW\HDW[UFYU<i;T2,MZPNG&MNBR]VNB]JJ^22U'YRBNQFN^AFHI)T@@L_S_KH319V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'ZSEORHPMTZ04>S7'@U]EB!HEO]P]KEXAGQ?9P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV::]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR>>Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU;5\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS=?V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR>>Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ?9P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW9;R.R[MGZOIWJOG@"[PRHQJJB563\:$ERXFO.EFJZU^FJUBBV::]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ66Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY71X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP88S!SXL@[LHXKLFG#l}vnb]nq}YuWf>i5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS=?V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ?9P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP88S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX00[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[57^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV::]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[57^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU;5\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T44_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP88S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\<<W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_13Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ66Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_13Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]3>T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ?:P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU;6\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX03[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]3>T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"]PAR[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY72X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\<?W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"[\ES]@HNYUMN8n7X> I^TJK*ABFVYRBNQFNZ65Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY72X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX03[)[PDHSD@PCDNO+PYAIEFBBJ<j;T2,MZPNG&MNBR]VNB]JJ^21U'YRBNQFN^AFHI)RW[CXECI<1:W3+LYQAF%LICQ\YOA\MK]3>T$XUCMPIO]@AIJ(]VY_MYMBD^l1a>S7'@U]EB!HEO]P]KEXAGQ?:P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP8;S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>;4U1-J[SOH'NOES^WAC^KM_10Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"mcobif`7b<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"hnlmkmc67<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"hnlmkmcZir|;n0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.dg5Zir|;n0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.dg6Zir|;n0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.dg7Zir|;n0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.dg0Zir|;n0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.dg1Zir|;o0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.ov|4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ65Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX03[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_10Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW98R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR>=Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]3>T$XUCMPIO]@AIJ({pdhSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR>=Q#]VNB]JJZEBDE%xucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\<?W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS=<V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_10Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[54^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV:9]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ?:P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV:9]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T46_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX02[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\<>W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW99R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T46_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP8:S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[55^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ?;P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP8:S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW99R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU;7\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T46_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX02[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_11Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV:8]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<k;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)ddfi`ii<k;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)aiefbbj=>;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%mh<Q`uu0g?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%mh?Q`uu0g?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%mh>Q`uu0g?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ?;P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW99R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV:8]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^20U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY73X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T46_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY73X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[55^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ64Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV:8]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR><Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]3?T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX02[)[PDHSD@PCDNO+vikVxTcxz=f:W3+LYQAF%LICQ\YOA\MK]3?T$XUCMPIO]@AIJ(}zoySd`Pn238Q5)NW_CD#JKA_R[MGZOIS=2V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW96R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[5:^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^2?U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS=2V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_1>Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR>3Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX0=[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_1>Z&ZSEORGA_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^2?U'YRBNQFN^AFHI)RWOKG@D@H2d9V4*OX^@E$KH@PSXL@[LH\<1W%_T@L_HL\G@JK'\UYE^GAG238Q5)NW_CD#JKA_R[MGZOIS=2V"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW96R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV:7]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]30T$XUCMPIO]@AIJ(izseoRczx^p\kpr5l2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX0=[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^2?U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]30T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU;8\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP85S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS=2V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP85S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR>3Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ?4P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]30T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY7<X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T49_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW96R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T49_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ6:Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^2>U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR>2Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU;9\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ6:Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV:6]/QZJFYNFVIN@A!\_R[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY7=X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'\YN^RMCK^PFC7c<]9%BS[G@/FGM[V_IKVCEW97R.R[MGZOIWJOG@"[\ES]NQ]4b3\:$ERXFO.EFJZU^FJUBBV:6]/QZJFYNFVIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITECU;9\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[5;^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ6:Y+U^FJUBBRMJLM-V[VRF\JGOSc<j;T2,MZPNG&MNBR]VNB]JJ^2>U'YRBNQFN^AFHI)RWZSEORH=e:W3+LYQAF%LICQ\YOA\MK]31T$XUCMPIO]@AIJ(]VYRBNQ]349V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'hyrbnQbuy]e[jss;<1^<"GPVHM,C@HX[PDHSD@T48_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2e9V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'jfdofkk2e9V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'okg`d`h309V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'okg`d`h_nww6a=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#kj>_nww6a=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW97R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU;9\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T48_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_1?Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ6:Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_1?Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY7=X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX0<[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T48_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP84S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS=3V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^2>U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS=3V"^WAC^KM[FCKD&xiQfn^l04>S7'@U]EB!HEO]P]KEXAGQ?Q#]VNB]JJZEBDE%J_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"O\YOA\VZKRP;o0Y=!F_WKL+BCIWZSEORGA[5_-W\HDW@DTOHBC/RJJBQYUMN8m7X> I^TJK*ABFVYRBNQFNZ6^*V_IKVCESNKCL.QZJFYAWD_S>k5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,W\HDW[UFYU==;T2,MZPNG&MNBR]VNB]JJ^2Z&ZSEORGA_BGOH*UXIZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ?Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB S^QZJFYAW[OL?<5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,WZU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\<T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6a=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$Y^K]_LW[6a=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$Y^K]_SGD6`=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$YRHNLMKMC7b<]9%BS[G@/FGM[V_IKVCEW9S!SXL@[LHXKLFG#XQ]IRKMC66<]9%BS[G@/FGM[V_IKVCEW9S!SXL@[LHXKLFG#XQ\T@V@IAYi:m1^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB U^QZJFYA:m1^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB U^QZJFYU;=1^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]3U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4d3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"mcobif`7e<]9%BS[G@/FGM[V_IKVCEW9S!SXL@[LHXKLFG#koclhld75=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$jlbcioe\kpr5k2_;#DQYIN-DAKYTQGITECU;]/QZJFYNFVIN@A!id0]lqq4d3\:$ERXFO.EFJZU^FJUBBV:R.R[MGZOIWJOG@"hk2^mvp7e<]9%BS[G@/FGM[V_IKVCEW9S!SXL@[LHXKLFG#kj<_nww6f=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$ji:Potv1g>S7'@U]EB!HEO]P]KEXAGQ?Q#]VNB]JJZEBDE%mh8Q`uu0g?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&g~t<Q`uu0a?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&xbd`h2g9V4*OX^@E$KH@PSXL@[LH\<T$XUCMPIO]@AIJ(z`ybbjQ`uu1g?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1;?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>64U1-J[SOH'NOES^WAC^KM_1[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;01^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7<=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?12g9V4*OX^@E$KH@PSXL@[LH\<T$XUCMPIO]@AIJ({pdhSkQ`uu10?P6(AV\BC"IJN^QZJFYNFR>V"^WAC^KM[FCKD&yrbnQbuy]e[jss;:1^<"GPVHM,C@HX[PDHSD@T4\,P]KEXAGUHIAB sxl`[hsW{Udyy=k;T2,MZPNG&MNBR]VNB]JJ^2Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=7;T2,MZPNG&MNBR]VNB]JJ^2Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:20Y=!F_WKL+BCIWZSEORGA[5_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27<=R8&CTZDA GDL\W\HDW@DP8P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>1389V4*OX^@E$KH@PSXL@[LH\<T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5>k5Z0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,w|hdW{Udyy<j;T2,MZPNG&MNBR]VNB]JJ^2Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]28T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ><P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU:0\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX15[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]28T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"]PAR[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY64X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\=9W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"[\ES]@HNYUMN8n7X> I^TJK*ABFVYRBNQFNZ73Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY64X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX15[)[PDHSD@PCDNO+PYAIEFBBJ<j;T2,MZPNG&MNBR]VNB]JJ^37U'YRBNQFN^AFHI)RW[CXECI<1:W3+LYQAF%LICQ\YOA\MK]28T$XUCMPIO]@AIJ(]VY_MYMBD^l1a>S7'@U]EB!HEO]P]KEXAGQ><P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP9=S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>;4U1-J[SOH'NOES^WAC^KM_06Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"mcobif`7b<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"hnlmkmc67<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"hnlmkmcZir|;n0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.dg5Zir|;n0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.dg6Zir|;n0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.dg7Zir|;n0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.dg0Zir|;n0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.dg1Zir|;o0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.ov|4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ73Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX15[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_06Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW8>R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR?;Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]28T$XUCMPIO]@AIJ({pdhSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR?;Q#]VNB]JJZEBDE%xucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\=9W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS<:V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_06Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[42^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV;?]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ><P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV;?]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T50_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX14[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\=8W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW8?R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T50_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP9<S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[43^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ>=P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP9<S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW8?R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU:1\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T50_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX14[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_07Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV;>]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<k;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)ddfi`ii<k;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)aiefbbj=>;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%mh<Q`uu0g?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%mh?Q`uu0g?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%mh>Q`uu0g?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ>=P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW8?R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV;>]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^36U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY65X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T50_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY65X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[43^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ72Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV;>]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR?:Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]29T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX14[)[PDHSD@PCDNO+vikVxTcxz=f:W3+LYQAF%LICQ\YOA\MK]29T$XUCMPIO]@AIJ(}zoySd`Pn238Q5)NW_CD#JKA_R[MGZOIS<8V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW8<R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[40^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^35U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS<8V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_04Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR?9Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX17[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_04Z&ZSEORGA_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^35U'YRBNQFN^AFHI)RWOKG@D@H2d9V4*OX^@E$KH@PSXL@[LH\=;W%_T@L_HL\G@JK'\UYE^GAG238Q5)NW_CD#JKA_R[MGZOIS<8V"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW8<R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV;=]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]2:T$XUCMPIO]@AIJ(izseoRczx^p\kpr5l2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX17[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^35U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]2:T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU:2\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP9?S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS<8V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP9?S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR?9Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ>>P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]2:T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY66X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T53_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW8<R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T53_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ70Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^34U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR?8Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU:3\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ70Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV;<]/QZJFYNFVIN@A!\_R[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY67X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'\YN^RMCK^PFC7c<]9%BS[G@/FGM[V_IKVCEW8=R.R[MGZOIWJOG@"[\ES]NQ]4b3\:$ERXFO.EFJZU^FJUBBV;<]/QZJFYNFVIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITECU:3\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[41^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ70Y+U^FJUBBRMJLM-V[VRF\JGOSc<j;T2,MZPNG&MNBR]VNB]JJ^34U'YRBNQFN^AFHI)RWZSEORH=e:W3+LYQAF%LICQ\YOA\MK]2;T$XUCMPIO]@AIJ(]VYRBNQ]349V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'hyrbnQbuy]e[jss;<1^<"GPVHM,C@HX[PDHSD@T52_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2e9V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'jfdofkk2e9V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'okg`d`h309V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'okg`d`h_nww6a=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#kj>_nww6a=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW8=R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU:3\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T52_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\=:W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_05Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ70Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_05Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY67X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX16[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T52_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP9>S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS<9V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^34U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS<9V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ>8P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU:4\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY60X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\==W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ>8P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]2<T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP99S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.WPAWYDDBUYIJ<j;T2,MZPNG&MNBR]VNB]JJ^33U'YRBNQFN^AFHI)R[LXTAXV=e:W3+LYQAF%LICQ\YOA\MK]2<T$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\==W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR??Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ>8P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU:4\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T55_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS<>V"^WAC^KM[FCKD&kxucmPmtz\vZir|;n0Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.aokfmbl;n0Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.dbhioio:;0Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.dbhioioVe~x?j4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*`c9Ve~x?j4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*`c:Ve~x?j4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*`c;Ve~x?j4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*`c<Ve~x?j4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*`c=Ve~x?k4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*krp8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^33U'YRBNQFN^AFHI)uazcek>>4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\==W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS<>V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[46^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV;;]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ>8P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV;;]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP99S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW8:R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS<>V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ77Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU:4\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ77Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX10[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\=<W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP98S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[47^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX10[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T54_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_03Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU:5\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T54_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[47^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY61X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX10[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\=<W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS<?V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ76Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU:5\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[47^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ76Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR?>Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]2=T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX10[)[PDHSD@PCDNO+vikVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]2=T$XUCMPIO]@AIJ({pdhS`{w_g]lqq533\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_03Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^32U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ76Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ>9P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\=<W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ>9P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW88R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[44^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_00Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR?=Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW88R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,WZGTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS<<V"^WAC^KM[FCKD&YT_T@L_G]QAB553\:$ERXFO.EFJZU^FJUBBV;9]/QZJFYNFVIN@A!\_R[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\=?W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS<<V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR?=Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP9;S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW88R.R[MGZOIWJOG@"[PSUCWGHBXf;o0Y=!F_WKL+BCIWZSEORGA[44^*V_IKVCESNKCL.W\W\HDWO8n7X> I^TJK*ABFVYRBNQFNZ75Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ>:P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\=?W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR?=Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ>:P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY62X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T57_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW88R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T57_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV;9]/QZJFYNFVIN@A!|yoa\vZccol%BSA<G.T]GKPR412_;#DQYIN-DAKYTQGITECU:6\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ>:P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]2>T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX13[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[44^*V_IKVCESNKCL.qzjfYuWf>k5Z0.K\RLI(OLDT_T@L_HLX13[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^30U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR?<Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV;8]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY63X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^30U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ74Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]2?T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[45^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ74Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY63X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_01Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^30U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR?<Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ>;P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX12[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[45^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY63X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX12[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP9:S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS<=V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^30U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS<=V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/r{mgZkrpVxTcxz<e:W3+LYQAF%LICQ\YOA\MK]2?T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[389V4*OX^@E$KH@PSXL@[LH\=>W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX12[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T56_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW89R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR?<Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW89R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU:8\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY6<X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]20T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP95S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU:8\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ>4P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T59_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR?3Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ>4P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP95S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV;7]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU:8\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY6<X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX1=[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW86R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR?3Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP95S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW86R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_0>Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ7;Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU:8\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ7;Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T59_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[4:^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW86R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS<2V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^3?U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY6<X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^3?U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\=0W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP94S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T58_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_0?Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\=0W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX1<[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS<3V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY6=X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX1<[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_0?Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]21T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\=0W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP94S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW87R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^3>U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY6=X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_0?Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^3>U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV;6]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ>5P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\=0W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ>5P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS<3V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR?2Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^3>U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ7:Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU:9\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP94S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU:9\,P]KEXAGUHIAB urgq[lhXf::0Y=!F_WKL+BCIWZSEORGA[4_-W\HDW@DTOHBC/@QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,EV_IKVXTAXV=e:W3+LYQAF%LICQ\YOA\MK]2U'YRBNQFN^AFHI)T@@L_S_KH2g9V4*OX^@E$KH@PSXL@[LH\=T$XUCMPIO]@AIJ([PDHSKQBUY0e?P6(AV\BC"IJN^QZJFYNFR?V"^WAC^KM[FCKD&YRBNQ]_LW[77=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$_RO\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[4_-W\HDW@DTOHBC/R]BW\HDW[UYIJ=>;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*UX[PDHSKQ]EF12?P6(AV\BC"IJN^QZJFYNFR?V"^WAC^KM[FCKD&YT_T@L_S]QAB563\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"[\ES]@HNYUMN8o7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.WPAWYJ]Q8o7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.WPAWYUMN8n7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.W\BDJKAGM9h6[?/H]UMJ)@MGUXUCMPIOY6Y+U^FJUBBRMJLM-V[WOTAGM8<6[?/H]UMJ)@MGUXUCMPIOY6Y+U^FJUBBRMJLM-V[VRF\JGOSc<k;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*SX[PDHSK<k;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*SX[PDHS_=;;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW8S!SXL@[LHXKLFG#l}vnb]nq}YuWf>n5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,giidcln9o6[?/H]UMJ)@MGUXUCMPIOY6Y+U^FJUBBRMJLM-eeijnfn9;7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.dbhioioVe~x?m4U1-J[SOH'NOES^WAC^KM_0[)[PDHSD@PCDNO+cb6Wf>n5Z0.K\RLI(OLDT_T@L_HLX1X(TQGITECQLEMN,ba4Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY6Y+U^FJUBBRMJLM-e`6Yh}}8h7X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.dg0Zir|;i0Y=!F_WKL+BCIWZSEORGA[4_-W\HDW@DTOHBC/gf6[jss:m1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB mtz2[jss:k1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB rhqjjb4a3\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"|fshld[jss;m1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;11^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20<>S7'@U]EB!HEO]P]KEXAGQ>Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=6;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;87927X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;4a3\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"}vnb]e[jss;:1^<"GPVHM,C@HX[PDHSD@T5\,P]KEXAGUHIAB sxl`[hsWoUdyy=<;T2,MZPNG&MNBR]VNB]JJ^3Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7a=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7==R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><8:W3+LYQAF%LICQ\YOA\MK]2U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\8927X> I^TJK*ABFVYRBNQFNZ7^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5>3\:$ERXFO.EFJZU^FJUBBV;R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?0e?P6(AV\BC"IJN^QZJFYNFR?V"^WAC^KM[FCKD&yrbnQ}_nww6`=R8&CTZDA GDL\W\HDW@DP9P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW;>R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[72^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_36Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR<;Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW;>R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,WZGTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS?:V"^WAC^KM[FCKD&YT_T@L_G]QAB553\:$ERXFO.EFJZU^FJUBBV8?]/QZJFYNFVIN@A!\_R[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\>9W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS?:V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR<;Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP:=S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW;>R.R[MGZOIWJOG@"[PSUCWGHBXf;o0Y=!F_WKL+BCIWZSEORGA[72^*V_IKVCESNKCL.W\W\HDWO8n7X> I^TJK*ABFVYRBNQFNZ43Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ=<P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\>9W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR<;Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ=<P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY54X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T61_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW;>R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T61_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV8?]/QZJFYNFVIN@A!|yoa\vZccol%BSA<G.T]GKPR412_;#DQYIN-DAKYTQGITECU90\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ=<P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]18T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX25[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[72^*V_IKVCESNKCL.qzjfYuWf>k5Z0.K\RLI(OLDT_T@L_HLX25[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^06U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR<:Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV8>]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY55X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^06U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ42Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]19T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[73^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ42Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY55X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_37Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^06U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR<:Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ==P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX24[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[73^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY55X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX24[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP:<S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS?;V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^06U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS?;V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/r{mgZkrpVxTcxz<e:W3+LYQAF%LICQ\YOA\MK]19T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[389V4*OX^@E$KH@PSXL@[LH\>8W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX24[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW;?R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR<:Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW;?R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU92\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY56X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]1:T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP:?S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU92\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ=>P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T63_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR<9Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ=>P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP:?S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV8=]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU92\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY56X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX27[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW;<R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR<9Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP:?S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW;<R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_34Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ41Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU92\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ41Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T63_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[70^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW;<R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^05U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY56X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^05U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\>:W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP:>S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T62_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_35Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\>:W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX26[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS?9V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY57X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX26[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_35Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]1;T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\>:W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP:>S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW;=R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^04U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY57X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_35Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^04U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV8<]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ=?P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\>:W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ=?P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS?9V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR<8Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^04U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ40Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU93\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP:>S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU93\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[76^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_32Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS?>V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV8;]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[76^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW;:R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ47Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP:9S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW;:R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV8;]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T65_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[76^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_32Z&ZSEORGA_BGOH*SX[PDHSK<j;T2,MZPNG&MNBR]VNB]JJ^03U'YRBNQFN^AFHI)RWZSEOR\<5:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU94\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$ji<Potv1`>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP:9S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV8;]/QZJFYNFVIN@A!|yoa\bZccol%BSE<C.T]GKPR412_;#DQYIN-DAKYTQGITECU94\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]1<T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX21[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[76^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX21[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ47Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY50X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU94\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ=8P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\>=W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_32Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\>=W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR<>Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV8:]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ46Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]1=T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR<>Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^02U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ=9P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_33Z&ZSEORGA_BGOH*STM[UFYU<j;T2,MZPNG&MNBR]VNB]JJ^02U'YRBNQFN^AFHI)R[LXT^HI=f:W3+LYQAF%LICQ\YOA\MK]1=T$XUCMPIO]@AIJ(]VLJ@AGAG3g8Q5)NW_CD#JKA_R[MGZOIS??V"^WAC^KM[FCKD&_T^D]FNF12?P6(AV\BC"IJN^QZJFYNFR<>Q#]VNB]JJZEBDE%^S^ZNTBOG[k4b3\:$ERXFO.EFJZU^FJUBBV8:]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU95\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\><W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_33Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+wotagmTcxz<e:W3+LYQAF%LICQ\YOA\MK]1=T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[389V4*OX^@E$KH@PSXL@[LH\><W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T64_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW;;R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR<>Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW;;R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ=9P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP:8S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\><W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[77^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV8:]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[77^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY52X(TQGITECQLEMN,EV_IKVLTAXV<1:W3+LYQAF%LICQ\YOA\MK]1>T$XUCMPIO]@AIJ(IZSEOR\PMTZ1b>S7'@U]EB!HEO]P]KEXAGQ=:P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T67_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY52X(TQGITECQLEMN,WZGTQGITJR\JG218Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&YTM^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU96\,P]KEXAGUHIAB S^QZJFYAW[OL??5Z0.K\RLI(OLDT_T@L_HLX23[)[PDHSD@PCDNO+VYTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&_XI_QLLJ]QAB4b3\:$ERXFO.EFJZU^FJUBBV89]/QZJFYNFVIN@A!ZSDP\IP^5m2_;#DQYIN-DAKYTQGITECU96\,P]KEXAGUHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSD@T67_-W\HDW@DTOHBC/T]EEIJNFN8n7X> I^TJK*ABFVYRBNQFNZ45Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY52X(TQGITECQLEMN,QZUSI]IFHR`=e:W3+LYQAF%LICQ\YOA\MK]1>T$XUCMPIO]@AIJ(]VYRBNQI2d9V4*OX^@E$KH@PSXL@[LH\>?W%_T@L_HL\G@JK'\UXUCMPR278Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&kxucmPmtz\bZir|:?0Y=!F_WKL+BCIWZSEORGA[74^*V_IKVCESNKCL.cp}keXe|rT~Razt3f8Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&igcnejd3f8Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&lj`agag238Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&lj`agag^mvp7b<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"hk1^mvp7b<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"hk2^mvp7b<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"hk3^mvp7b<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"hk4^mvp7b<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"hk5^mvp7c<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"czx0]lqq4d3\:$ERXFO.EFJZU^FJUBBV89]/QZJFYNFVIN@A!}irkmc66<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"|fshld[jss;l1^<"GPVHM,C@HX[PDHSD@T67_-W\HDW@DTOHBC/r{mgZ`Xmmmn#DQG2M,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[74^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS?<V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^01U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY52X(TQGITECQLEMN,w|hdWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^01U'YRBNQFN^AFHI)tqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"}vnb]nq}YuWf?h5Z0.K\RLI(OLDT_T@L_HLX23[)[PDHSD@PCDNO+vikVxTiiij/H]O6M(RWME^X>74U1-J[SOH'NOES^WAC^KM_30Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[74^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW;8R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR<=Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]1>T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR<=Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP::S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T66_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX22[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS?=V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP::S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\>>W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW;9R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]1?T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\>>W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS?=V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ=;P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP::S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T66_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[75^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR<<Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-eeijnfnUdyy<k;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)al8Udyy<k;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)al;Udyy<k;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)al:Udyy<k;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)al=Udyy<k;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)al<Udyy<j;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]1?T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS?=V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR<<Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ44Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU97\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP::S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU97\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW;9R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV88]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR<<Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^00U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY53X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T66_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY53X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_3>Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS?2V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW;6R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ4;Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_3>Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$_RO\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[7:^*V_IKVCESNKCL.Q\W\HDWOUYIJ==;T2,MZPNG&MNBR]VNB]JJ^0?U'YRBNQFN^AFHI)TWZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$Y^K]_BNH[WC@:l1^<"GPVHM,C@HX[PDHSD@T69_-W\HDW@DTOHBC/TQFVZKRP;o0Y=!F_WKL+BCIWZSEORGA[7:^*V_IKVCESNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQFNZ4;Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX2=[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_3>Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS?2V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR<3Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~896[?/H]UMJ)@MGUXUCMPIOY5<X(TQGITECQLEMN,evikVg~tR|Potv1`>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$oaalkdf1`>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$jlbcioe05>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$jlbcioe\kpr5l2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T69_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ4;Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY5<X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ=4P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\>1W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_3>Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\>1W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^0?U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]10T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY5<X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU98\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP:5S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS?2V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP:5S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV86]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ4:Y+U^FJUBBRMJLM-BW\HDW[UFYU<i;T2,MZPNG&MNBR]VNB]JJ^0>U'YRBNQFN^AFHI)T@@L_S_KH319V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'ZSEORHPMTZ04>S7'@U]EB!HEO]P]KEXAGQ=5P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV86]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR<2Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU99\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS?3V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR<2Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ=5P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW;7R.R[MGZOIWJOG@"[PRHQJJB563\:$ERXFO.EFJZU^FJUBBV86]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ4:Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY5=X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP:4S!SXL@[LHXKLFG#l}vnb]nq}YuWf>i5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS?3V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ=5P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP:4S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX2<[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[7;^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV86]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[7;^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU99\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T68_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP:4S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\>0W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_3?Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ4:Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_3?Z&ZSEORGA_BGOH*stm{UbbR`<0:W3+LYQAF%LICQ\YOA\MK]1U'YRBNQFN^AFHI)F[PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR<V"^WAC^KM[FCKD&KXUCMPR^OV\7c<]9%BS[G@/FGM[V_IKVCEW;S!SXL@[LHXKLFG#^FFFU]QAB4a3\:$ERXFO.EFJZU^FJUBBV8R.R[MGZOIWJOG@"]VNB]E[HS_:o1^<"GPVHM,C@HX[PDHSD@T6\,P]KEXAGUHIAB SXL@[WYJ]Q997X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.Q\EV_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]1U'YRBNQFN^AFHI)TWHYRBNQ]_SGD74=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$_R]VNB]E[WC@;81^<"GPVHM,C@HX[PDHSD@T6\,P]KEXAGUHIAB S^QZJFYUW[OL?<5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,QVCUWJF@S_KH2e9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]ZOYS@[W2e9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]ZOYS_KH2d9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(]VLJ@AGAG3f8Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'\UYE^GAG228Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'\UXXLZLME]m6a=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$YR]VNB]E6a=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$YR]VNB]Q71=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY5Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0`?P6(AV\BC"IJN^QZJFYNFR<V"^WAC^KM[FCKD&igcnejd3a8Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'okg`d`h319V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(nhfgeciPotv1g>S7'@U]EB!HEO]P]KEXAGQ=Q#]VNB]JJZEBDE%mh<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR<V"^WAC^KM[FCKD&lo>Razt3a8Q5)NW_CD#JKA_R[MGZOIS?W%_T@L_HL\G@JK'on8Sb{{2b9V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ(nm>Tcxz=c:W3+LYQAF%LICQ\YOA\MK]1U'YRBNQFN^AFHI)al<Udyy<k;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*krp8Udyy<m;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*tn{`dl>k5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,vlunfnUdyy=k;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=7;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:20Y=!F_WKL+BCIWZSEORGA[7_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27<=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>1389V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5>k5Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,w|hdWoUdyy=<;T2,MZPNG&MNBR]VNB]JJ^0Z&ZSEORGA_BGOH*u~fjUfyuQi_nww76=R8&CTZDA GDL\W\HDW@DP:P \YOA\MKYDMEF$t`l_lw{[wYh}}9o7X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]937X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW;S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\>T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX2X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T6\,P]KEXAGUHIAB sxl`[wYh}}8n7X> I^TJK*ABFVYRBNQFNZ4^*V_IKVCESNKCL.wpawYnfVd8=6[?/H]UMJ)@MGUXUCMPIOY44X(TQGITECQLEMN,EV_IKVLTAXV<1:W3+LYQAF%LICQ\YOA\MK]08T$XUCMPIO]@AIJ(IZSEOR\PMTZ1b>S7'@U]EB!HEO]P]KEXAGQ<<P \YOA\MKYDMEF$_EGIT^PFC66<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T71_-W\HDW@DTOHBC/R[MGZTXE\R8?6[?/H]UMJ)@MGUXUCMPIOY44X(TQGITECQLEMN,WZGTQGITJR\JG218Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&YTM^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU80\,P]KEXAGUHIAB S^QZJFYAW[OL??5Z0.K\RLI(OLDT_T@L_HLX35[)[PDHSD@PCDNO+VYTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&_XI_QLLJ]QAB4b3\:$ERXFO.EFJZU^FJUBBV9?]/QZJFYNFVIN@A!ZSDP\IP^5m2_;#DQYIN-DAKYTQGITECU80\,P]KEXAGUHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSD@T71_-W\HDW@DTOHBC/T]EEIJNFN8n7X> I^TJK*ABFVYRBNQFNZ53Y+U^FJUBBRMJLM-V[WOTAGM8=6[?/H]UMJ)@MGUXUCMPIOY44X(TQGITECQLEMN,QZUSI]IFHR`=e:W3+LYQAF%LICQ\YOA\MK]08T$XUCMPIO]@AIJ(]VYRBNQI2d9V4*OX^@E$KH@PSXL@[LH\?9W%_T@L_HL\G@JK'\UXUCMPR278Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&kxucmPmtz\bZir|:?0Y=!F_WKL+BCIWZSEORGA[62^*V_IKVCESNKCL.cp}keXe|rT~Razt3f8Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&igcnejd3f8Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&lj`agag238Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&lj`agag^mvp7b<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"hk1^mvp7b<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"hk2^mvp7b<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"hk3^mvp7b<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"hk4^mvp7b<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"hk5^mvp7c<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"czx0]lqq4d3\:$ERXFO.EFJZU^FJUBBV9?]/QZJFYNFVIN@A!}irkmc66<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"|fshld[jss;l1^<"GPVHM,C@HX[PDHSD@T71_-W\HDW@DTOHBC/r{mgZ`Xmmmn#DQG2M,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[62^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS>:V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^17U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY44X(TQGITECQLEMN,w|hdWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^17U'YRBNQFN^AFHI)tqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"}vnb]nq}YuWf?h5Z0.K\RLI(OLDT_T@L_HLX35[)[PDHSD@PCDNO+vikVxTiiij/H]O6M(RWME^X>74U1-J[SOH'NOES^WAC^KM_26Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[62^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW:>R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR=;Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]08T$XUCMPIO]@AIJ({pdhSQ`uu0e?P6(AV\BC"IJN^QZJFYNFR=;Q#]VNB]JJZEBDE%~h|Pio]m74=R8&CTZDA GDL\W\HDW@DP;<S!SXL@[LHXKLFG#L]VNB]E[HS_;81^<"GPVHM,C@HX[PDHSD@T70_-W\HDW@DTOHBC/@QZJFYUWD_S>k5Z0.K\RLI(OLDT_T@L_HLX34[)[PDHSD@PCDNO+VNNN]UYIJ=?;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)TQGITJRCZX228Q5)NW_CD#JKA_R[MGZOIS>;V"^WAC^KM[FCKD&YRBNQ]_LW[76=R8&CTZDA GDL\W\HDW@DP;<S!SXL@[LHXKLFG#^QNSXL@[CYUMN987X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-P[DU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\?8W%_T@L_HL\G@JK'ZUXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW:?R.R[MGZOIWJOG@"]PSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-VW@TXKEAT^HI=e:W3+LYQAF%LICQ\YOA\MK]09T$XUCMPIO]@AIJ(]ZOYS@[W2d9V4*OX^@E$KH@PSXL@[LH\?8W%_T@L_HL\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZOIS>;V"^WAC^KM[FCKD&_TJLBCIOE1a>S7'@U]EB!HEO]P]KEXAGQ<=P \YOA\MKYDMEF$YR\FSHLD74=R8&CTZDA GDL\W\HDW@DP;<S!SXL@[LHXKLFG#XQ\T@V@IAYi:l1^<"GPVHM,C@HX[PDHSD@T70_-W\HDW@DTOHBC/T]P]KEXN;o0Y=!F_WKL+BCIWZSEORGA[63^*V_IKVCESNKCL.W\W\HDW[9>7X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-bw|hdWdsSkQ`uu16?P6(AV\BC"IJN^QZJFYNFR=:Q#]VNB]JJZEBDE%jt`l_lw{[wYh}}8o7X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-`hjelmm8o7X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-eeijnfn9:7X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-eeijnfnUdyy<k;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)al8Udyy<k;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)al;Udyy<k;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)al:Udyy<k;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)al=Udyy<k;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)al<Udyy<j;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)j}q;Tcxz=c:W3+LYQAF%LICQ\YOA\MK]09T$XUCMPIO]@AIJ(z`ybbj=?;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)uazcekRazt2g8Q5)NW_CD#JKA_R[MGZOIS>;V"^WAC^KM[FCKD&yrbnQi_dfda*OX@;F%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR=:Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU81\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP;<S!SXL@[LHXKLFG#~wac^d\kpr4<2_;#DQYIN-DAKYTQGITECU81\,P]KEXAGUHIAB sxl`[hsWoUdyy=;;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)tqgiTaxvPr^mvp6c<]9%BS[G@/FGM[V_IKVCEW:?R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV9>]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR=:Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^16U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY45X(TQGITECQLEMN,w|hdW{Unhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T70_-W\HDW@DTOHBC/r{mgZtXg|~9j6[?/H]UMJ)@MGUXUCMPIOY45X(TQGITECQLEMN,qvcuW`dTb>?4U1-J[SOH'NOES^WAC^KM_24Z&ZSEORGA_BGOH*GTQGITJRCZX238Q5)NW_CD#JKA_R[MGZOIS>8V"^WAC^KM[FCKD&KXUCMPR^OV\7`<]9%BS[G@/FGM[V_IKVCEW:<R.R[MGZOIWJOG@"]GIGV\V@A482_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB SXL@[CYJ]Q9;7X> I^TJK*ABFVYRBNQFNZ51Y+U^FJUBBRMJLM-P]KEXZVG^T>=4U1-J[SOH'NOES^WAC^KM_24Z&ZSEORGA_BGOH*UXIZSEORHPRDE07>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$_RO\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[60^*V_IKVCESNKCL.Q\W\HDWOUYIJ==;T2,MZPNG&MNBR]VNB]JJ^15U'YRBNQFN^AFHI)TWZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$Y^K]_BNH[WC@:l1^<"GPVHM,C@HX[PDHSD@T73_-W\HDW@DTOHBC/TQFVZKRP;o0Y=!F_WKL+BCIWZSEORGA[60^*V_IKVCESNKCL.WPAWYUMN8m7X> I^TJK*ABFVYRBNQFNZ51Y+U^FJUBBRMJLM-V[CGKD@DL>h5Z0.K\RLI(OLDT_T@L_HLX37[)[PDHSD@PCDNO+PYUAZCEK>?4U1-J[SOH'NOES^WAC^KM_24Z&ZSEORGA_BGOH*SX[]K_O@JPn3g8Q5)NW_CD#JKA_R[MGZOIS>8V"^WAC^KM[FCKD&_T_T@L_G0f?P6(AV\BC"IJN^QZJFYNFR=9Q#]VNB]JJZEBDE%^S^WAC^P01>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$m~wac^ov|Z`Xg|~896[?/H]UMJ)@MGUXUCMPIOY46X(TQGITECQLEMN,evikVg~tR|Potv1`>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$oaalkdf1`>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$jlbcioe05>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$jlbcioe\kpr5l2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB fe3\kpr5l2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB fe0\kpr5l2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB fe1\kpr5l2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB fe6\kpr5l2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB fe7\kpr5m2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB mtz2[jss:j1^<"GPVHM,C@HX[PDHSD@T73_-W\HDW@DTOHBC/skpmka482_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB rhqjjbYh}}9n7X> I^TJK*ABFVYRBNQFNZ51Y+U^FJUBBRMJLM-p}keXnVookh!F_I0O*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY46X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ<>P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\?;W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_24Z&ZSEORGA_BGOH*u~fjUmSb{{359V4*OX^@E$KH@PSXL@[LH\?;W%_T@L_HL\G@JK'zseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB sxl`[hsW{Udyy=j;T2,MZPNG&MNBR]VNB]JJ^15U'YRBNQFN^AFHI)tqgiT~Rkkgd-J[I4O&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]0:T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY46X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU82\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP;?S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS>8V"^WAC^KM[FCKD&yrbnQ}_nww6c=R8&CTZDA GDL\W\HDW@DP;?S!SXL@[LHXKLFG#x}jr^km[k563\:$ERXFO.EFJZU^FJUBBV9<]/QZJFYNFVIN@A!NSXL@[CYJ]Q9:7X> I^TJK*ABFVYRBNQFNZ50Y+U^FJUBBRMJLM-BW\HDW[UFYU<i;T2,MZPNG&MNBR]VNB]JJ^14U'YRBNQFN^AFHI)T@@L_S_KH319V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'ZSEORHPMTZ04>S7'@U]EB!HEO]P]KEXAGQ<?P \YOA\MKYDMEF$_T@L_S]NQ]543\:$ERXFO.EFJZU^FJUBBV9<]/QZJFYNFVIN@A!\_@QZJFYAW[OL?>5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+VYF[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR=8Q#]VNB]JJZEBDE%XS^WAC^D\V@A4:2_;#DQYIN-DAKYTQGITECU83\,P]KEXAGUHIAB S^QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+PUBZVIGGR\JG3g8Q5)NW_CD#JKA_R[MGZOIS>9V"^WAC^KM[FCKD&_XI_QBUY0f?P6(AV\BC"IJN^QZJFYNFR=8Q#]VNB]JJZEBDE%^_H\PRDE1b>S7'@U]EB!HEO]P]KEXAGQ<?P \YOA\MKYDMEF$YRHNLMKMC7c<]9%BS[G@/FGM[V_IKVCEW:=R.R[MGZOIWJOG@"[PRHQJJB563\:$ERXFO.EFJZU^FJUBBV9<]/QZJFYNFVIN@A!Z_RVBPFKCWg8n7X> I^TJK*ABFVYRBNQFNZ50Y+U^FJUBBRMJLM-V[V_IKVL9i6[?/H]UMJ)@MGUXUCMPIOY47X(TQGITECQLEMN,QZU^FJUY?85Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+du~fjUfyuQi_nww70=R8&CTZDA GDL\W\HDW@DP;>S!SXL@[LHXKLFG#l}vnb]nq}YuWf>i5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+fjhkboo>i5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+cgkd`dl?<5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+cgkd`dlSb{{2e9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'on:Sb{{2e9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'on9Sb{{2e9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'on8Sb{{2e9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'on?Sb{{2e9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'on>Sb{{2d9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'ds=Razt3a8Q5)NW_CD#JKA_R[MGZOIS>9V"^WAC^KM[FCKD&xbd`h319V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'{cxeciPotv0a>S7'@U]EB!HEO]P]KEXAGQ<?P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP;>S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX36[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[61^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV9<]/QZJFYNFVIN@A!|yoa\bZir|:>0Y=!F_WKL+BCIWZSEORGA[61^*V_IKVCESNKCL.qzjfYj}qUmSb{{359V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'zseoRczx^p\kpr4m2_;#DQYIN-DAKYTQGITECU83\,P]KEXAGUHIAB sxl`[wYblno$ERB=H/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T72_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP;>S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\?:W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_25Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ50Y+U^FJUBBRMJLM-p}keXzVe~x?h4U1-J[SOH'NOES^WAC^KM_25Z&ZSEORGA_BGOH*stm{UbbR`<1:W3+LYQAF%LICQ\YOA\MK]0<T$XUCMPIO]@AIJ(IZSEORHPMTZ05>S7'@U]EB!HEO]P]KEXAGQ<8P \YOA\MKYDMEF$M^WAC^P\IP^5n2_;#DQYIN-DAKYTQGITECU84\,P]KEXAGUHIAB SIKEPZTBO::0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX31[)[PDHSD@PCDNO+V_IKVXTAXV<3:W3+LYQAF%LICQ\YOA\MK]0<T$XUCMPIO]@AIJ([VKXUCMPF^PFC65<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"]PAR[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY40X(TQGITECQLEMN,WZU^FJUMS_KH339V4*OX^@E$KH@PSXL@[LH\?=W%_T@L_HL\G@JK'ZUXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"[\ES]@HNYUMN8n7X> I^TJK*ABFVYRBNQFNZ57Y+U^FJUBBRMJLM-VW@TXE\R9i6[?/H]UMJ)@MGUXUCMPIOY40X(TQGITECQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_HLX31[)[PDHSD@PCDNO+PYAIEFBBJ<j;T2,MZPNG&MNBR]VNB]JJ^13U'YRBNQFN^AFHI)RW[CXECI<1:W3+LYQAF%LICQ\YOA\MK]0<T$XUCMPIO]@AIJ(]VY_MYMBD^l1a>S7'@U]EB!HEO]P]KEXAGQ<8P \YOA\MKYDMEF$YR]VNB]E6`=R8&CTZDA GDL\W\HDW@DP;9S!SXL@[LHXKLFG#XQ\YOA\V63<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"o|yoa\ip~XnVe~x>;4U1-J[SOH'NOES^WAC^KM_22Z&ZSEORGA_BGOH*gtqgiTaxvPr^mvp7b<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"mcobif`7b<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"hnlmkmc67<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"hnlmkmcZir|;n0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.dg5Zir|;n0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.dg6Zir|;n0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.dg7Zir|;n0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.dg0Zir|;n0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.dg1Zir|;o0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.ov|4Yh}}8h7X> I^TJK*ABFVYRBNQFNZ57Y+U^FJUBBRMJLM-qmvoio::0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.pjwlh`Wf?h5Z0.K\RLI(OLDT_T@L_HLX31[)[PDHSD@PCDNO+vikVlTiiij/H]K6I(RWME^X>74U1-J[SOH'NOES^WAC^KM_22Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS8:30Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW56g<]9%BS[G@/FGM[V_IKVCEW::R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ30?1b?P6(AV\BC"IJN^QZJFYNFR=?Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0<0<0:W3+LYQAF%LICQ\YOA\MK]0<T$XUCMPIO]@AIJ({pdhSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR=?Q#]VNB]JJZEBDE%xucmPmtz\bZir|:>0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.qzjfYj}qUySb{{3d9V4*OX^@E$KH@PSXL@[LH\?=W%_T@L_HL\G@JK'zseoR|Peeef+LYK:A$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS>>V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_22Z&ZSEORGA_BGOH*u~fjUyShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[66^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV9;]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ<8P \YOA\MKYDMEF$t`l_s]lqq4a3\:$ERXFO.EFJZU^FJUBBV9;]/QZJFYNFVIN@A!zsdp\mkYi;81^<"GPVHM,C@HX[PDHSD@T74_-W\HDW@DTOHBC/@QZJFYAWD_S?<5Z0.K\RLI(OLDT_T@L_HLX30[)[PDHSD@PCDNO+DU^FJUYS@[W2g9V4*OX^@E$KH@PSXL@[LH\?<W%_T@L_HL\G@JK'ZBBJYQ]EF13?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%XUCMPF^OV\66<]9%BS[G@/FGM[V_IKVCEW:;R.R[MGZOIWJOG@"]VNB]Q[HS_;:1^<"GPVHM,C@HX[PDHSD@T74_-W\HDW@DTOHBC/R]BW\HDWOUYIJ=<;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)TWHYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP;8S!SXL@[LHXKLFG#^Q\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[67^*V_IKVCESNKCL.Q\W\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)R[LXTOAEPRDE1a>S7'@U]EB!HEO]P]KEXAGQ<9P \YOA\MKYDMEF$Y^K]_LW[6`=R8&CTZDA GDL\W\HDW@DP;8S!SXL@[LHXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVCEW:;R.R[MGZOIWJOG@"[PF@NOMKA5m2_;#DQYIN-DAKYTQGITECU85\,P]KEXAGUHIAB U^PJWLH@;81^<"GPVHM,C@HX[PDHSD@T74_-W\HDW@DTOHBC/T]PPDRDEMUe>h5Z0.K\RLI(OLDT_T@L_HLX30[)[PDHSD@PCDNO+PYTQGITJ?k4U1-J[SOH'NOES^WAC^KM_23Z&ZSEORGA_BGOH*SX[PDHS_=:;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)f{pdhS`{w_g]lqq523\:$ERXFO.EFJZU^FJUBBV9:]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<k;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)ddfi`ii<k;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)aiefbbj=>;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)aiefbbjQ`uu0g?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%mh<Q`uu0g?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%mh?Q`uu0g?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%mh>Q`uu0g?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%mh9Q`uu0g?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%mh8Q`uu0f?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%fyu?Potv1g>S7'@U]EB!HEO]P]KEXAGQ<9P \YOA\MKYDMEF$~d}fnf13?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%ye~gag^mvp6c<]9%BS[G@/FGM[V_IKVCEW:;R.R[MGZOIWJOG@"}vnb]e[`b`m&CTD?B!U^FLQQ5>3\:$ERXFO.EFJZU^FJUBBV9:]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT11:?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=n;T2,MZPNG&MNBR]VNB]JJ^12U'YRBNQFN^AFHI)tqgiTjRkkgd-j@JSS4948m6[?/H]UMJ)@MGUXUCMPIOY41X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979;91^<"GPVHM,C@HX[PDHSD@T74_-W\HDW@DTOHBC/r{mgZ`Xg|~886[?/H]UMJ)@MGUXUCMPIOY41X(TQGITECQLEMN,w|hdWdsSkQ`uu17?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%xucmPmtz\vZir|:o0Y=!F_WKL+BCIWZSEORGA[67^*V_IKVCESNKCL.qzjfYuWlnli"GPL3J-QZBH]]927X> I^TJK*ABFVYRBNQFNZ56Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV9:]/QZJFYNFVIN@A!|yoa\vZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%xucmPr^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]0=T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX30[)[PDHSD@PCDNO+vikVxTcxz=f:W3+LYQAF%LICQ\YOA\MK]0=T$XUCMPIO]@AIJ(}zoySd`Pn238Q5)NW_CD#JKA_R[MGZOIS><V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW:8R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[64^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^11U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS><V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_20Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR==Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX33[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_20Z&ZSEORGA_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^11U'YRBNQFN^AFHI)RWOKG@D@H2d9V4*OX^@E$KH@PSXL@[LH\??W%_T@L_HL\G@JK'\UYE^GAG238Q5)NW_CD#JKA_R[MGZOIS><V"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW:8R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV99]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]0>T$XUCMPIO]@AIJ(izseoRczx^p\kpr5l2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX33[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^11U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]0>T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU86\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP;;S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS><V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP;;S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR==Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ<:P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]0>T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY42X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T77_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW:8R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T77_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ54Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^10U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR=<Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU87\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ54Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV98]/QZJFYNFVIN@A!\_R[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY43X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'\YN^RMCK^PFC7c<]9%BS[G@/FGM[V_IKVCEW:9R.R[MGZOIWJOG@"[\ES]NQ]4b3\:$ERXFO.EFJZU^FJUBBV98]/QZJFYNFVIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITECU87\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[65^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ54Y+U^FJUBBRMJLM-V[VRF\JGOSc<j;T2,MZPNG&MNBR]VNB]JJ^10U'YRBNQFN^AFHI)RWZSEORH=e:W3+LYQAF%LICQ\YOA\MK]0?T$XUCMPIO]@AIJ(]VYRBNQ]349V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'hyrbnQbuy]e[jss;<1^<"GPVHM,C@HX[PDHSD@T76_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2e9V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'jfdofkk2e9V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'okg`d`h309V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'okg`d`h_nww6a=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#kj>_nww6a=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW:9R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU87\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T76_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\?>W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_21Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ54Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_21Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY43X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX32[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T76_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP;:S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS>=V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^10U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS>=V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ<4P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU88\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY4<X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\?1W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ<4P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]00T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP;5S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.WPAWYDDBUYIJ<j;T2,MZPNG&MNBR]VNB]JJ^1?U'YRBNQFN^AFHI)R[LXTAXV=e:W3+LYQAF%LICQ\YOA\MK]00T$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\?1W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR=3Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ<4P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU88\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T79_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS>2V"^WAC^KM[FCKD&kxucmPmtz\vZir|;n0Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.aokfmbl;n0Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.dbhioio:;0Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.dbhioioVe~x?j4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*`c9Ve~x?j4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*`c:Ve~x?j4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*`c;Ve~x?j4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*`c<Ve~x?j4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*`c=Ve~x?k4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*krp8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^1?U'YRBNQFN^AFHI)uazcek>>4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\?1W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS>2V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[6:^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV97]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ<4P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV97]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP;5S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW:6R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS>2V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_2>Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ5;Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU88\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ5;Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX3<[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\?0W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP;4S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[6;^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX3<[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T78_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_2?Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU89\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T78_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[6;^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY4=X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX3<[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\?0W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS>3V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ5:Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU89\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[6;^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ5:Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR=2Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]01T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX3<[)[PDHSD@PCDNO+vikVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]01T$XUCMPIO]@AIJ({pdhS`{w_g]lqq533\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_2?Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^1>U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ5:Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV96]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ<5P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\?0W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ<5P \YOA\MKYDMEF$y~k}_hl\j66<]9%BS[G@/FGM[V_IKVCEW:S!SXL@[LHXKLFG#L]VNB]E[HS_;91^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB AR[MGZTXE\R9i6[?/H]UMJ)@MGUXUCMPIOY4Y+U^FJUBBRMJLM-PLL@SW[OL>k5Z0.K\RLI(OLDT_T@L_HLX3X(TQGITECQLEMN,W\HDWOUFYU<i;T2,MZPNG&MNBR]VNB]JJ^1Z&ZSEORGA_BGOH*U^FJUYS@[W339V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ([VKXUCMPF^PFC64<]9%BS[G@/FGM[V_IKVCEW:S!SXL@[LHXKLFG#^QNSXL@[WYUMN9:7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.Q\W\HDWOUYIJ=>;T2,MZPNG&MNBR]VNB]JJ^1Z&ZSEORGA_BGOH*UX[PDHS_Q]EF12?P6(AV\BC"IJN^QZJFYNFR=V"^WAC^KM[FCKD&_XI_QLLJ]QAB4c3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"[\ES]NQ]4c3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"[\ES]QAB4b3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"[PF@NOMKA5l2_;#DQYIN-DAKYTQGITECU8]/QZJFYNFVIN@A!Z_SKPMKA482_;#DQYIN-DAKYTQGITECU8]/QZJFYNFVIN@A!Z_RVBPFKCWg8o7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.W\W\HDWO8o7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.W\W\HDW[9?7X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.cp}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS>W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:j1^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB cmm`o`b5k2_;#DQYIN-DAKYTQGITECU8]/QZJFYNFVIN@A!iamnjjb573\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"hnlmkmcZir|;i0Y=!F_WKL+BCIWZSEORGA[6_-W\HDW@DTOHBC/gf2[jss:j1^<"GPVHM,C@HX[PDHSD@T7\,P]KEXAGUHIAB fe0\kpr5k2_;#DQYIN-DAKYTQGITECU8]/QZJFYNFVIN@A!id2]lqq4d3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"hk4^mvp7e<]9%BS[G@/FGM[V_IKVCEW:S!SXL@[LHXKLFG#kj:_nww6a=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$axv>_nww6g=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$~d}fnf0e?P6(AV\BC"IJN^QZJFYNFR=V"^WAC^KM[FCKD&xbd`h_nww7a=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$t`l_g]f`bc(AVB9@#[PDNWW7==R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><8:W3+LYQAF%LICQ\YOA\MK]0U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\8927X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5>3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?0e?P6(AV\BC"IJN^QZJFYNFR=V"^WAC^KM[FCKD&yrbnQi_nww76=R8&CTZDA GDL\W\HDW@DP;P \YOA\MKYDMEF$t`l_lw{[cYh}}987X> I^TJK*ABFVYRBNQFNZ5^*V_IKVCESNKCL.qzjfYj}qUySb{{3e9V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[399V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]]:846[?/H]UMJ)@MGUXUCMPIOY4Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45>3\:$ERXFO.EFJZU^FJUBBV9R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ30?1:?P6(AV\BC"IJN^QZJFYNFR=V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3<i;T2,MZPNG&MNBR]VNB]JJ^1Z&ZSEORGA_BGOH*u~fjUySb{{2d9V4*OX^@E$KH@PSXL@[LH\?T$XUCMPIO]@AIJ(}zoySd`Pn238Q5)NW_CD#JKA_R[MGZOIS1:V"^WAC^KM[FCKD&KXUCMPF^OV\67<]9%BS[G@/FGM[V_IKVCEW5>R.R[MGZOIWJOG@"O\YOA\VZKRP;l0Y=!F_WKL+BCIWZSEORGA[92^*V_IKVCESNKCL.QKMCRXZLM8<6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,W\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^>7U'YRBNQFN^AFHI)TQGIT^RCZX218Q5)NW_CD#JKA_R[MGZOIS1:V"^WAC^KM[FCKD&YTM^WAC^D\V@A4;2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB S^CP]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_=6Z&ZSEORGA_BGOH*UX[PDHSKQ]EF11?P6(AV\BC"IJN^QZJFYNFR2;Q#]VNB]JJZEBDE%XS^WAC^P\V@A4:2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB URGQ[FJLW[OL>h5Z0.K\RLI(OLDT_T@L_HLX<5[)[PDHSD@PCDNO+PUBZVG^T?k4U1-J[SOH'NOES^WAC^KM_=6Z&ZSEORGA_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]JJ^>7U'YRBNQFN^AFHI)RWOKG@D@H2d9V4*OX^@E$KH@PSXL@[LH\09W%_T@L_HL\G@JK'\UYE^GAG238Q5)NW_CD#JKA_R[MGZOIS1:V"^WAC^KM[FCKD&_T_YO[CLF\j7c<]9%BS[G@/FGM[V_IKVCEW5>R.R[MGZOIWJOG@"[PSXL@[C4b3\:$ERXFO.EFJZU^FJUBBV6?]/QZJFYNFVIN@A!Z_R[MGZT4=2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB ar{mgZkrpVlTcxz<5:W3+LYQAF%LICQ\YOA\MK]?8T$XUCMPIO]@AIJ(izseoRczx^p\kpr5l2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB cmm`o`b5l2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB f`nomka492_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB f`nomkaXg|~9h6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ba7Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ba4Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ba5Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ba2Xg|~9h6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ba3Xg|~9i6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,ip~6Wf>n5Z0.K\RLI(OLDT_T@L_HLX<5[)[PDHSD@PCDNO+wotagm8<6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,vlunfnUdyy=j;T2,MZPNG&MNBR]VNB]JJ^>7U'YRBNQFN^AFHI)tqgiTjRkkgd-J[M4K&\UOCXZ<9:W3+LYQAF%LICQ\YOA\MK]?8T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]]:856[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,w|hdWoUnhjk U^FLQQ74i2_;#DQYIN-DAKYTQGITECU70\,P]KEXAGUHIAB sxl`[cYblno$eIAZT=2=7d=R8&CTZDA GDL\W\HDW@DP4=S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2>>228Q5)NW_CD#JKA_R[MGZOIS1:V"^WAC^KM[FCKD&yrbnQi_nww71=R8&CTZDA GDL\W\HDW@DP4=S!SXL@[LHXKLFG#~wac^ov|Z`Xg|~886[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,w|hdWdsSQ`uu1f?P6(AV\BC"IJN^QZJFYNFR2;Q#]VNB]JJZEBDE%xucmPr^ggc`)NWE8C"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ3<P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]?8T$XUCMPIO]@AIJ({pdhSQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY;4X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T81_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW5>R.R[MGZOIWJOG@"}vnb]q[jss:o1^<"GPVHM,C@HX[PDHSD@T81_-W\HDW@DTOHBC/tqfvZoiWg9:7X> I^TJK*ABFVYRBNQFNZ:2Y+U^FJUBBRMJLM-BW\HDWOUFYU=>;T2,MZPNG&MNBR]VNB]JJ^>6U'YRBNQFN^AFHI)F[PDHS_QBUY0e?P6(AV\BC"IJN^QZJFYNFR2:Q#]VNB]JJZEBDE%XDDH[_SGD75=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#^WAC^D\IP^482_;#DQYIN-DAKYTQGITECU71\,P]KEXAGUHIAB SXL@[WYJ]Q987X> I^TJK*ABFVYRBNQFNZ:2Y+U^FJUBBRMJLM-P[DU^FJUMS_KH329V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'ZUJ_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV6>]/QZJFYNFVIN@A!\_R[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY;5X(TQGITECQLEMN,WZU^FJUYS_KH339V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'\YN^RMCK^PFC7c<]9%BS[G@/FGM[V_IKVCEW5?R.R[MGZOIWJOG@"[\ES]NQ]4b3\:$ERXFO.EFJZU^FJUBBV6>]/QZJFYNFVIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITECU71\,P]KEXAGUHIAB U^DBHIOIO;o0Y=!F_WKL+BCIWZSEORGA[93^*V_IKVCESNKCL.W\VLUNFN9:7X> I^TJK*ABFVYRBNQFNZ:2Y+U^FJUBBRMJLM-V[VRF\JGOSc<j;T2,MZPNG&MNBR]VNB]JJ^>6U'YRBNQFN^AFHI)RWZSEORH=e:W3+LYQAF%LICQ\YOA\MK]?9T$XUCMPIO]@AIJ(]VYRBNQ]349V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'hyrbnQbuy]e[jss;<1^<"GPVHM,C@HX[PDHSD@T80_-W\HDW@DTOHBC/`qzjfYj}qUySb{{2e9V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'jfdofkk2e9V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'okg`d`h309V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'okg`d`h_nww6a=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#kj>_nww6a=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#kj=_nww6a=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#kj<_nww6a=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#kj;_nww6a=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#kj:_nww6`=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#`{w1^mvp7e<]9%BS[G@/FGM[V_IKVCEW5?R.R[MGZOIWJOG@"|fshld75=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#g|ioe\kpr4m2_;#DQYIN-DAKYTQGITECU71\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;01^<"GPVHM,C@HX[PDHSD@T80_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV37<=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>3`9V4*OX^@E$KH@PSXL@[LH\08W%_T@L_HL\G@JK'zseoRhPeeef+lBH]]6;2>o4U1-J[SOH'NOES^WAC^KM_=7Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;979;7X> I^TJK*ABFVYRBNQFNZ:2Y+U^FJUBBRMJLM-p}keXnVe~x>:4U1-J[SOH'NOES^WAC^KM_=7Z&ZSEORGA_BGOH*u~fjUfyuQi_nww71=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#~wac^ov|ZtXg|~8i6[?/H]UMJ)@MGUXUCMPIOY;5X(TQGITECQLEMN,w|hdW{Unhjk I^N1L+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX<4[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T80_-W\HDW@DTOHBC/r{mgZtXmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP4<S!SXL@[LHXKLFG#~wac^p\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS1;V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^>6U'YRBNQFN^AFHI)tqgiT~Razt3d8Q5)NW_CD#JKA_R[MGZOIS1;V"^WAC^KM[FCKD&xiQfn^l05>S7'@U]EB!HEO]P]KEXAGQ3>P \YOA\MKYDMEF$M^WAC^D\IP^492_;#DQYIN-DAKYTQGITECU72\,P]KEXAGUHIAB AR[MGZTXE\R9j6[?/H]UMJ)@MGUXUCMPIOY;6X(TQGITECQLEMN,WMOA\VXNK>>4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*U^FJUMS@[W319V4*OX^@E$KH@PSXL@[LH\0;W%_T@L_HL\G@JK'ZSEOR\PMTZ07>S7'@U]EB!HEO]P]KEXAGQ3>P \YOA\MKYDMEF$_RO\YOA\BZTBO:90Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.Q\EV_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]?:T$XUCMPIO]@AIJ([VYRBNQI_SGD77=R8&CTZDA GDL\W\HDW@DP4?S!SXL@[LHXKLFG#^Q\YOA\VZTBO:80Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.WPAWYDDBUYIJ<j;T2,MZPNG&MNBR]VNB]JJ^>5U'YRBNQFN^AFHI)R[LXTAXV=e:W3+LYQAF%LICQ\YOA\MK]?:T$XUCMPIO]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[LH\0;W%_T@L_HL\G@JK'\UMMABFNF0f?P6(AV\BC"IJN^QZJFYNFR29Q#]VNB]JJZEBDE%^S_G\IOE05>S7'@U]EB!HEO]P]KEXAGQ3>P \YOA\MKYDMEF$YR][AUAN@Zh5m2_;#DQYIN-DAKYTQGITECU72\,P]KEXAGUHIAB U^QZJFYA:l1^<"GPVHM,C@HX[PDHSD@T83_-W\HDW@DTOHBC/T]P]KEXZ:?0Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.cp}keXe|rTjRazt278Q5)NW_CD#JKA_R[MGZOIS18V"^WAC^KM[FCKD&kxucmPmtz\vZir|;n0Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.aokfmbl;n0Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.dbhioio:;0Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.dbhioioVe~x?j4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*`c9Ve~x?j4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*`c:Ve~x?j4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*`c;Ve~x?j4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*`c<Ve~x?j4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*`c=Ve~x?k4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*krp8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^>5U'YRBNQFN^AFHI)uazcek>>4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*tn{`dlSb{{3d9V4*OX^@E$KH@PSXL@[LH\0;W%_T@L_HL\G@JK'zseoRhPeeef+LYO:E$^SIAZT2;8Q5)NW_CD#JKA_R[MGZOIS18V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__<>74U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*u~fjUmShjhe.W\@JSS9:k0Y=!F_WKL+BCIWZSEORGA[90^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?4;5f3\:$ERXFO.EFJZU^FJUBBV6=]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<0<04>S7'@U]EB!HEO]P]KEXAGQ3>P \YOA\MKYDMEF$t`l_g]lqq533\:$ERXFO.EFJZU^FJUBBV6=]/QZJFYNFVIN@A!|yoa\ip~XnVe~x>:4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7`=R8&CTZDA GDL\W\HDW@DP4?S!SXL@[LHXKLFG#~wac^p\aaab'@UG>E Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW5<R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS18V"^WAC^KM[FCKD&yrbnQ}_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_=4Z&ZSEORGA_BGOH*u~fjUyShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ:1Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU72\,P]KEXAGUHIAB sxl`[wYh}}8m7X> I^TJK*ABFVYRBNQFNZ:1Y+U^FJUBBRMJLM-vw`tXagUe?<5Z0.K\RLI(OLDT_T@L_HLX<6[)[PDHSD@PCDNO+DU^FJUMS@[W309V4*OX^@E$KH@PSXL@[LH\0:W%_T@L_HL\G@JK'HYRBNQ]_LW[6c=R8&CTZDA GDL\W\HDW@DP4>S!SXL@[LHXKLFG#^FFFU]QAB573\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!\YOA\BZKRP::0Y=!F_WKL+BCIWZSEORGA[91^*V_IKVCESNKCL.QZJFYUWD_S?>5Z0.K\RLI(OLDT_T@L_HLX<6[)[PDHSD@PCDNO+VYF[PDHSKQ]EF10?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%XSL]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T82_-W\HDW@DTOHBC/R]P]KEXNVXNK><4U1-J[SOH'NOES^WAC^KM_=5Z&ZSEORGA_BGOH*UX[PDHS_Q]EF11?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%^_H\PCMI\V@A5m2_;#DQYIN-DAKYTQGITECU73\,P]KEXAGUHIAB URGQ[HS_:l1^<"GPVHM,C@HX[PDHSD@T82_-W\HDW@DTOHBC/TQFVZTBO;l0Y=!F_WKL+BCIWZSEORGA[91^*V_IKVCESNKCL.W\BDJKAGM9i6[?/H]UMJ)@MGUXUCMPIOY;7X(TQGITECQLEMN,QZTN[@DL?<5Z0.K\RLI(OLDT_T@L_HLX<6[)[PDHSD@PCDNO+PYT\H^HAIQa2d9V4*OX^@E$KH@PSXL@[LH\0:W%_T@L_HL\G@JK'\UXUCMPF3g8Q5)NW_CD#JKA_R[MGZOIS19V"^WAC^KM[FCKD&_T_T@L_S16?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%jt`l_lw{[cYh}}9>7X> I^TJK*ABFVYRBNQFNZ:0Y+U^FJUBBRMJLM-bw|hdWdsSQ`uu0g?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%h`bmdee0g?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%mmabfnf12?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%mmabfnf]lqq4c3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!id0]lqq4c3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!id3]lqq4c3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!id2]lqq4c3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!id5]lqq4c3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!id4]lqq4b3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!buy3\kpr5k2_;#DQYIN-DAKYTQGITECU73\,P]KEXAGUHIAB rhqjjb573\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!}irkmcZir|:o0Y=!F_WKL+BCIWZSEORGA[91^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]927X> I^TJK*ABFVYRBNQFNZ:0Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP55>3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!|yoa\bZccol%^SIAZT01b?P6(AV\BC"IJN^QZJFYNFR28Q#]VNB]JJZEBDE%xucmPf^ggc`)nLF__0=0<a:W3+LYQAF%LICQ\YOA\MK]?;T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5;5?=5Z0.K\RLI(OLDT_T@L_HLX<6[)[PDHSD@PCDNO+vikVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]?;T$XUCMPIO]@AIJ({pdhS`{w_g]lqq533\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!|yoa\ip~XzVe~x>k4U1-J[SOH'NOES^WAC^KM_=5Z&ZSEORGA_BGOH*u~fjUyShjhe.K\H7N)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^>4U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ:0Y+U^FJUBBRMJLM-p}keXzVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV6<]/QZJFYNFVIN@A!|yoa\vZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ3?P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\0:W%_T@L_HL\G@JK'zseoR|Potv1b>S7'@U]EB!HEO]P]KEXAGQ3?P \YOA\MKYDMEF$y~k}_hl\j67<]9%BS[G@/FGM[V_IKVCEW5:R.R[MGZOIWJOG@"O\YOA\BZKRP:;0Y=!F_WKL+BCIWZSEORGA[96^*V_IKVCESNKCL.CP]KEXZVG^T?h4U1-J[SOH'NOES^WAC^KM_=2Z&ZSEORGA_BGOH*UOAO^T^HI<0:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ([PDHSKQBUY13?P6(AV\BC"IJN^QZJFYNFR2?Q#]VNB]JJZEBDE%XUCMPR^OV\65<]9%BS[G@/FGM[V_IKVCEW5:R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8?6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,WZGTQGIT^R\JG208Q5)NW_CD#JKA_R[MGZOIS1>V"^WAC^KM[FCKD&YT_T@L_G]QAB553\:$ERXFO.EFJZU^FJUBBV6;]/QZJFYNFVIN@A!\_R[MGZTXZLM8>6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,QVCUWJF@S_KH2d9V4*OX^@E$KH@PSXL@[LH\0=W%_T@L_HL\G@JK'\YN^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS1>V"^WAC^KM[FCKD&_XI_Q]EF0e?P6(AV\BC"IJN^QZJFYNFR2?Q#]VNB]JJZEBDE%^SKOCLHLD6`=R8&CTZDA GDL\W\HDW@DP49S!SXL@[LHXKLFG#XQ]IRKMC67<]9%BS[G@/FGM[V_IKVCEW5:R.R[MGZOIWJOG@"[PSUCWGHBXf;o0Y=!F_WKL+BCIWZSEORGA[96^*V_IKVCESNKCL.W\W\HDWO8n7X> I^TJK*ABFVYRBNQFNZ:7Y+U^FJUBBRMJLM-V[V_IKVX896[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,evikVg~tRhPotv01>S7'@U]EB!HEO]P]KEXAGQ38P \YOA\MKYDMEF$m~wac^ov|ZtXg|~9h6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,giidcln9h6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,bdjkagm8=6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,bdjkagmTcxz=d:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(nm;Tcxz=d:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(nm8Tcxz=d:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(nm9Tcxz=d:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(nm>Tcxz=d:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(nm?Tcxz=e:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(e|r:Sb{{2b9V4*OX^@E$KH@PSXL@[LH\0=W%_T@L_HL\G@JK'{cxeci<0:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ(z`ybbjQ`uu1f?P6(AV\BC"IJN^QZJFYNFR2?Q#]VNB]JJZEBDE%xucmPf^ggc`)NWA8G"XQKOTV0=>S7'@U]EB!HEO]P]KEXAGQ38P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY><9:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ({pdhSkQjdfg,QZBH]];8m6[?/H]UMJ)@MGUXUCMPIOY;0X(TQGITECQLEMN,w|hdWoUnhjk iEMVP969;h1^<"GPVHM,C@HX[PDHSD@T85_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>2:66<]9%BS[G@/FGM[V_IKVCEW5:R.R[MGZOIWJOG@"}vnb]e[jss;=1^<"GPVHM,C@HX[PDHSD@T85_-W\HDW@DTOHBC/r{mgZkrpVlTcxz<4:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5b3\:$ERXFO.EFJZU^FJUBBV6;]/QZJFYNFVIN@A!|yoa\vZccol%BSA<G.T]GKPR412_;#DQYIN-DAKYTQGITECU74\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ38P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]?<T$XUCMPIO]@AIJ({pdhSQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX<1[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[96^*V_IKVCESNKCL.qzjfYuWf>k5Z0.K\RLI(OLDT_T@L_HLX<1[)[PDHSD@PCDNO+pubzVceSc=>;T2,MZPNG&MNBR]VNB]JJ^>2U'YRBNQFN^AFHI)F[PDHSKQBUY12?P6(AV\BC"IJN^QZJFYNFR2>Q#]VNB]JJZEBDE%J_T@L_S]NQ]4a3\:$ERXFO.EFJZU^FJUBBV6:]/QZJFYNFVIN@A!\HHDW[WC@;91^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/R[MGZ@XE\R8<6[?/H]UMJ)@MGUXUCMPIOY;1X(TQGITECQLEMN,W\HDW[UFYU=<;T2,MZPNG&MNBR]VNB]JJ^>2U'YRBNQFN^AFHI)TWHYRBNQI_SGD76=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#^QNSXL@[WYUMN997X> I^TJK*ABFVYRBNQFNZ:6Y+U^FJUBBRMJLM-P[V_IKVLT^HI<2:W3+LYQAF%LICQ\YOA\MK]?=T$XUCMPIO]@AIJ([VYRBNQ]_SGD77=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#X]JR^AOOZTBO;o0Y=!F_WKL+BCIWZSEORGA[97^*V_IKVCESNKCL.WPAWYJ]Q8n7X> I^TJK*ABFVYRBNQFNZ:6Y+U^FJUBBRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPIOY;1X(TQGITECQLEMN,QZ@FDECEK?k4U1-J[SOH'NOES^WAC^KM_=3Z&ZSEORGA_BGOH*SXZ@YBBJ=>;T2,MZPNG&MNBR]VNB]JJ^>2U'YRBNQFN^AFHI)RWZ^JXNCK_o0f?P6(AV\BC"IJN^QZJFYNFR2>Q#]VNB]JJZEBDE%^S^WAC^D1a>S7'@U]EB!HEO]P]KEXAGQ39P \YOA\MKYDMEF$YR]VNB]Q70=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#l}vnb]nq}YaWf?85Z0.K\RLI(OLDT_T@L_HLX<0[)[PDHSD@PCDNO+du~fjUfyuQ}_nww6a=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#nb`cjgg6a=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#koclhld74=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#koclhld[jss:m1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/gf2[jss:m1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/gf1[jss:m1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/gf0[jss:m1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/gf7[jss:m1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/gf6[jss:l1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/lw{5Zir|;i0Y=!F_WKL+BCIWZSEORGA[97^*V_IKVCESNKCL.pjwlh`;91^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/skpmkaXg|~8i6[?/H]UMJ)@MGUXUCMPIOY;1X(TQGITECQLEMN,w|hdWoUnhjk I^J1H+SXLF__?45Z0.K\RLI(OLDT_T@L_HLX<0[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR7;01^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/r{mgZ`Xmmmn#XQKOTV27d=R8&CTZDA GDL\W\HDW@DP48S!SXL@[LHXKLFG#~wac^d\aaab'`NDYY2?>2c8Q5)NW_CD#JKA_R[MGZOIS1?V"^WAC^KM[FCKD&yrbnQi_dfda*oCG\^7=3=?;T2,MZPNG&MNBR]VNB]JJ^>2U'YRBNQFN^AFHI)tqgiTjRazt268Q5)NW_CD#JKA_R[MGZOIS1?V"^WAC^KM[FCKD&yrbnQbuy]e[jss;=1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/r{mgZkrpVxTcxz<e:W3+LYQAF%LICQ\YOA\MK]?=T$XUCMPIO]@AIJ({pdhSQjdfg,MZJ5@'_THB[[389V4*OX^@E$KH@PSXL@[LH\0<W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX<0[)[PDHSD@PCDNO+vikVxTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/r{mgZtXmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW5;R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR2>Q#]VNB]JJZEBDE%xucmPr^mvp7`<]9%BS[G@/FGM[V_IKVCEW5;R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU76\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY;2X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]?>T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP4;S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU76\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ3:P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T87_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR2=Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ3:P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP4;S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV69]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU76\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY;2X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX<3[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW58R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR2=Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP4;S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW58R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_=0Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ:5Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU76\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ:5Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T87_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[94^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW58R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^>1U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY;2X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^>1U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\0>W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP4:S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T86_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_=1Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\0>W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX<2[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS1=V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY;3X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX<2[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_=1Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]??T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\0>W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP4:S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW59R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^>0U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY;3X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_=1Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^>0U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV68]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ3;P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\0>W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ3;P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS1=V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR2<Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^>0U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ:4Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU77\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP4:S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU77\,P]KEXAGUHIAB urgq[lhXf:;0Y=!F_WKL+BCIWZSEORGA[9:^*V_IKVCESNKCL.CP]KEXNVG^T>?4U1-J[SOH'NOES^WAC^KM_=>Z&ZSEORGA_BGOH*GTQGIT^RCZX3d8Q5)NW_CD#JKA_R[MGZOIS12V"^WAC^KM[FCKD&YCEKZPRDE04>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$_T@L_G]NQ]573\:$ERXFO.EFJZU^FJUBBV67]/QZJFYNFVIN@A!\YOA\VZKRP:90Y=!F_WKL+BCIWZSEORGA[9:^*V_IKVCESNKCL.Q\EV_IKVLT^HI<3:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ([VKXUCMPR^PFC64<]9%BS[G@/FGM[V_IKVCEW56R.R[MGZOIWJOG@"]PSXL@[CYUMN997X> I^TJK*ABFVYRBNQFNZ:;Y+U^FJUBBRMJLM-P[V_IKVXT^HI<2:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ(]ZOYSNBD_SGD6`=R8&CTZDA GDL\W\HDW@DP45S!SXL@[LHXKLFG#X]JR^OV\7c<]9%BS[G@/FGM[V_IKVCEW56R.R[MGZOIWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUBBV67]/QZJFYNFVIN@A!Z_GCOHLH@:l1^<"GPVHM,C@HX[PDHSD@T89_-W\HDW@DTOHBC/T]QMVOIO:;0Y=!F_WKL+BCIWZSEORGA[9:^*V_IKVCESNKCL.W\WQGSKDNTb?k4U1-J[SOH'NOES^WAC^KM_=>Z&ZSEORGA_BGOH*SX[PDHSK<j;T2,MZPNG&MNBR]VNB]JJ^>?U'YRBNQFN^AFHI)RWZSEOR\<5:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ(izseoRczx^d\kpr4=2_;#DQYIN-DAKYTQGITECU78\,P]KEXAGUHIAB ar{mgZkrpVxTcxz=d:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ(keehghj=d:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ(nhfgeci<1:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ(nhfgeciPotv1`>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$ji?Potv1`>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$ji<Potv1`>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$ji=Potv1`>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$ji:Potv1`>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$ji;Potv1a>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$axv>_nww6f=R8&CTZDA GDL\W\HDW@DP45S!SXL@[LHXKLFG#g|ioe04>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$~d}fnf]lqq5b3\:$ERXFO.EFJZU^FJUBBV67]/QZJFYNFVIN@A!|yoa\bZccol%BSE<C.T]GKPR412_;#DQYIN-DAKYTQGITECU78\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20=>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$t`l_g]f`bc(]VNDYY?<a:W3+LYQAF%LICQ\YOA\MK]?0T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?l5Z0.K\RLI(OLDT_T@L_HLX<=[)[PDHSD@PCDNO+vikVlTiiij/hFLQQ:66::0Y=!F_WKL+BCIWZSEORGA[9:^*V_IKVCESNKCL.qzjfYaWf?95Z0.K\RLI(OLDT_T@L_HLX<=[)[PDHSD@PCDNO+vikVg~tRhPotv00>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$t`l_lw{[wYh}}9n7X> I^TJK*ABFVYRBNQFNZ:;Y+U^FJUBBRMJLM-p}keXzVookh!F_M0K*PYCG\^856[?/H]UMJ)@MGUXUCMPIOY;<X(TQGITECQLEMN,w|hdW{Unhjk U^FLQQ6412_;#DQYIN-DAKYTQGITECU78\,P]KEXAGUHIAB sxl`[wYblno$YRJ@UU30e>S7'@U]EB!HEO]P]KEXAGQ34P \YOA\MKYDMEF$t`l_s]f`bc(aME^X1>13`9V4*OX^@E$KH@PSXL@[LH\01W%_T@L_HL\G@JK'zseoR|Peeef+lBH]]6:2>>4U1-J[SOH'NOES^WAC^KM_=>Z&ZSEORGA_BGOH*u~fjUySb{{2g9V4*OX^@E$KH@PSXL@[LH\01W%_T@L_HL\G@JK'|yn~Rga_o12?P6(AV\BC"IJN^QZJFYNFR22Q#]VNB]JJZEBDE%J_T@L_G]NQ]563\:$ERXFO.EFJZU^FJUBBV66]/QZJFYNFVIN@A!NSXL@[WYJ]Q8m7X> I^TJK*ABFVYRBNQFNZ::Y+U^FJUBBRMJLM-PLL@SW[OL?=5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+V_IKVLTAXV<0:W3+LYQAF%LICQ\YOA\MK]?1T$XUCMPIO]@AIJ([PDHS_QBUY10?P6(AV\BC"IJN^QZJFYNFR22Q#]VNB]JJZEBDE%XSL]VNB]E[WC@;:1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/R]BW\HDW[UYIJ==;T2,MZPNG&MNBR]VNB]JJ^>>U'YRBNQFN^AFHI)TWZSEORHPRDE06>S7'@U]EB!HEO]P]KEXAGQ35P \YOA\MKYDMEF$_R]VNB]Q[WC@;;1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/TQFVZEKCVXNK?k4U1-J[SOH'NOES^WAC^KM_=?Z&ZSEORGA_BGOH*STM[UFYU<j;T2,MZPNG&MNBR]VNB]JJ^>>U'YRBNQFN^AFHI)R[LXT^HI=f:W3+LYQAF%LICQ\YOA\MK]?1T$XUCMPIO]@AIJ(]VLJ@AGAG3g8Q5)NW_CD#JKA_R[MGZOIS13V"^WAC^KM[FCKD&_T^D]FNF12?P6(AV\BC"IJN^QZJFYNFR22Q#]VNB]JJZEBDE%^S^ZNTBOG[k4b3\:$ERXFO.EFJZU^FJUBBV66]/QZJFYNFVIN@A!Z_R[MGZ@5m2_;#DQYIN-DAKYTQGITECU79\,P]KEXAGUHIAB U^QZJFYU;<1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/`qzjfYj}qUmSb{{349V4*OX^@E$KH@PSXL@[LH\00W%_T@L_HL\G@JK'hyrbnQbuy]q[jss:m1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/bnlgncc:m1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/gcohlh`;81^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/gcohlh`Wf>i5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+cb6Wf>i5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+cb5Wf>i5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+cb4Wf>i5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+cb3Wf>i5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+cb2Wf>h5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+hs9Ve~x?m4U1-J[SOH'NOES^WAC^KM_=?Z&ZSEORGA_BGOH*tn{`dl?=5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+wotagmTcxz<e:W3+LYQAF%LICQ\YOA\MK]?1T$XUCMPIO]@AIJ({pdhSkQjdfg,MZN5D'_THB[[389V4*OX^@E$KH@PSXL@[LH\00W%_T@L_HL\G@JK'zseoRhPeeef+PYCG\^;?45Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+vikVlTiiij/T]GKPR6;h1^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/r{mgZ`Xmmmn#dJ@UU>3:6g<]9%BS[G@/FGM[V_IKVCEW57R.R[MGZOIWJOG@"}vnb]e[`b`m&cOCXZ31?13?P6(AV\BC"IJN^QZJFYNFR22Q#]VNB]JJZEBDE%xucmPf^mvp62<]9%BS[G@/FGM[V_IKVCEW57R.R[MGZOIWJOG@"}vnb]nq}YaWf?95Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+vikVg~tR|Potv0a>S7'@U]EB!HEO]P]KEXAGQ35P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7<=R8&CTZDA GDL\W\HDW@DP44S!SXL@[LHXKLFG#~wac^p\aaab'\UOCXZ?389V4*OX^@E$KH@PSXL@[LH\00W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?l5Z0.K\RLI(OLDT_T@L_HLX<<[)[PDHSD@PCDNO+vikVxTiiij/hFLQQ:76:k0Y=!F_WKL+BCIWZSEORGA[9;^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?5;573\:$ERXFO.EFJZU^FJUBBV66]/QZJFYNFVIN@A!|yoa\vZir|;l0Y=!F_WKL+BCIWZSEORGA[9;^*V_IKVCESNKCL.wpawYnfVd8<6[?/H]UMJ)@MGUXUCMPIOY;Y+U^FJUBBRMJLM-BW\HDWOUFYU=?;T2,MZPNG&MNBR]VNB]JJ^>Z&ZSEORGA_BGOH*GTQGIT^RCZX3g8Q5)NW_CD#JKA_R[MGZOIS1W%_T@L_HL\G@JK'ZBBJYQ]EF0e?P6(AV\BC"IJN^QZJFYNFR2V"^WAC^KM[FCKD&YRBNQI_LW[6c=R8&CTZDA GDL\W\HDW@DP4P \YOA\MKYDMEF$_T@L_S]NQ]553\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"]PAR[MGZ@XZLM8>6[?/H]UMJ)@MGUXUCMPIOY;Y+U^FJUBBRMJLM-P[DU^FJUYS_KH309V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ([VYRBNQI_SGD74=R8&CTZDA GDL\W\HDW@DP4P \YOA\MKYDMEF$_R]VNB]Q[WC@;81^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB URGQ[FJLW[OL>i5Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,QVCUWD_S>i5Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,QVCUW[OL>h5Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,QZ@FDECEK?j4U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+PYUAZCEK>>4U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+PYT\H^HAIQa2e9V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ(]VYRBNQI2e9V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ(]VYRBNQ]359V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ(izseoRczx^d\kpr4<2_;#DQYIN-DAKYTQGITECU7]/QZJFYNFVIN@A!nsxl`[hsW{Udyy<l;T2,MZPNG&MNBR]VNB]JJ^>Z&ZSEORGA_BGOH*ekgjanh?m4U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+cgkd`dl?=5Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,bdjkagmTcxz=c:W3+LYQAF%LICQ\YOA\MK]?U'YRBNQFN^AFHI)al8Udyy<l;T2,MZPNG&MNBR]VNB]JJ^>Z&ZSEORGA_BGOH*`c:Ve~x?m4U1-J[SOH'NOES^WAC^KM_=[)[PDHSD@PCDNO+cb4Wf>n5Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,ba2Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY;Y+U^FJUBBRMJLM-e`0Yh}}8o7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.ov|4Yh}}8i7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.pjwlh`:o1^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB rhqjjbYh}}9o7X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.qzjfYaWlnli"GPH3N-QZBH]]937X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.qzjfYaWlnli"[PDNWW46><]9%BS[G@/FGM[V_IKVCEW5S!SXL@[LHXKLFG#~wac^d\aaab'\UOCXZ>389V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ({pdhSkQjdfg,mAIR\5:5?45Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,w|hdWoUnhjk iEMVP979:o1^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB sxl`[cYh}}987X> I^TJK*ABFVYRBNQFNZ:^*V_IKVCESNKCL.qzjfYj}qUmSb{{329V4*OX^@E$KH@PSXL@[LH\0T$XUCMPIO]@AIJ({pdhS`{w_s]lqq5c3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"}vnb]q[`b`m&CT@?F!U^FLQQ5?3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[02:8Q5)NW_CD#JKA_R[MGZOIS1W%_T@L_HL\G@JK'zseoR|Peeef+PYCG\^:?45Z0.K\RLI(OLDT_T@L_HLX<X(TQGITECQLEMN,w|hdW{Unhjk iEMVP969;01^<"GPVHM,C@HX[PDHSD@T8\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=6c=R8&CTZDA GDL\W\HDW@DP4P \YOA\MKYDMEF$t`l_s]lqq4b3\:$ERXFO.EFJZU^FJUBBV6R.R[MGZOIWJOG@"{|es]jjZh492_;#DQYIN-DAKYTQGITECU60\,P]KEXAGUHIAB AR[MGZ@XE\R8=6[?/H]UMJ)@MGUXUCMPIOY:4X(TQGITECQLEMN,EV_IKVXTAXV=f:W3+LYQAF%LICQ\YOA\MK]>8T$XUCMPIO]@AIJ([ACMXR\JG228Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&YRBNQI_LW[75=R8&CTZDA GDL\W\HDW@DP5=S!SXL@[LHXKLFG#^WAC^P\IP^4;2_;#DQYIN-DAKYTQGITECU60\,P]KEXAGUHIAB S^CP]KEXNVXNK>=4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*UXIZSEOR\PRDE06>S7'@U]EB!HEO]P]KEXAGQ2<P \YOA\MKYDMEF$_R]VNB]E[WC@;;1^<"GPVHM,C@HX[PDHSD@T91_-W\HDW@DTOHBC/R]P]KEXZVXNK><4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*STM[UH@FQ]EF0f?P6(AV\BC"IJN^QZJFYNFR3;Q#]VNB]JJZEBDE%^_H\PMTZ1a>S7'@U]EB!HEO]P]KEXAGQ2<P \YOA\MKYDMEF$Y^K]_SGD6c=R8&CTZDA GDL\W\HDW@DP5=S!SXL@[LHXKLFG#XQIAMNJJB4b3\:$ERXFO.EFJZU^FJUBBV7?]/QZJFYNFVIN@A!Z_SKPMKA492_;#DQYIN-DAKYTQGITECU60\,P]KEXAGUHIAB U^QWEQEJLVd9i6[?/H]UMJ)@MGUXUCMPIOY:4X(TQGITECQLEMN,QZU^FJUM>h5Z0.K\RLI(OLDT_T@L_HLX=5[)[PDHSD@PCDNO+PYTQGIT^>;4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp63<]9%BS[G@/FGM[V_IKVCEW4>R.R[MGZOIWJOG@"o|yoa\ip~XzVe~x?j4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*ekgjanh?j4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*`fdecek>?4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*`fdecekRazt3f8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&lo=Razt3f8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&lo>Razt3f8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&lo?Razt3f8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&lo8Razt3f8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&lo9Razt3g8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&g~t<Q`uu0`?P6(AV\BC"IJN^QZJFYNFR3;Q#]VNB]JJZEBDE%ye~gag228Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&xbd`h_nww7`=R8&CTZDA GDL\W\HDW@DP5=S!SXL@[LHXKLFG#~wac^d\aaab'@UC>A Z_EMVP6?<]9%BS[G@/FGM[V_IKVCEW4>R.R[MGZOIWJOG@"}vnb]e[`b`m&_THB[[02;8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&yrbnQi_dfda*SXLF__=>o4U1-J[SOH'NOES^WAC^KM_<6Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;879j7X> I^TJK*ABFVYRBNQFNZ;3Y+U^FJUBBRMJLM-p}keXnVookh!fDNWW848482_;#DQYIN-DAKYTQGITECU60\,P]KEXAGUHIAB sxl`[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ;3Y+U^FJUBBRMJLM-p}keXe|rTjRazt268Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&yrbnQbuy]q[jss;l1^<"GPVHM,C@HX[PDHSD@T91_-W\HDW@DTOHBC/r{mgZtXmmmn#DQC2I,V[AIR\:30Y=!F_WKL+BCIWZSEORGA[82^*V_IKVCESNKCL.qzjfYuWlnli"[PDNWW46?<]9%BS[G@/FGM[V_IKVCEW4>R.R[MGZOIWJOG@"}vnb]q[`b`m&_THB[[12c8Q5)NW_CD#JKA_R[MGZOIS0:V"^WAC^KM[FCKD&yrbnQ}_dfda*oCG\^7<3=n;T2,MZPNG&MNBR]VNB]JJ^?7U'YRBNQFN^AFHI)tqgiT~Rkkgd-j@JSS4848<6[?/H]UMJ)@MGUXUCMPIOY:4X(TQGITECQLEMN,w|hdW{Udyy<i;T2,MZPNG&MNBR]VNB]JJ^?7U'YRBNQFN^AFHI)r{lxTecQa309V4*OX^@E$KH@PSXL@[LH\18W%_T@L_HL\G@JK'HYRBNQI_LW[74=R8&CTZDA GDL\W\HDW@DP5<S!SXL@[LHXKLFG#L]VNB]Q[HS_:o1^<"GPVHM,C@HX[PDHSD@T90_-W\HDW@DTOHBC/RJJBQYUMN9;7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-P]KEXNVG^T>>4U1-J[SOH'NOES^WAC^KM_<7Z&ZSEORGA_BGOH*U^FJUYS@[W329V4*OX^@E$KH@PSXL@[LH\18W%_T@L_HL\G@JK'ZUJ_T@L_G]QAB543\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!\_@QZJFYUW[OL??5Z0.K\RLI(OLDT_T@L_HLX=4[)[PDHSD@PCDNO+VYTQGITJR\JG208Q5)NW_CD#JKA_R[MGZOIS0;V"^WAC^KM[FCKD&YT_T@L_S]QAB553\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!ZSDP\GIMXZLM9i6[?/H]UMJ)@MGUXUCMPIOY:5X(TQGITECQLEMN,QVCUWD_S>h5Z0.K\RLI(OLDT_T@L_HLX=4[)[PDHSD@PCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^KM_<7Z&ZSEORGA_BGOH*SXNHFGECI=e:W3+LYQAF%LICQ\YOA\MK]>9T$XUCMPIO]@AIJ(]VXB_D@H309V4*OX^@E$KH@PSXL@[LH\18W%_T@L_HL\G@JK'\UXXLZLME]m6`=R8&CTZDA GDL\W\HDW@DP5<S!SXL@[LHXKLFG#XQ\YOA\B7c<]9%BS[G@/FGM[V_IKVCEW4?R.R[MGZOIWJOG@"[PSXL@[W523\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!nsxl`[hsWoUdyy=:;T2,MZPNG&MNBR]VNB]JJ^?6U'YRBNQFN^AFHI)f{pdhS`{w_s]lqq4c3\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!llnahaa4c3\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!iamnjjb563\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!iamnjjbYh}}8o7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-e`4Yh}}8o7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-e`7Yh}}8o7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-e`6Yh}}8o7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-e`1Yh}}8o7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-e`0Yh}}8n7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-nq}7Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY:5X(TQGITECQLEMN,vlunfn9;7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-qmvoioVe~x>k4U1-J[SOH'NOES^WAC^KM_<7Z&ZSEORGA_BGOH*u~fjUmShjhe.K\L7J)]VNDYY=6;T2,MZPNG&MNBR]VNB]JJ^?6U'YRBNQFN^AFHI)tqgiTjRkkgd-V[AIR\9927X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-p}keXnVookh!Z_EMVP45f3\:$ERXFO.EFJZU^FJUBBV7>]/QZJFYNFVIN@A!|yoa\bZccol%bHB[[<1<0e>S7'@U]EB!HEO]P]KEXAGQ2=P \YOA\MKYDMEF$t`l_g]f`bc(aME^X1?1319V4*OX^@E$KH@PSXL@[LH\18W%_T@L_HL\G@JK'zseoRhPotv00>S7'@U]EB!HEO]P]KEXAGQ2=P \YOA\MKYDMEF$t`l_lw{[cYh}}9?7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-p}keXe|rT~Razt2g8Q5)NW_CD#JKA_R[MGZOIS0;V"^WAC^KM[FCKD&yrbnQ}_dfda*OXD;B%YRJ@UU1:?P6(AV\BC"IJN^QZJFYNFR3:Q#]VNB]JJZEBDE%xucmPr^ggc`)RWME^X==6;T2,MZPNG&MNBR]VNB]JJ^?6U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\89j7X> I^TJK*ABFVYRBNQFNZ;2Y+U^FJUBBRMJLM-p}keXzVookh!fDNWW8584i2_;#DQYIN-DAKYTQGITECU61\,P]KEXAGUHIAB sxl`[wYblno$eIAZT=3=75=R8&CTZDA GDL\W\HDW@DP5<S!SXL@[LHXKLFG#~wac^p\kpr5n2_;#DQYIN-DAKYTQGITECU61\,P]KEXAGUHIAB urgq[lhXf::0Y=!F_WKL+BCIWZSEORGA[8_-W\HDW@DTOHBC/@QZJFYAWD_S?=5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,EV_IKVXTAXV=e:W3+LYQAF%LICQ\YOA\MK]>U'YRBNQFN^AFHI)T@@L_S_KH2g9V4*OX^@E$KH@PSXL@[LH\1T$XUCMPIO]@AIJ([PDHSKQBUY0e?P6(AV\BC"IJN^QZJFYNFR3V"^WAC^KM[FCKD&YRBNQ]_LW[77=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$_RO\YOA\BZTBO:80Y=!F_WKL+BCIWZSEORGA[8_-W\HDW@DTOHBC/R]BW\HDW[UYIJ=>;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*UX[PDHSKQ]EF12?P6(AV\BC"IJN^QZJFYNFR3V"^WAC^KM[FCKD&YT_T@L_S]QAB563\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"[\ES]@HNYUMN8o7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.WPAWYJ]Q8o7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.WPAWYUMN8n7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.W\BDJKAGM9h6[?/H]UMJ)@MGUXUCMPIOY:Y+U^FJUBBRMJLM-V[WOTAGM8<6[?/H]UMJ)@MGUXUCMPIOY:Y+U^FJUBBRMJLM-V[VRF\JGOSc<k;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*SX[PDHSK<k;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*SX[PDHS_=;;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*gtqgiTaxvPf^mvp62<]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#l}vnb]nq}YuWf>n5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,giidcln9o6[?/H]UMJ)@MGUXUCMPIOY:Y+U^FJUBBRMJLM-eeijnfn9;7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.dbhioioVe~x?m4U1-J[SOH'NOES^WAC^KM_<[)[PDHSD@PCDNO+cb6Wf>n5Z0.K\RLI(OLDT_T@L_HLX=X(TQGITECQLEMN,ba4Xg|~9o6[?/H]UMJ)@MGUXUCMPIOY:Y+U^FJUBBRMJLM-e`6Yh}}8h7X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.dg0Zir|;i0Y=!F_WKL+BCIWZSEORGA[8_-W\HDW@DTOHBC/gf6[jss:m1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB mtz2[jss:k1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB rhqjjb4a3\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"|fshld[jss;m1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB sxl`[cYblno$ERF=L/W\@JSS;11^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB sxl`[cYblno$YRJ@UU20<>S7'@U]EB!HEO]P]KEXAGQ2Q#]VNB]JJZEBDE%xucmPf^ggc`)RWME^X<=6;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*u~fjUmShjhe.kGKPR;87927X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.qzjfYaWlnli"gKOTV?5;4a3\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"}vnb]e[jss;:1^<"GPVHM,C@HX[PDHSD@T9\,P]KEXAGUHIAB sxl`[hsWoUdyy=<;T2,MZPNG&MNBR]VNB]JJ^?Z&ZSEORGA_BGOH*u~fjUfyuQ}_nww7a=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$t`l_s]f`bc(AVF9D#[PDNWW7==R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$t`l_s]f`bc(]VNDYY><8:W3+LYQAF%LICQ\YOA\MK]>U'YRBNQFN^AFHI)tqgiT~Rkkgd-V[AIR\8927X> I^TJK*ABFVYRBNQFNZ;^*V_IKVCESNKCL.qzjfYuWlnli"gKOTV?4;5>3\:$ERXFO.EFJZU^FJUBBV7R.R[MGZOIWJOG@"}vnb]q[`b`m&cOCXZ31?0e?P6(AV\BC"IJN^QZJFYNFR3V"^WAC^KM[FCKD&yrbnQ}_nww6`=R8&CTZDA GDL\W\HDW@DP5P \YOA\MKYDMEF$y~k}_hl\j63<]9%BS[G@/FGM[V_IKVE^XV>R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?k4U1-J[SOH'NOES^WAC^MVP^6Z&ZSEORAZT^AFHI)K]]UDYY=:;T2,MZPNG&MNBR]VNB]LQQ]7U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu4a3\:$ERXFO.EFJZU^FJUDYYU?]/QZJFYH]]UHIAB URGQ[WC@:o1^<"GPVHM,C@HX[PDHSB[[[1_-W\HDWF__SNKCL.mvpZrbey9>7X> I^TJK*ABFVYRBNQ@UUY2Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0f?P6(AV\BC"IJN^QZJFYH]]Q:Q#]VNB]LQQYDMEF$@XZPOTV01>S7'@U]EB!HEO]P]KEXG\^P=P \YOA\KPRXKLFG#^FFFU]LQQYsmdz9j6[?/H]UMJ)@MGUXUCMPOTVX5X(TQGITCXZPCDNO+PUBZVXNK?h4U1-J[SOH'NOES^WAC^MVP^7Z&ZSEORAZT^AFHI)h}}Ui`~<4:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/BK?5;533\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(K@692>:4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!LI=1=76=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*B;87987X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*EH]G^$H1?1329V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.F?6;543\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(L595?k5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT0\,DjM(RWD_SOT=n;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ GZ2^*PYAL[O856[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)DG\D_#JU?]/W\HPR4j2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ;Q#[PXNP@]6`<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)@S8W%KcF!U^OV\F_4i2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ:Q#[PFEPF7<=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\9T$^SA[[3c9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX5X(RWQEYOT=i;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ GZ0^*BhO&\UFYUMV3`9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX6X(RWONYI>74U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!H[3_-QZJR\:h0Y=!F_WKL+BCIWZSEORAZT^CG@W(TQGITCXZPAEFQ+FIRF]%LW?S!U^ZLVF_4i2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'NQ8Q#[PFEPF7<=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\;T$^SA[[3c9V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.EX7X(RWQEYOT=<;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ S=2=76=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*U;97987X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*EH]G^$_1<1329V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$OB[AT.Q?7;563\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.FO]4YUMN9:7X> I^TJK*ABFVYRBNQ@UU]B@AT)[PDHSB[[_@FGV*BKQ;UYIJ<i;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&F^XRMJ319V4*OX^@E$KH@PSXL@[JSSWHNO^#]VNB]LQQYFLMX$@XZPOTV05>S7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!nrl]gh|7492_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-bvhYcdp89j6[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)df}Uhi><4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'jdSk|eu03?P6(AV\BC"IJN^VQEKU)]VKYA"[PCMGBV4`<]9%BS[G@/FGM[QTFFZ$^SL\B/T]GKPR582_;#DQYIN-DAKYSZHDX"XQNRL-V[AIR\98;7X> I^TJK*ABFV^YMC]!U^CQI*SXLF__=?m4U1-J[SOH'NOESY\NNR,V[DTJ'\ULICQKEMCZ_4[)]VLO>=5Z0.K\RLI(OLDTX_OAS/W\EWK(]VXMH_K=0:W3+LYQAF%LICQ[R@LP*PYFZD%^SYHKRD07?P6(AV\BC"IJN^VQEKU)]VKYA"jfn^nbpfnbl8n0Y=!F_WKL+BCIW]XJB^ Z_@PN+lEKZ;:0Y=!F_WKL+BCIW]XJB^ Z_@PN+lBNF5:5>=5Z0.K\RLI(OLDTX_OAS/W\EWK(aMCE0<0=5:W3+LYQAF%LICQ[R@LP*PYFZD%bHB[[_enz8585=2_;#DQYIN-DAKYSZHDX"XQNRL-j@JSSWmfr0<0<7:W3+LYQAF%LICQZPECWAZIR\R8V"X^KAUG\G@JK'NOESNBD.TQFVZTBO::0Y=!F_WKL+BCIW\ZOMYKPOTVX6X(RXMK_IRMJLM-PLL@SW[OL?:5Z0.K\RLI(OLDTY]JNTD]LQQ]4U'_[HLZJ_BGOH*ABFVIGG#[\ES]QAB573\:$ERXFO.EFJZSWLH^NSB[[[2_-QUBF\LUHIAB SIKEPZTBO;l0Y=!F_WKL+BCIW\ZOMYKPOTVX7X(RXMK_IRMJLM-lqqYsmdz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b3\:$ERXFO.PFW@R6:2_;#DQYIN-PPDRXLF__=?5Z0.K\RLI(]YNJXH2?>008Q5)NW_CD#X^KAUG?5;743\:$ERXFO.W\@DRFWF__j6[?/H]UMJ)RWME^X<o4U1-J[SOH'\UXXLZZS.AECZEBWg827X> I^TJK*SX[]K_Y^!I_RVBP^6Z&@UXXLZ!U^QWEQ4>3\:$ERXFO.W\WQGS]Z%MS^ZNTZ3^*LYT\H^%YR][AU0:?P6(AV\BC"[PSUCWQV)AWZ^JXV<R.H]PPDR)]VY_MY<6;T2,MZPNG&_T_YO[UR-E[VRF\R9V"DQ\T@V-QZUSI]827X> I^TJK*SX[]K_Y^!I_RVBP^2Z&@UXXLZ!U^QWEQ4>3\:$ERXFO.W\WQGS]Z%MS^ZNTZ7^*LYT\H^%YR][AU0:?P6(AV\BC"[PSUCWQV)AWZ^JXV8R.H]PPDR)]VY_MY<6;T2,MZPNG&_T_YO[UR-E[VRF\R=V"DQ\T@V-QZUSI];j7X> I^TJK*SX[]K_Y^![DN]ma}r602_;#DQYIN-V[VRF\\Y$YRMJ_o17?P6(AV\BC"[PSUCWQV)RWZG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH::?0Y=!F_WKL+PYT\H^^_"[PSLW[+PYD[VG^T"G;.T]NQ]71'Dg~tRA=1248Q5)NW_CD#XQ\T@VVW*SX[D_S#XQLS^OV\*O3&\UFYU?9/Lov|ZI5999>7X> I^TJK*SX[]K_Y^!Z_ROV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@2316?P6(AV\BC"[PSUCWQV)RWZG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH::9>7X> I^TJK*SX[]K_Y^!Z_ROV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@2516?P6(AV\BC"[PSUCWQV)RWZG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH:<9>7X> I^TJK*SX[]K_Y^!Z_ROV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@2716?P6(AV\BC"[PSUCWQV)RWZG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH:>9>7X> I^TJK*SX[]K_Y^!Z_ROV\*SXKZUFYU!F4/W\IP^6>&GfyuQ@2916?P6(AV\BC"[PSUCWQV)RWZG^T"[PCR]NQ])N<'_TAXV>6.Onq}YH:0897X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&IB0<0=2:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!LI=0=67=R8&CTZDA U^QWEQST'\UXXLZPCOV,GL:46;80Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'JC783<=;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"MF<4<16>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-@M909:;1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(K@6<2??4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#I2?>338Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/E>2:77<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+A:56;;0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'M682??4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#I2;>338Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/E>6:77<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+A:16;;0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'M6<2?j4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU?]/EmL+SXE\RHU?64U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU?]/W\BATB:>1^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR:V"XQCUU0:?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX4X(RWQEYOT<k;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT1\,DjM(RWD_SOT<7;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT1\,V[CBUM;=0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ:Q#[PLTV1=>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_4[)]VRD^NW=d:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[3_-CkN)]VG^TNW=8:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[3_-QZ@CZL8<7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP>P Z_MWW6<=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^4Z&\USC_MV2e9V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ1^*BhO&\UFYUMV299V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ1^*PYAL[O9;6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW>S!U^NVP7?<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]4U'_TTB\LY3f8Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY7Y+Ai@'_TAXVLY3:8Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY7Y+SXNMXN>:5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV:R.T]OQQ4>3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\<T$^SUA]CX0g?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX1X(@fA$^S@[WCX0;?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX1X(RWONYI?94U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU:]/W\HPR512_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S<W%YRV@RB[1`>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_3[)OgB%YRCZXB[1<>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_3[)]VLO^H<8;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT6\,V[ISS:01^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR<V"XQWOSAZ6==R8&CTZDA U^QWEQST'\UXXLZPCOV,C^1Z&\UMH_K=7:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[6_-QZJR\;30Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ<Q#[PXNP@]77<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+V:76;;0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'Z6:2??4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#^2=>338Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/R>0:77<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+V:36;;0Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'Z6>2??4U1-J[SOH'\UXXLZZS.W\WQGSWJD_#^29>338Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/R>4:41<]9%BS[G@/T]PPDRR[&_TXIA>a:W3+LYQAF%^S^ZNTTQ,mVRF\5:5=l5Z0.K\RLI(]VY_MY[\/hQWEQ:668k0Y=!F_WKL+PYT\H^^_"g\T@V?6;7f3\:$ERXFO.W\WQGS]Z%b_YO[<2<2e>S7'@U]EB!Z_RVBPPU(aZ^JX1:11`9V4*OX^@E$YR][AUWP+lUSI]6>2<o4U1-J[SOH'\UXXLZZS.kPPDR;>7;j7X> I^TJK*SX[]K_Y^!fSUCW8286m2_;#DQYIN-V[VRF\\Y$e^ZNT^AMP9699l1^<"GPVHM,QZUSI]_X#d][AU]@JQ:668o0Y=!F_WKL+PYT\H^^_"g\T@V\GKR;:7;n7X> I^TJK*SX[]K_Y^!fSUCW[FHS4:4:i6[?/H]UMJ)RWZ^JXX] iRVBPZEI\5>5=h5Z0.K\RLI(]VY_MY[\/hQWEQYDF]6>2<k4U1-J[SOH'\UXXLZZS.kPPDRXKG^7:3?j;T2,MZPNG&_T_YO[UR-jWQGSWJD_0:0>0:W3+LYQAF%jhi|30?33?P6(AV\BC"okds>2:46<]9%BS[G@/`fgv949991^<"GPVHM,eabu4:49>6[?/H]UMJ)umzoSkQjdfg,MZN5D'_THB[[1e9V4*OX^@E$~h}jt^d\aaab'\UOCXZ?1e9V4*OX^@E$~h}jt^d\aaab'\UOCXZ>1d9V4*OX^@E$~h}jt^d\aaab'`NDYY2?>0g8Q5)NW_CD#k|eu]e[`b`m&cOCXZ31?a8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF5:ZLVF_13QY_@DL8;YQW[BHC?2RXXRXLCc9[[FIUMVCEJB84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6V|t29Zav5a3VUTTA@B_^]3[ZYR8&CTZDA GDL\W\HDW@DP9?S!SXL@[LHXKLFG#L]VNB]Q[HS_;l1TSRVCNL]\[467WVU^<"GPVHM,C@HX[PDHSD@T91_-W\HDW@DTOHBC/TQFVZKRP:o0SRQWLOO\[Z779VUTY=!F_WKL+BCIWZSEORGA[83^*V_IKVCESNKCL.WPAWYJ]Q9n7RQPXMLN[ZY68;UTSX> I^TJK*ABFVYRBNQFNZ74Y+U^FJUBBRMJLM-VW@TXE\R8i6QP_YNMIZYX999TSR[?/H]UMJ)@MGUXUCMPIOY6<X(TQGITECQLEMN,QVCUWD_S?h5P_^ZOJHYXW8:?SRQZ0.K\RLI(OLDT_T@L_HLX1<[)[PDHSD@PCDNO+PUBZVG^T>k4_^][HKKXWV;;9RQPU1-J[SOH'NOES^WAC^KM_30Z&ZSEORGA_BGOH*STM[UFYU=j;^]\\IHJWVU:<;QP_T2,MZPNG&MNBR]VNB]JJ^0?U'YRBNQFN^AFHI)R[LXTAXV<e:]\[]JIEVUT==9P_^W3+LYQAF%LICQ\YOA\MK]0>T$XUCMPIO]@AIJ(]ZOYS@[W3d9\[Z^KFDUTS<>7_^]V4*OX^@E$KH@PSXL@[LH\?1W%_T@L_HL\G@JK'\YN^RCZX2g8[ZY_DGGTSR??9^]\Q5)NW_CD#JKA_R[MGZOIS1<V"^WAC^KM[FCKD&_XI_QBUY63?ZYXPEDFSRQ>0^]\Q5)NW_CD#JKA_R[MGZOIS?8V"^WAC^KM[FCKD&KXUCMPR^OV\6c<WVUS@CCP_^324ZYX]9%BS[G@/FGM[V_IKVCEW56R.R[MGZOIWJOG@"[\ES]NQ]5b3VUTTA@B_^]254YXW\:$ERXFO.EFJZU^FJUBBV86]/QZJFYNFVIN@A!ZSDP\IP^4m2UTSUBAM^]\544XWV_;#DQYIN-DAKYTQGITECU89\,P]KEXAGUHIAB URGQ[HS_;l1TSRVCNL]\[474WVU^<"GPVHM,C@HX[PDHSD@T88_-W\HDW@DTOHBC/TQFVZKRP8l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i;^]\\IHJWVU:SRQZ0.K\RLI(OLDT_T@L_HLX16[)[PDHSD@PCDNO+DU^FJUYS@[W419\[Z^KFDUTS?>?_^]V4*OX^@E$KH@PSXL@[LH\<0W%_T@L_HL\G@JK'ZSEOR\PMTZ74>YXWQFEARQP213\[ZS7'@U]EB!HEO]P]KEXAGQ>=P \YOA\MKYDMEF$_T@L_S]NQ]5a3VUTTA@B_^]147YXW\:$ERXFO.EFJZU^FJUBBV?R.R[MGZOIWJOG@"]VNB]Q[HS_;o1TSRVCNL]\[764WVU^<"GPVHM,C@HX[PDHSD@T2\,P]KEXAGUHIAB SXL@[WYJ]Q9m7RQPXMLN[ZY58=UTSX> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.QZJFYUWD_S?k5P_^ZOJHYXW;:>SRQZ0.K\RLI(OLDT_T@L_HLX0X(TQGITECQLEMN,W\HDW[UFYU=j;^]\\IHJWVU9<;QP_T2,MZPNG&MNBR]VNB]JJ^37U'YRBNQFN^AFHI)R[LXTAXV<d:]\[]JIEVUT>=9P_^W3+LYQAF%LICQ\YOA\MK]6U'YRBNQFN^AFHI)R[LXTAXV<e:]\[]JIEVUT>=6P_^W3+LYQAF%LICQ\YOA\MK]69T$XUCMPIO]@AIJ(]ZOYS@[W3d9\[Z^KFDUTS?>6_^]V4*OX^@E$KH@PSXL@[LH\9:W%_T@L_HL\G@JK'\YN^RCZX528[ZY_DGGTSR<?_^]V4*OX^@E$KH@PSXL@[LH\?;W%_T@L_HL\G@JK'HYRBNQ]_LW[7`=XWVRGB@QP_333[ZYR8&CTZDA GDL\W\HDW@DP=8S!SXL@[LHXKLFG#X]JR^OV\6c<WVUS@CCP_^025ZYX]9%BS[G@/FGM[V_IKVCEW<9R.R[MGZOIWJOG@"[\ES]NQ]5c3VUTTA@B_^]157YXW\:$ERXFO.EFJZU^FJUBBV<R.R[MGZOIWJOG@"[\ES]NQ]5b3VUTTA@B_^]156YXW\:$ERXFO.EFJZU^FJUBBV<>]/QZJFYNFVIN@A!ZSDP\IP^4m2UTSUBAM^]\642XWV_;#DQYIN-DAKYTQGITECU=3\,P]KEXAGUHIAB URGQ[HS_;l1TSRVCNL]\[772WVU^<"GPVHM,C@HX[PDHSD@T24_-W\HDW@DTOHBC/TQFVZKRP:o0SRQWLOO\[Z46>VUTY=!F_WKL+BCIWZSEORGA[35^*V_IKVCESNKCL.WPAWYJ]Q9o7RQPXMLN[ZY59>UTSX> I^TJK*ABFVYRBNQFNZ1^*V_IKVCESNKCL.WPAWYJ]Q9n7RQPXMLN[ZY591UTSX> I^TJK*ABFVYRBNQFNZ12Y+U^FJUBBRMJLM-VW@TXE\R8i6QP_YNMIZYX:83TSR[?/H]UMJ)@MGUXUCMPIOY07X(TQGITECQLEMN,QVCUWD_S8=5P_^ZOJHYXW;;TSR[?/H]UMJ)@MGUXUCMPIOY47X(TQGITECQLEMN,EV_IKVXTAXV<e:]\[]JIEVUT>?>P_^W3+LYQAF%LICQ\YOA\MK]4=T$XUCMPIO]@AIJ(]ZOYS@[W3d9\[Z^KFDUTS?<>_^]V4*OX^@E$KH@PSXL@[LH\;>W%_T@L_HL\G@JK'\YN^RCZX2f8[ZY_DGGTSR<=2^]\Q5)NW_CD#JKA_R[MGZOIS=W%_T@L_HL\G@JK'\YN^RCZX2g8[ZY_DGGTSR<=3^]\Q5)NW_CD#JKA_R[MGZOIS=;V"^WAC^KM[FCKD&_XI_QBUY1f?ZYXPEDFSRQ=25]\[P6(AV\BC"IJN^QZJFYNFR>8Q#]VNB]JJZEBDE%^_H\PMTZ0a>YXWQFEARQP237\[ZS7'@U]EB!HEO]P]KEXAGQ?9P \YOA\MKYDMEF$Y^K]_LW[7`=XWVRGB@QP_305[ZYR8&CTZDA GDL\W\HDW@DP8:S!SXL@[LHXKLFG#X]JR^OV\6b<WVUS@CCP_^013ZYX]9%BS[G@/FGM[V_IKVCEW4S!SXL@[LHXKLFG#X]JR^OV\6c<WVUS@CCP_^01<ZYX]9%BS[G@/FGM[V_IKVCEW?7R.R[MGZOIWJOG@"[\ES]NQ]5b3VUTTA@B_^]16<YXW\:$ERXFO.EFJZU^FJUBBV:6]/QZJFYNFVIN@A!ZSDP\IP^382UTSUBAM^]\67YXW\:$ERXFO.EFJZU^FJUBBV9;]/QZJFYNFVIN@A!NSXL@[WYJ]Q9o7RQPXMLN[ZY5;9UTSX> I^TJK*ABFVYRBNQFNZ2^*V_IKVCESNKCL.WPAWYJ]Q>;7RQPXMLN[ZY5;VUTY=!F_WKL+BCIWZSEORGA[67^*V_IKVCESNKCL.CP]KEXZVG^T9>4_^][HKKXWV8?SRQZ0.K\RLI(OLDT_T@L_HLX33[)[PDHSD@PCDNO+DU^FJUYS@[W419\[Z^KFDUTS?;P_^W3+LYQAF%LICQ\YOA\MK]0?T$XUCMPIO]@AIJ(IZSEOR\PMTZ74>YXWQFEARQP27]\[P6(AV\BC"IJN^QZJFYNFR=3Q#]VNB]JJZEBDE%J_T@L_S]NQ]273VUTTA@B_^]13ZYX]9%BS[G@/FGM[V_IKVCEW:7R.R[MGZOIWJOG@"O\YOA\VZKRP=:0SRQWLOO\[Z4?WVU^<"GPVHM,C@HX[PDHSD@T81_-W\HDW@DTOHBC/@QZJFYUWD_S8=5P_^ZOJHYXW;3TSR[?/H]UMJ)@MGUXUCMPIOY;5X(TQGITECQLEMN,EV_IKVXTAXV<f:]\[]JIEVUT>RQPU1-J[SOH'NOES^WAC^KM_02Z&ZSEORGA_BGOH*GTQGIT^RCZX528[ZY_DGGTSR=?_^]V4*OX^@E$KH@PSXL@[LH\0;W%_T@L_HL\G@JK'HYRBNQ]_LW[05=XWVRGB@QP_23\[ZS7'@U]EB!HEO]P]KEXAGQ3?P \YOA\MKYDMEF$M^WAC^P\IP^382UTSUBAM^]\77YXW\:$ERXFO.EFJZU^FJUBBV6;]/QZJFYNFVIN@A!NSXL@[WYJ]Q>;7RQPXMLN[ZY4;VUTY=!F_WKL+BCIWZSEORGA[97^*V_IKVCESNKCL.CP]KEXZVG^T9>4_^][HKKXWV9?SRQZ0.K\RLI(OLDT_T@L_HLX<3[)[PDHSD@PCDNO+DU^FJUYS@[W419\[Z^KFDUTS>;P_^W3+LYQAF%LICQ\YOA\MK]??T$XUCMPIO]@AIJ(IZSEOR\PMTZ74>YXWQFEARQP37]\[P6(AV\BC"IJN^QZJFYNFR23Q#]VNB]JJZEBDE%J_T@L_S]NQ]273VUTTA@B_^]03ZYX]9%BS[G@/FGM[V_IKVCEW57R.R[MGZOIWJOG@"O\YOA\VZKRP=:0SRQWLOO\[Z5?WVU^<"GPVHM,C@HX[PDHSD@T91_-W\HDW@DTOHBC/@QZJFYUWD_S8=5P_^ZOJHYXW:3TSR[?/H]UMJ)@MGUXUCMPIOY:5X(TQGITECQLEMN,EV_IKVXTAXV<f:]\[]JIEVUT?RQPU1-J[SOH'NOES^WAC^KM_03Z&ZSEORGA_BGOH*GTQGIT^RCZX2d8[ZY_DGGTSR:?_^]V4*OX^@E$KH@PSXL@[LH\=;W%_T@L_HL\G@JK'ZSEOR\PMTZ0b>YXWQFEARQP40]\[P6(AV\BC"IJN^QZJFYNFR?8Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^61[ZYR8&CTZDA GDL\W\HDW@DP99S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\06YXW\:$ERXFO.EFJZU^FJUBBV;:]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z23WVU^<"GPVHM,C@HX[PDHSD@T57_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX<<UTSX> I^TJK*ABFVYRBNQFNZ74Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV>=SRQZ0.K\RLI(OLDT_T@L_HLX1=[)[PDHSD@PCDNO+V_IKVXTAXV<f:]\[]JIEVUT8:QP_T2,MZPNG&MNBR]VNB]JJ^3>U'YRBNQFN^AFHI)TQGIT^RCZX2d8[ZY_DGGTSR:7_^]V4*OX^@E$KH@PSXL@[LH\>9W%_T@L_HL\G@JK'ZSEOR\PMTZ0b>YXWQFEARQP48]\[P6(AV\BC"IJN^QZJFYNFR<:Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^6\[ZS7'@U]EB!HEO]P]KEXAGQ>:P \YOA\MKYDMEF$M^WAC^P\IP^4n2UTSUBAM^]\15YXW\:$ERXFO.EFJZU^FJUBBV8=]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z36WVU^<"GPVHM,C@HX[PDHSD@T62_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX=;UTSX> I^TJK*ABFVYRBNQFNZ47Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV?8SRQZ0.K\RLI(OLDT_T@L_HLX20[)[PDHSD@PCDNO+V_IKVXTAXV<f:]\[]JIEVUT99QP_T2,MZPNG&MNBR]VNB]JJ^01U'YRBNQFN^AFHI)TQGIT^RCZX2d8[ZY_DGGTSR;:_^]V4*OX^@E$KH@PSXL@[LH\>>W%_T@L_HL\G@JK'ZSEOR\PMTZ0b>YXWQFEARQP57]\[P6(AV\BC"IJN^QZJFYNFR<3Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^74[ZYR8&CTZDA GDL\W\HDW@DP:4S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\1=YXW\:$ERXFO.EFJZU^FJUBBV9?]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z3>WVU^<"GPVHM,C@HX[PDHSD@T70_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX=VUTY=!F_WKL+BCIWZSEORGA[45^*V_IKVCESNKCL.CP]KEXZVG^T>h4_^][HKKXWV<;SRQZ0.K\RLI(OLDT_T@L_HLX37[)[PDHSD@PCDNO+V_IKVXTAXV<f:]\[]JIEVUT:<QP_T2,MZPNG&MNBR]VNB]JJ^14U'YRBNQFN^AFHI)TQGIT^RCZX2d8[ZY_DGGTSR8=_^]V4*OX^@E$KH@PSXL@[LH\?=W%_T@L_HL\G@JK'ZSEOR\PMTZ0b>YXWQFEARQP62]\[P6(AV\BC"IJN^QZJFYNFR=>Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^47[ZYR8&CTZDA GDL\W\HDW@DP;;S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\20YXW\:$ERXFO.EFJZU^FJUBBV98]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z01WVU^<"GPVHM,C@HX[PDHSD@T79_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX>>UTSX> I^TJK*ABFVYRBNQFNZ5:Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV<3SRQZ0.K\RLI(OLDT_T@L_HLX<5[)[PDHSD@PCDNO+V_IKVXTAXV<f:]\[]JIEVUT:4QP_T2,MZPNG&MNBR]VNB]JJ^>6U'YRBNQFN^AFHI)TQGIT^RCZX2d8[ZY_DGGTSR8P_^W3+LYQAF%LICQ\YOA\MK]20T$XUCMPIO]@AIJ(IZSEOR\PMTZ0b>YXWQFEARQP71]\[P6(AV\BC"IJN^QZJFYNFR29Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^52[ZYR8&CTZDA GDL\W\HDW@DP4>S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\37YXW\:$ERXFO.EFJZU^FJUBBV6;]/QZJFYNFVIN@A!\YOA\VZKRP:l0SRQWLOO\[Z14WVU^<"GPVHM,C@HX[PDHSD@T84_-W\HDW@DTOHBC/R[MGZTXE\R8j6QP_YNMIZYX?=UTSX> I^TJK*ABFVYRBNQFNZ:5Y+U^FJUBBRMJLM-P]KEXZVG^T>h4_^][HKKXWV=>SRQZ0.K\RLI(OLDT_T@L_HLX<2[)[PDHSD@PCDNO+V_IKVXTAXV<f:]\[]JIEVUT;;QP_T2,MZPNG&MNBR]VNB]JJ^>?U'YRBNQFN^AFHI)TQGIT^RCZX2d8[ZY_DGGTSR98_^]V4*OX^@E$KH@PSXL@[LH\00W%_T@L_HL\G@JK'ZSEOR\PMTZ0b>YXWQFEARQP79]\[P6(AV\BC"IJN^QZJFYNFR3;Q#]VNB]JJZEBDE%XUCMPR^OV\6`<WVUS@CCP_^5:[ZYR8&CTZDA GDL\W\HDW@DP5<S!SXL@[LHXKLFG#^WAC^P\IP^4n2UTSUBAM^]\3ZYX]9%BS[G@/FGM[V_IKVCEW87R.R[MGZOIWJOG@"O\YOA\VZKRP:n0SRQWLOO\[Z>7WVU^<"GPVHM,C@HX[PDHSD@T53_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>6WVU^<"GPVHM,C@HX[PDHSD@T52_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>5WVU^<"GPVHM,C@HX[PDHSD@T55_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>4WVU^<"GPVHM,C@HX[PDHSD@T54_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>3WVU^<"GPVHM,C@HX[PDHSD@T57_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>2WVU^<"GPVHM,C@HX[PDHSD@T61_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>1WVU^<"GPVHM,C@HX[PDHSD@T60_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>0WVU^<"GPVHM,C@HX[PDHSD@T63_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>?WVU^<"GPVHM,C@HX[PDHSD@T62_-W\HDW@DTOHBC/TQFVZKRP:n0SRQWLOO\[Z>>WVU^<"GPVHM,C@HX[PDHSD@T65_-W\HDW@DTOHBC/TQFVZKRP:l0SRQWLOO\[Z>XWV_;#DQYIN-DAKYTQGITECU90\,P]KEXAGUHIAB AR[MGZTXE\R8h6QP_YNMIZYX19UTSX> I^TJK*ABFVYRBNQFNZ53Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX18UTSX> I^TJK*ABFVYRBNQFNZ52Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1;UTSX> I^TJK*ABFVYRBNQFNZ51Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1:UTSX> I^TJK*ABFVYRBNQFNZ50Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1=UTSX> I^TJK*ABFVYRBNQFNZ57Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1<UTSX> I^TJK*ABFVYRBNQFNZ:3Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1?UTSX> I^TJK*ABFVYRBNQFNZ:2Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX1>UTSX> I^TJK*ABFVYRBNQFNZ:1Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX11UTSX> I^TJK*ABFVYRBNQFNZ:0Y+U^FJUBBRMJLM-VW@TXE\R8h6QP_YNMIZYX10UTSX> I^TJK*ABFVYRBNQFNZ:7Y+U^FJUBBRMJLM-VW@TXE\R8j6QP_YNMIZYX1VUTY=!F_WKL+BCIWZSEORGA[73^*V_IKVCESNKCL.CP]KEXZVG^T45nsxl`[hsm2kxucmPtscmwccul2ice}}loqg\rli6:2ice}}loqg\rliXn`ld??5lhhrpgjvbWcdSkgio-akmuudgyoTzdaPv0]31Zg+FFDN CAAE22a?fnnxzid|hQyin]emci+kac{nae^tjkZp6W9?Tm!ul_yal[iot|4Ida}aaeov\Jdkb5jbb|~m`pd]umjYaaoe0Icomld]P}keozfddy~QFnqww[Uiu|4~yyh5Jn``oaZU~fjbyccazs^MvpussWYeyx0z}ud9FjddkmVKxucmgrnllqvYNfyS]a}t<dbhvc<Mgki`hQNsxl`lwiig|yTCxzuu]Skwr:nhfxi6]vnbjqkkir{VCe|xzPPnpw[Roc|a72>6]vnbjqkkir{VE~x}{{_QmqpZQnl}b6>6O|yoakvjhh}zUBb}{{_QmqpZQnl}b646O|yoakvjhh}zUDyy~zt^RlvqYPam~c155FnwgqpZEkgjaTEc~zt<dbhvc+kVroi{glegcnmi:rpdz nQlosg\p|vb50&hS`hh_hf>5)eXeh`d~Rxjrrklj873$jUfeca}_wgqwlii5;&hSo{fle]qasotafd6<!mPcnpf[hgmg{U}i3>,b]`kwcXe`dd~Rxjr<0/gZehzlUfeca}_`nsldYqm{72;!mPssn22Zr~xl79 nQzsd]jjsYddb7; nQzsd]bw|hdW`d6<!mPurg\evikVe~x0>#c^wpaZu~fjUbb0?#c^wpaZu~fjUdyy3>,b]bw|hdW`dT{dj{h<:/gZgtqgiTcxzPwhfwl8>+kVyrbnQfn^uj`qn:1;&hS~wac^mvpZqnl}b6>!mPcnlppwgnf}Uu}k2eyvfvkgk$jUntlcld]gavo`f4~yyh"r309`llvtkfznS{g`_w3\40Yf$)Rb`d`w BMQA%Abflxjxb|/15,1=>eh}g~n~R?6;bmvjqcuW;;i7n}Pcnlwvjje}zUsyy`rjcqkphc>2ixS`{w8:ap[hs9?l0iiij_egwafrhzV;m7hjhe^ffp`esg{U9>6``8:tjkZehzl;=7{g`_nwwtprX}ynjxhQlemnb?sohWz~jxx}m;wkl[vikVceo6xfo^qzjfYh}};>7{g`_r{mgZir|VkohQheo33?}e0r98;!nbh412.6xFGx:o;n6NOx012f?@=:3;p_8;5130e>2e=9:9;:?>55c;7k75:m0:7c?=2d85?!75:j0:>?84}R0f>445n3=h6<=<0703>0dc<2n:?<l50;395~U2=3;9>k48c;30750583?i5;5yT9:94?7=93398v]:5;316c<0k3;8?=8=0;7a=3=#9;8>6;k4$6f9564c3k;8=o4?:919<d4=1;>pD<<=3:&ff?749k1Q=94<{d8b><<z,889n7?<1d9'5<<6;8i0(8:5123g?!>0291/4;4>2228m`0=83.<j7k:;o5f>5=<al>1<7*8f;g6?k1b2810eh<50;&4b?c23g=n6?54id394?"0n3o>7c9j:298m`6=83.<j7k:;o5f>1=<aml1<7*8f;g6?k1b2<10eik50;&4b?c23g=n6;54ief94?"0n3o>7c9j:698mae=83.<j7k:;o5f>==<amh1<7*8f;g6?k1b2010eio50;&4b?c23g=n6l54ie;94?"0n3o>7c9j:c98ma1=83.<j7k:;o5f>f=<am<1<7*8f;g6?k1b2m10ei;50;&4b?c23g=n6h54ie694?"0n3o>7c9j:g98ma5=83.<j7k:;o5f>46<3`n96=4+7g8f1>h0m3;:76gk1;29 2`=m<1e;h4>2:9j`5<72-=m6h;4n6g956=<ajl1<7*8f;g6?k1b28>07dmj:18'3c<b=2d<i7?:;:k`g?6=,>l1i85a7d822>=nkk0;6)9i:d78j2c=9>10eno50;&4b?c23g=n6<64;ha:>5<#?o0n96`8e;3:?>od03:1(:h5e49m3`<6i21bo:4?:%5e>`3<f>o1=o54ib494?"0n3o>7c9j:0a8?le2290/;k4j5:l4a?7c32ch87>5$6d9a0=i?l0:i65fc283>!1a2l?0b:k51g98mf7=83.<j7k:;o5f>76<3`i;6=4+7g8f1>h0m38:76gmf;29 2`=m<1e;h4=2:9jf`<72-=m6h;4n6g966=<akn1<7*8f;g6?k1b2;>07dll:18'3c<b=2d<i7<:;:kaf?6=,>l1i85a7d812>=njh0;6)9i:d78j2c=:>10eo750;&4b?c23g=n6?64;h`;>5<#?o0n96`8e;0:?>oe>3:1(:h5e49m3`<5i21bn84?:%5e>`3<f>o1>o54ic694?"0n3o>7c9j:3a8?ld4290/;k4j5:l4a?4c32ci>7>5$6d9a0=i?l09i65fb083>!1a2l?0b:k52g98mg6=83.<j7k:;o5f>66<3`km6=4+7g8f1>h0m39:76gne;29 2`=m<1e;h4<2:9jea<72-=m6h;4n6g976=<ahh1<7*8f;g6?k1b2:>07don:18'3c<b=2d<i7=:;:kb=?6=,>l1i85a7d802>=ni10;6)9i:d78j2c=;>10el950;&4b?c23g=n6>64;hc5>5<#?o0n96`8e;1:?>of=3:1(:h5e49m3`<4i21bm94?:%5e>`3<f>o1?o54i`194?"0n3o>7c9j:2a8?lg5290/;k4j5:l4a?5c32cj<7>5$6d9a0=i?l08i65f9g83>!1a2l?0b:k53g98m<c=83.<j7k:;o5f>16<3`3o6=4+7g8f1>h0m3>:76g6c;29 2`=m<1e;h4;2:9j=g<72-=m6h;4n6g906=<a0k1<7*8f;g6?k1b2=>07d76:18'3c<b=2d<i7::;:k:<?6=,>l1i85a7d872>=n1>0;6)9i:d78j2c=<>10e4;50;&4b?c23g=n6964;h;7>5<#?o0n96`8e;6:?>o>;3:1(:h5e49m3`<3i21b5?4?:%5e>`3<f>o18o54i8394?"0n3o>7c9j:5a8?l?7290/;k4j5:l4a?2c32c3j7>5$6d9a0=i?l0?i65f8d83>!1a2l?0b:k54g98m=b=83.<j7k:;o5f>06<3`2h6=4+7g8f1>h0m3?:76gj7;29 2`=m<1e;h4:2:9ja6<72-=m6h;4n6g916=<am21<7*8f;g6?k1b2<>07dmk:18'3c<b=2d<i7;:;:k`6?6=,>l1i85a7d862>=nj>0;6)9i:d78j2c==>10elm50;&4b?c23g=n6864;hc2>5<#?o0n96`8e;7:?>o>>3:1(:h5e49m3`<2i21b4o4?:%5e>`3<f>o19o54+5a94?"0n3>i7c9j:198/1g=83.<j7:m;o5f>4=<#=31<7*8f;6a?k1b2;10'9650;&4b?2e3g=n6>54+5594?"0n3>i7c9j:598/10=83.<j7:m;o5f>0=<#=>1<7*8f;6a?k1b2?10'9=50;&4b?2e3g=n6:54+5094?"0n3>i7c9j:998/17=83.<j7:m;o5f><=<#=:1<7*8f;6a?k1b2h10'>h50;&4b?2e3g=n6o54+2g94?"0n3>i7c9j:b98/6b=83.<j7:m;o5f>a=<#:i1<7*8f;6a?k1b2l10'>l50;&4b?2e3g=n6k54+2;94?"0n3>i7c9j:028?.5?290/;k4;b:l4a?7632!8;7>5$6d90g=i?l0:>65$3783>!1a2=h0b:k51298/63=83.<j7:m;o5f>42<3"9?6=4+7g87f>h0m3;>76%<3;29 2`=<k1e;h4>6:9(77<72-=m69l4n6g952=<#:;1<7*8f;6a?k1b28207&=?:18'3c<3j2d<i7?6;:)67?6=,>l18o5a7d82e>=,=;0;6)9i:5`8j2c=9k10'8?50;&4b?2e3g=n6<m4;*73>5<#?o0?n6`8e;3g?>-3n3:1(:h54c9m3`<6m21 8h4?:%5e>1d<f>o1=k54+5794?"0n3>i7c9j:338?.5f290/;k4;b:l4a?4532!9j7>5$6d90g=i?l09?65f1c83>>ibi3:1(:h5e89m3`<732en47>5$6d9a<=i?l0:76%;d;29 2`=<k1e;h4=0:9a574f290:6=4?{%ga>445<2B:>?64H0017>i0j3:17pl>23;94?7=83:p(hl5609K574?3A;9>>5`6183>>{e98;j6=46:183!ce2130D<<=8:J2675<,>k1=><9;%4:>7=nn3:17d??:188m47=831b=?4?::k2<?6=3`;i6=44i4494?=h0;0;66a73;29?xd698h1<7=50;2x `d=?91C=?<7;I3166=#?h0:??84$7;9`>"?83;9o?5f6283>>o1<3:17b96:188yg769o0;644?:1y'ag<?12B:>?64H0017>"0i3;8>;5+6881?l`=831b==4?::k25?6=3`;96=44i0:94?=n9k0;66g:6;29?j>52900c5=50;9~f47583:1?7>50z&ff?173A;9>55G1300?!1f2899:6*99;f8m35=831b:94?::m4=?6=3th:=9?50;194?6|,lh1;=5G130;?M75::1/;l4>3348 3?=>2c=?7>5;h47>5<<g>31<75rb0374?6==3:1<v*jb;51?M75:11C=?<<;%5b>455>2.=57l4i7194?=n>=0;66g95;29?l012900c:750;9~f473>3:1?7>50z&ff?173A;9>55G1300?!1f2899:6*99;48m35=831b:94?::m4=?6=3th:=om50;694?6|,lh1;<5G130;?M75::1/;l4>3348 3?=9>1/4=4>2538m35=831b:94?::k51?6=3f=26=44}c315c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>23294?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi=??j:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj8;>87>54;294~"bj3?h7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17b6=:188yg76=:0;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uk;:9;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd69<?1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:=<j50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e98896=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f474m3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c327c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>15a94?3=83:p(hl55c9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e?j50;9j13<722e3>7>5;|`2511=83>1<7>t$d`91a=O9;837E?=229'3d<6;;<0(;751:k21?6=3`?=6=44i9694?=h0;0;66sm106a>5<2290;w)km:4`8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d<k:188m00=831d4?4?::a542f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb03af?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo?>bd83>0<729q/io482:J267><@889?6*8a;3063=#>00?7d8<:188m32=831b:84?::k52?6=3f=26=44}c32fc<72<0;6=u+ec846>N6:;20D<<=3:&4e?74:?1/:44:8:k57?6=3`<?6=44i7794?=n>?0;66a89;29?xd69j:1<7;50;2x `d=?;1C=?<7;I3166=#?h0:??84$7;9<>o1;3:17d8;:188m33=831b:;4?::m4=?6=3th:=n?50;794?6|,lh1;?5G130;?M75::1/;l4>3348 3?==2c=?7>5;h47>5<<a??1<75f6783>>i013:17pl>1b094?3=83:p(hl5739K574?3A;9>>5+7`82770<,?31=;5f6283>>o1<3:17d8::188m30=831d;44?::a54e4290>6=4?{%ga>24<@88946F>2318 2g=9:8=7)86:29j26<722c=87>5;h46>5<<a?<1<75`7883>>{e98i?6=4::183!ce2>80D<<=8:J2675<,>k1=><9;%4:>2=n>:0;66g94;29?l022900e;850;9l3<<722wi=<m::186>5<7s-oi6:<4H001<>N6:;90(:o51205?!0>2;1b:>4?::k50?6=3`<>6=44i7494?=h?00;66sm10a4>5<5290;w)km:7c8L44502B:>?=4$6c956413`<96=44o6;94?=zj88;57>54;294~"bj3?h7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17b6=:188yg76m<0;6>4?:1y'ag<6:;=0D<<=8:J2675<,>k1=><9;h3b>5<<a?h1<75`7883>>{e98o96=4;:183!ce2>?0D<<=8:J2675<,>k1=><9;h3b>5<<a<=1<75f8483>>i1k3:17pl>1d;94?5=83:p(hl51304?M75:11C=?<<;%5b>455>2c:m7>5;h4a>5<<g>31<75rb03f2?6=<3:1<v*jb;56?M75:11C=?<<;%5b>455>2c:m7>5;h74>5<<a1?1<75`6b83>>{e98oo6=4<:183!ce2889;6F>23:8L445;2.<m7?<279j5d<722c=n7>5;n5:>5<<uk;:il4?:583>5}#mk0<96F>23:8L445;2.<m7?<279j5d<722c>;7>5;h:6>5<<g?i1<75rb03e5?6=;3:1<v*jb;3162=O9;837E?=229'3d<6;;<0e<o50;9j2g<722e<57>5;|`25`c=83>1<7>t$d`930=O9;837E?=229'3d<6;;<0e<o50;9j12<722c397>5;n4`>5<<uk;:j84?:283>5}#mk0:>?94H001<>N6:;90(:o51205?l7f2900e;l50;9l3<<722wi=<h=:187>5<7s-oi6:;4H001<>N6:;90(:o51205?l7f2900e8950;9j<0<722e=o7>5;|`25c?=8391<7>t$d`957403A;9>55G1300?!1f2899:6g>a;29?l0e2900c:750;9~f47a>3:187>50z&ff?123A;9>55G1300?!1f2899:6g>a;29?l302900e5;50;9l2f<722wi=<hk:180>5<7s-oi6<<=7:J267><@889?6*8a;3063=n9h0;66g9b;29?j1>2900qo?>f`83>1<729q/io485:J267><@889?6*8a;3063=n9h0;66g:7;29?l>22900c;m50;9~f44783:1?7>50z&ff?75:>1C=?<7;I3166=#?h0:??84i0c94?=n>k0;66a89;29?xd69l>1<7<50;2x `d=>o1C=?<7;I3166=#?h0:??84$7;92>o1;3:17b96:188yg76m10;6?4?:1y'ag<1n2B:>?64H0017>"0i3;8>;5+6885?l042900c:750;9~f47bk3:1>7>50z&ff?0a3A;9>55G1300?!1f2899:6*99;48m35=831d;44?::a54`729096=4?{%ga>3`<@88946F>2318 2g=9:8=7)86:79j26<722e<57>5;|`25c2=8381<7>t$d`92c=O9;837E?=229'3d<6;;<0(;756:k57?6=3f=26=44}c32b=<72;0;6=u+ec85b>N6:;20D<<=3:&4e?74:?1/:449;h40>5<<g>31<75rb03eg?6=:3:1<v*jb;4e?M75:11C=?<<;%5b>455>2.=5784i7194?=h?00;66sm10de>5<5290;w)km:7d8L44502B:>?=4$6c956413-<26;5f6283>>i013:17pl>1d194?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`25`1=83?1<7>t$d`91`=O9;837E?=229'3d<6;;<0(;751:k21?6=3`;36=44i4494?=n0=0;66a72;29?xd69lh1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:=hh50;794?6|,lh19h5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75f8583>>i?:3:17pl>1g194?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`25c1=83?1<7>t$d`91`=O9;837E?=229'3d<6;;<0(;751:k21?6=3`;36=44i4494?=n0=0;66a72;29?xd69oh1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:=kk50;794?6|,lh19h5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75f8583>>i?:3:17pl>13g94?5=83:p(hl51304?M75:11C=?<<;%5b>455>2c:m7>5;h4a>5<<g>31<75rb031f?6=<3:1<v*jb;56?M75:11C=?<<;%5b>455>2c:m7>5;h74>5<<a1?1<75`6b83>>{e98996=4<:183!ce2889;6F>23:8L445;2.<m7?<279j5d<722c=n7>5;n5:>5<<uk;:>k4?:583>5}#mk0<96F>23:8L445;2.<m7?<279j5d<722c>;7>5;h:6>5<<g?i1<75rb0302?6=;3:1<v*jb;3162=O9;837E?=229'3d<6;;<0e<o50;9j2g<722e<57>5;|`2565=83>1<7>t$d`930=O9;837E?=229'3d<6;;<0e<o50;9j12<722c397>5;n4`>5<<uk;:?44?:283>5}#mk0:>?94H001<>N6:;90(:o51205?l7f2900e;l50;9l3<<722wi=<<k:181>5<7s-oi6;h4H001<>N6:;90(:o51205?!0>2?1b:>4?::m4=?6=3th:=>?50;094?6|,lh1:k5G130;?M75::1/;l4>3348 3?=>2c=?7>5;n5:>5<<uk;:?84?:383>5}#mk0=j6F>23:8L445;2.<m7?<279'2<<13`<86=44o6;94?=zj8;847>52;294~"bj3<m7E?=299K57443-=j6<==6:&5=?0<a?91<75`7883>>{e988h6=4::183!ce2<o0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900e5:50;9l<7<722wi=<=?:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm1017>5<2290;w)km:4g8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188m=2=831d4?4?::a5450290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e99i86=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>>?;h40>5<<a?>1<75f6483>>i013:17pl>0b694?2=83:p(hl5709K574?3A;9>>5+7`82770<,?31=:5+8182756<a?91<75f6583>>o1=3:17b96:188yg77k90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9h95f6283>>o1<3:17d8::188k2?=831vn<>l1;290?6=8r.nn79>;I316==O9;887)9n:0112>"113;<7)6?:00g0>o1;3:17d8;:188m33=831d;44?::a55ef290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;987d8<:188m32=831b:84?::m4=?6=3th:<nk50;094?6|,lh1:l5G130;?M75::1/;l4>3348m34=831d;44?::a55ba290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb02f4?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??dd83>1<729q/io4:d:J267><@889?6*8a;3063=#>00:7d?::188m00=831b494?::m;6?6=3th:<h950;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99o36=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46b>3:187>50z&ff?3c3A;9>55G1300?!1f2899:6*99;38m43=831b9;4?::k;0?6=3f296=44}c33gc<72<0;6=u+ec86a>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>o?<3:17b6=:188yg77l90;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uk;;o44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd68j81<7:50;2x `d==j1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831d4?4?::a55e0290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb02`<?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??c483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<n850;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99ii6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46>>3:187>50z&ff?163A;9>55G1300?!1f2899:6*99;34?!>7288mj6g93;29?l032900e;;50;9l3<<722wi==78:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513de?l042900e;:50;9j20<722e<57>5;|`24<5=83>1<7>t$d`934=O9;837E?=229'3d<6;;<0(;75169'<5<6:m90e;=50;9j21<722c=97>5;n5:>5<<uk;;594?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=d29j26<722c=87>5;h46>5<<g>31<75rb02:`?6=<3:1<v*jb;52?M75:11C=?<<;%5b>455>2.=57?8;%:3>444:2c=?7>5;h47>5<<a??1<75`7883>>{e99k:6=4=:183!ce2?k0D<<=8:J2675<,>k1=><9;h41>5<<g>31<75rb02a6?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??b283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<o?50;694?6|,lh19i5G130;?M75::1/;l4>3348 3?=92c:97>5;h75>5<<a1>1<75`8383>>{e99hj6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46ej3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c33f<<72=0;6=u+ec86`>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a<<1<75f8583>>i?:3:17pl>0`094?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`24d5=83?1<7>t$d`91`=O9;837E?=229'3d<6;;<0(;751:k21?6=3`;36=44i4494?=n0=0;66a72;29?xd680i1<7:50;2x `d==j1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831d4?4?::a55?2290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb02:e?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??9c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<4650;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99326=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46>m3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c337f<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75nl1b:>4?::k50?6=3`<>6=44o6;94?=zj8:8h7>54;294~"bj3=:7E?=299K57443-=j6<==6:&5=?703-2;6<<ie:k57?6=3`<?6=44i7794?=h?00;66sm111:>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957b53`<86=44i7694?=n><0;66a89;29?xd68:k1<7:50;2x `d=?81C=?<7;I3166=#?h0:??84$7;952=#090:>i<4i7194?=n>=0;66g95;29?j1>2900qo??4283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3105=n>:0;66g94;29?l022900c:750;9~f463?3:1>7>50z&ff?0f3A;9>55G1300?!1f2899:6g92;29?j1>2900qo??5983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<8750;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99?<6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f46183:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c3324<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>04d94?2=83:p(hl55e9K574?3A;9>>5+7`82770<,?31=6g>5;29?l312900e5:50;9l<7<722wi==:7:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm116:>5<2290;w)km:4g8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188m=2=831d4?4?::a5525290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb020f?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??4183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<9?50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e999n6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f464n3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c3301<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>01d94?2=83:p(hl5709K574?3A;9>>5+7`82770<,?31=:5+81826cb<a?91<75f6583>>o1=3:17b96:188yg77990;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9ji5f6283>>o1<3:17d8::188k2?=831vn<>?c;290?6=8r.nn79>;I316==O9;887)9n:0112>"113;<7)6?:00g5>o1;3:17d8;:188m33=831d;44?::a556c290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;n:7d8<:188m32=831b:84?::m4=?6=3th:<<850;694?6|,lh1;<5G130;?M75::1/;l4>3348 3?=9>1/4=4>22f8m35=831b:94?::k51?6=3f=26=44}c335d<72;0;6=u+ec85e>N6:;20D<<=3:&4e?74:?1b:?4?::m4=?6=3th:<?l50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e998h6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f465i3:187>50z&ff?3c3A;9>55G1300?!1f2899:6*99;38m43=831b9;4?::k;0?6=3f296=44}c3376<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>02694?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi====:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj8::n7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vn<>>c;291?6=8r.nn7;j;I316==O9;887)9n:0112>"113;0e<;50;9j5=<722c>:7>5;h:7>5<<g181<75rb0221?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??0d83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<<=50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99;?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46693:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c3357<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>00594?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wijh<50;694?6|,lh1;<5G130;?M75::1/;l4>3348 3?=9>1/4=4>2ga8m35=831b:94?::k51?6=3f=26=44}cdf7?6=<3:1<v*jb;52?M75:11C=?<<;%5b>455>2.=57?8;%:3>44ak2c=?7>5;h47>5<<a??1<75`7883>>{enml1<7:50;2x `d=?81C=?<7;I3166=#?h0:??84$7;952=#090:>i>4i7194?=n>=0;66g95;29?j1>2900qohj0;290?6=8r.nn79>;I316==O9;887)9n:0112>"113;<7)6?:00g4>o1;3:17d8;:188m33=831d;44?::ab`?=83>1<7>t$d`934=O9;837E?=229'3d<6;;<0(;75169'<5<6::n0e;=50;9j21<722c=97>5;n5:>5<<uklnh7>52;294~"bj3<j7E?=299K57443-=j6<==6:k56?6=3f=26=44}cdea?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qohif;290?6=8r.nn7;l;I316==O9;887)9n:0112>"113;0e<;50;9j5=<722c>:7>5;n:1>5<<uklmh7>54;294~"bj3?o7E?=299K57443-=j6<==6:&5=?7<a8?1<75f5783>>o?<3:17b6=:188yg778?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`2451=83>1<7>t$d`91f=O9;837E?=229'3d<6;;<0(;751:k21?6=3`;36=44i4494?=h0;0;66sm1126>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnkkj:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smfdd94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`ea=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plie083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thmi;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdam>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`ea1<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plie483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thmil4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdaj<0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9jo5f6283>>o1<3:17d8::188k2?=831vnkl9:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513da?l042900e;:50;9j20<722e<57>5;|`ef7<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75ko1b:>4?::k50?6=3`<>6=44o6;94?=zjoh86=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?mi;h40>5<<a?>1<75f6483>>i013:17plibb83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;317g=n>:0;66g94;29?l022900c:750;9~fce729096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnkj>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjon96=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fcb7290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbgf:>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnkjn:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjon36=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fce6290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{enj81<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thmno4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdaj=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`ef<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plib`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thmn:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdaj10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`efa<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli8983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31bd=n>:0;66g94;29?l022900c:750;9~fc>>290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;lj7d8<:188m32=831b:84?::m4=?6=3thm484?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=cd9j26<722c=87>5;h46>5<<g>31<75rbg:5>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957eb3`<86=44i7694?=n><0;66a89;29?xda0o0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?o5f6283>>o1<3:17d8::188k2?=831vnk7<:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fcg3290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbgc6>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnko<:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjokh6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fcgc290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbgca>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnk7;:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smf8794?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`e<`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli8683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm4n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda0m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e<d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli8c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm5=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda=k0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9j45f6283>>o1<3:17d8::188k2?=831vnk;l:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513d:?l042900e;:50;9j20<722e<57>5;|`e1=<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75km1b:>4?::k50?6=3`<>6=44o6;94?=zjo?26=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?mk;h40>5<<a?>1<75f6483>>i013:17pli6383>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3053=n>:0;66g94;29?l022900c:750;9~fc0129096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnk98:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjo=36=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fc11290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbg5e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnk6?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjo=n6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fc00290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{en?21<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thm:<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda=h0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e1c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli6183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm9i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda=l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e26<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli2d83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31b==n>:0;66g94;29?l022900c:750;9~fc4a290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;l37d8<:188m32=831b:84?::m4=?6=3thm>o4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=cb9j26<722c=87>5;h46>5<<g>31<75rbg0`>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957ed3`<86=44i7694?=n><0;66a89;29?xda;<0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8=85f6283>>o1<3:17d8::188k2?=831vnk=6:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fc2f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbg6a>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnk:6:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjo?96=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fc34290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbg72>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnk=n:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smf2`94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`e71<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli2e83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm??4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda;:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e75<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli3083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm?;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda880;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9j:5f6283>>o1<3:17d8::188k2?=831vnk>=:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513d4?l042900e;:50;9j20<722e<57>5;|`fb`<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75kk1b:>4?::k50?6=3`<>6=44o6;94?=zjllm6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?mm;h40>5<<a?>1<75f6483>>i013:17pli0983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3051=n>:0;66g94;29?l022900c:750;9~fc6d29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnk?k:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjo;n6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fc7d290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbg06>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnk<9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjo8?6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fc6c290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{en9o1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thm<:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda890;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e40<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli0783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thm<>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xda8=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e4<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pljd583>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31b0=n>:0;66g94;29?l022900c:750;9~f`b2290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;l>7d8<:188m32=831b:84?::m4=?6=3thnh<4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=c89j26<722c=87>5;h46>5<<g>31<75rbdf1>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957e>3`<86=44i7694?=n><0;66a89;29?xdblk0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8=>5f6283>>o1<3:17d8::188k2?=831vnhji:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f``7290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbdd2>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnhki:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjll36=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f``>290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbdd4>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnhk?:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smed394?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`f`d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pljd283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thnh54?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdbl00;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`f`3<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pljd683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thnhn4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdbi>0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9j95f6283>>o1<3:17d8::188k2?=831vnho7:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513d7?l042900e;:50;9j20<722e<57>5;|`fe1<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75k11b:>4?::k50?6=3`<>6=44o6;94?=zjlk>6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?m7;h40>5<<a?>1<75f6483>>i013:17pljad83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3057=n>:0;66g94;29?l022900c:750;9~f`d529096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnhm<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjli?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`e5290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbdaa>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnhml:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjlij6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f`d4290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{emk>1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thnmi4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdbi?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`feg<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pljab83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thnm44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdbih0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`fec<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plj4e83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31b6=n>:0;66g94;29?l022900c:750;9~f`2b290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;l87d8<:188m32=831b:84?::m4=?6=3thn8l4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=c69j26<722c=87>5;h46>5<<g>31<75rbd6a>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957e03`<86=44i7694?=n><0;66a89;29?xdb==0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?k5f6283>>o1<3:17d8::188k2?=831vnh;7:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f`0>290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbd4b>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnh87:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjl=:6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`15290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbd53>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnh;6:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sme4c94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`f16<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plj4b83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thn9<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdb=;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`f0c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plj5183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thn984?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdb:90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9j?5f6283>>o1<3:17d8::188k2?=831vnh<>:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513d1?l042900e;:50;9j20<722e<57>5;|`f5a<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75k?1b:>4?::k50?6=3`<>6=44o6;94?=zjl;n6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?m9;h40>5<<a?>1<75f6483>>i013:17plj2683>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;317d=n>:0;66g94;29?l022900c:750;9~f`4e29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnh=l:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjl9o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`5e290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbd67>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnh:::187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjl>86=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f`4d290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{em;n1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thn>;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdb9o0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`f61<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plj2483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thn>?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdb::0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`f6=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plkf283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31b4=n>:0;66g94;29?l022900c:750;9~fa`3290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;l:7d8<:188m32=831b:84?::m4=?6=3thoj=4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=c49j26<722c=87>5;h46>5<<g>31<75rbed2>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957e23`<86=44i7694?=n><0;66a89;29?xdcnh0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?l5f6283>>o1<3:17d8::188k2?=831vnihj:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f`6a290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbd33>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnh>j:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjl;<6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`7?290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbd35>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnihi:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sme1294?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`gb<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plkf383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thoj:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdcn10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`gb0<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plkf783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thojo4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdck?0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9j=5f6283>>o1<3:17d8::188k2?=831vnim8:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513d3?l042900e;:50;9j20<722e<57>5;|`gg6<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75k=1b:>4?::k50?6=3`<>6=44o6;94?=zjmi?6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?m;;h40>5<<a?>1<75f6483>>i013:17plkce83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;317<=n>:0;66g94;29?l022900c:750;9~fab629096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnik=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjmo86=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fac6290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbegb>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnikm:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjmo26=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fab5290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{elm91<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thoon4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdck<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`ggd<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plkcc83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thoo54?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdck00;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`gg`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk9883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ac=n>:0;66g94;29?l022900c:750;9~fa?f290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;om7d8<:188m32=831b:84?::m4=?6=3tho5;4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=c29j26<722c=87>5;h46>5<<g>31<75rbe;4>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957e43`<86=44i7694?=n><0;66a89;29?xdci90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?45f6283>>o1<3:17d8::188k2?=831vnio;:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fad2290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe`5>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnil;:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjmho6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fadb290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe``>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnio::186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smd`494?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`g=c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk9983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3tho5i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc1l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g=g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk9b83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thom<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc>j0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9ih5f6283>>o1<3:17d8::188k2?=831vni8k:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513gf?l042900e;:50;9j20<722e<57>5;|`g2<<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75k81b:>4?::k50?6=3`<>6=44o6;94?=zjm<j6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?m>;h40>5<<a?>1<75f6483>>i013:17plk7283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3054=n>:0;66g94;29?l022900c:750;9~fa1029096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vni67:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjm226=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fa>0290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbe;3>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vni7>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjm2m6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fa1?290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{el>31<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3tho;?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc>k0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g35<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk7083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3tho:h4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc>o0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g31<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk3g83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31aa=n>:0;66g94;29?l022900c:750;9~fa27290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;oo7d8<:188m32=831b:84?::m4=?6=3tho?n4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=b79j26<722c=87>5;h46>5<<g>31<75rbe1g>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957d13`<86=44i7694?=n><0;66a89;29?xdc<?0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8==5f6283>>o1<3:17d8::188k2?=831vni:n:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fa3e290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe7`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vni;n:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjm<86=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fa03290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe41>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vni:m:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smd5a94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`g00<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk3d83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3tho8>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc<=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g04<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk4383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3tho8:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc9;0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9in5f6283>>o1<3:17d8::188k2?=831vni?<:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g`?l042900e;:50;9j20<722e<57>5;|`g4c<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75ik1b:>4?::k50?6=3`<>6=44o6;94?=zjm;;6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?om;h40>5<<a?>1<75f6483>>i013:17plk1883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;304c=n>:0;66g94;29?l022900c:750;9~fa7c29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vni<j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjm8m6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fa4c290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbe15>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vni=8:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjm9>6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fa7b290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{el8l1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3tho=54?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc980;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g53<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plk1683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3tho=94?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdc9<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`g5d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plle483>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ad=n>:0;66g94;29?l022900c:750;9~ffc1290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;oj7d8<:188m32=831b:84?::m4=?6=3thhi?4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=9g9j26<722c=87>5;h46>5<<g>31<75rbbg0>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957?a3`<86=44i7694?=n><0;66a89;29?xddmj0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<h5f6283>>o1<3:17d8::188k2?=831vnnh?:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fa66290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe21>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vni>?:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjm:26=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fa6f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbe2;>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnnh>:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smcg094?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|``ag<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plle583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thhi44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xddmh0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``a2<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plle983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thhii4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xddj10;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9i45f6283>>o1<3:17d8::188k2?=831vnnl6:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g:?l042900e;:50;9j20<722e<57>5;|``f0<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?751=1b:>4?::k50?6=3`<>6=44o6;94?=zjjh=6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?7;;h40>5<<a?>1<75f6483>>i013:17pllbg83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;304a=n>:0;66g94;29?l022900c:750;9~ffe429096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnnj;:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjjn>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~ffb4290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbbf`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnnjk:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjjni6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~ffe3290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ekj?1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thhnh4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xddj>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``ff<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pllbe83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thhnl4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xddjk0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``g5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll5d83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31a==n>:0;66g94;29?l022900c:750;9~ff3a290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;o37d8<:188m32=831b:84?::m4=?6=3thh9o4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=889j26<722c=87>5;h46>5<<g>31<75rbb7`>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957>>3`<86=44i7694?=n><0;66a89;29?xdd><0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?h5f6283>>o1<3:17d8::188k2?=831vnn86:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~ff1f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb5a>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnn96:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjj296=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~ff>4290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb:2>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnn8n:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smc7`94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|``21<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll5e83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thh:?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdd>:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``25<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll6083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thh:;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdd;80;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9i:5f6283>>o1<3:17d8::188k2?=831vnn==:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g4?l042900e;:50;9j20<722e<57>5;|``6`<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75?l1b:>4?::k50?6=3`<>6=44o6;94?=zjj8m6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?9j;h40>5<<a?>1<75f6483>>i013:17pll3983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;317==n>:0;66g94;29?l022900c:750;9~ff5d29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnn:k:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjj>n6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~ff2d290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbb76>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnn;9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjj??6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~ff5c290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ek:o1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thh?:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdd;90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``70<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll3783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thh?>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdd;=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``7<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll0583>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31a3=n>:0;66g94;29?l022900c:750;9~ff62290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;o=7d8<:188m32=831b:84?::m4=?6=3thh<<4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=729j26<722c=87>5;h46>5<<g>31<75rbb21>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957143`<86=44i7694?=n><0;66a89;29?xdd8k0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?55f6283>>o1<3:17d8::188k2?=831vnn>i:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~ff47290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb02>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnn?i:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjj836=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~ff4>290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb04>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnn??:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smc0394?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|``4d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll0283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thh<54?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdd800;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``43<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pll0683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thh<n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdel>0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9i85f6283>>o1<3:17d8::188k2?=831vnoj7:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g6?l042900e;:50;9j20<722e<57>5;|`a`1<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>11b:>4?::k50?6=3`<>6=44o6;94?=zjkn>6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?87;h40>5<<a?>1<75f6483>>i013:17plmdd83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3172=n>:0;66g94;29?l022900c:750;9~fgc529096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnoh<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjkl?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fg`5290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbcda>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnohl:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjklj6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fgc4290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ejl>1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thihi4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdel?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a`g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plmdb83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thih44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdelh0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a`c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plma`83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31a1=n>:0;66g94;29?l022900c:750;9~fgge290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;o?7d8<:188m32=831b:84?::m4=?6=3thim:4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=5e9j26<722c=87>5;h46>5<<g>31<75rbcc;>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929573c3`<86=44i7694?=n><0;66a89;29?xdej80;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?:5f6283>>o1<3:17d8::188k2?=831vnol::181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fge1290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbca4>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnom::187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjkin6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fgea290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbcag>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnol9:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smbc594?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`af5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plma883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thimh4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdeio0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`aef<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plmae83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thin?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde?m0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9i>5f6283>>o1<3:17d8::188k2?=831vno9j:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g0?l042900e;:50;9j20<722e<57>5;|`a3d<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=;1b:>4?::k50?6=3`<>6=44o6;94?=zjk=i6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;=;h40>5<<a?>1<75f6483>>i013:17plm8583>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;304g=n>:0;66g94;29?l022900c:750;9~fg>?29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vno76:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjk3j6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fg??290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbcc2>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnoo=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjkk;6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fg>>290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ej1k1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thi4>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde?j0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a<4<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plm8383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thi;k4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde090;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a<0<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plm5183>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31a7=n>:0;66g94;29?l022900c:750;9~fg36290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;o97d8<:188m32=831b:84?::m4=?6=3thi8i4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=469j26<722c=87>5;h46>5<<g>31<75rbc6f>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957203`<86=44i7694?=n><0;66a89;29?xde=>0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<l5f6283>>o1<3:17d8::188k2?=831vno;m:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fg0d290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbc4g>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vno8m:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjk=?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fg12290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbc50>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vno;l:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smb4f94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`a13<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plm4g83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thi994?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde=<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a17<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plm5283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thi954?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde::0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9i<5f6283>>o1<3:17d8::188k2?=831vno<;:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513g2?l042900e;:50;9j20<722e<57>5;|`a65<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75;j1b:>4?::k50?6=3`<>6=44o6;94?=zjk8:6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?=l;h40>5<<a?>1<75f6483>>i013:17plm2`83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;304<=n>:0;66g94;29?l022900c:750;9~fg4b29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vno=i:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjk>;6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fg5b290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rbc64>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vno:7:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjk>=6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fg4a290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ej::1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thi>44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde:;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a62<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plm2983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thi>84?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xde:?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`a6g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plnf783>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31`c=n>:0;66g94;29?l022900c:750;9~fd`0290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;nm7d8<:188m32=831b:84?::m4=?6=3thjj>4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?<169j26<722c=87>5;h46>5<<g>31<75rb`d7>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92956703`<86=44i7694?=n><0;66a89;29?xdfnm0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<55f6283>>o1<3:17d8::188k2?=831vno>>:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fg75290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbc30>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vno?>:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjk;j6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fg7e290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbc3:>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vno>=:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66smb1194?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`bbf<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plnf483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thjjl4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdfnk0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`bb=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plnf883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thjjh4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdfk00;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9hh5f6283>>o1<3:17d8::188k2?=831vnlmn:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513ff?l042900e;:50;9j20<722e<57>5;|`bg3<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?748j1b:>4?::k50?6=3`<>6=44o6;94?=zjhi<6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>>l;h40>5<<a?>1<75f6483>>i013:17plnd183>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3042=n>:0;66g94;29?l022900c:750;9~fdb329096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnlk::187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjho=6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fdc3290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb`gg>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnlkj:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjhoh6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fdb2290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{eim<1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thjok4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdfk10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`bga<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plncd83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thjoo4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdfkj0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`b`4<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln6g83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31`a=n>:0;66g94;29?l022900c:750;9~fd17290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;no7d8<:188m32=831b:84?::m4=?6=3thj:n4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?<009j26<722c=87>5;h46>5<<g>31<75rb`4g>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92956663`<86=44i7694?=n><0;66a89;29?xdf??0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?;5f6283>>o1<3:17d8::188k2?=831vnl9n:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fd>e290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb`:`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnl6n:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjh386=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fd?3290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb`;1>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnl9m:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sma6a94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`b30<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln6d83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thj;>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdf?=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`b34<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln7383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thj;:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdf<;0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9hn5f6283>>o1<3:17d8::188k2?=831vnl:<:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513f`?l042900e;:50;9j20<722e<57>5;|`b7c<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75n?1b:>4?::k50?6=3`<>6=44o6;94?=zjh>;6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?h9;h40>5<<a?>1<75f6483>>i013:17pln4883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3170=n>:0;66g94;29?l022900c:750;9~fd2c29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnl;j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh?m6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fd3c290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb`45>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnl88:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh<>6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~fd2b290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{ei=l1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thj854?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdf<80;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`b03<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln4683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thj894?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdf<<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`b0d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln1483>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31`g=n>:0;66g94;29?l022900c:750;9~fd71290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;ni7d8<:188m32=831b:84?::m4=?6=3thj=?4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=ec9j26<722c=87>5;h46>5<<g>31<75rb`30>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957ce3`<86=44i7694?=n><0;66a89;29?xdf9j0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?95f6283>>o1<3:17d8::188k2?=831vnl<?:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~fd56290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb`11>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnl=?:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjh926=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fd5f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb`1;>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vnl<>:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sma3094?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`b5g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln1583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thj=44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdf9h0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`b52<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pln1983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thj=i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>m10;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9hl5f6283>>o1<3:17d8::188k2?=831vn4k6:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513fb?l042900e;:50;9j20<722e<57>5;|`:a0<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75m91b:>4?::k50?6=3`<>6=44o6;94?=zj0o=6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?k?;h40>5<<a?>1<75f6483>>i013:17pl6eg83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3043=n>:0;66g94;29?l022900c:750;9~f<`429096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vnl>;:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh:>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fd64290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb`2`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnl>k:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh:i6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f<`3290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e1o?1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th2ih4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>m>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:af<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl6ee83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2il4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>mk0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:b5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl6bc83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31`<=n>:0;66g94;29?l022900c:750;9~f<dd290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;n27d8<:188m32=831b:84?::m4=?6=3th2n54?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=d49j26<722c=87>5;h46>5<<g>31<75rb8`:>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957b23`<86=44i7694?=n><0;66a89;29?xd>k;0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<85f6283>>o1<3:17d8::188k2?=831vn4m9:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f<b0290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb8f;>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn4j9:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj0nm6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f<c7290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb8ff>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn4m8:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm9b:94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`:g4<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl6b`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2nk4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>k90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:fa<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl6bd83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2o>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>0l0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9h55f6283>>o1<3:17d8::188k2?=831vn46i:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513f;?l042900e;:50;9j20<722e<57>5;|`:<g<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75kh1b:>4?::k50?6=3`<>6=44o6;94?=zj02h6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?mn;h40>5<<a?>1<75f6483>>i013:17pl69483>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3041=n>:0;66g94;29?l022900c:750;9~f<?>29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn4on:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj0ki6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f<g>290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb8`1>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn4l<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj0h:6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f<?f290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e10h1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th2594?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>0m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:=7<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl69283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th25=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>180;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:=3<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl66083>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31`2=n>:0;66g94;29?l022900c:750;9~f<05290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;n<7d8<:188m32=831b:84?::m4=?6=3th29h4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=a19j26<722c=87>5;h46>5<<g>31<75rb87e>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957g73`<86=44i7694?=n><0;66a89;29?xd>>10;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<>5f6283>>o1<3:17d8::188k2?=831vn48l:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f<1c290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb85f>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn49l:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj02>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f<>1290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb8:7>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn48k:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm97g94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`:22<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl66183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2:84?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>>?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:26<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl66583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2:44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>;=0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9h;5f6283>>o1<3:17d8::188k2?=831vn4=::187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513f5?l042900e;:50;9j20<722e<57>5;|`:74<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75;81b:>4?::k50?6=3`<>6=44o6;94?=zj0996=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?=>;h40>5<<a?>1<75f6483>>i013:17pl63c83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3047=n>:0;66g94;29?l022900c:750;9~f<5a29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn4;?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj0?:6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f<2a290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb87;>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn4;6:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj0?<6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f<27290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e1=;1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th2?l4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>;:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:7=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl63883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2?;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>;>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:7f<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl60683>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e7=n>:0;66g94;29?l022900c:750;9~f<6?290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;k97d8<:188m32=831b:84?::m4=?6=3th2<94?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=6d9j26<722c=87>5;h46>5<<g>31<75rb826>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929570b3`<86=44i7694?=n><0;66a89;29?xd>8l0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9o=5f6283>>o1<3:17d8::188k2?=831vn4?=:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f<44290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb807>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn4<=:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj08i6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f<4d290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb80b>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn4?<:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm90694?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`:4a<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl60783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2<o4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd>8j0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`:4<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl60`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th2<k4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?lh0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?95f6283>>o1<3:17d8::188k2?=831vn5jm:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51316?l042900e;:50;9j20<722e<57>5;|`;`2<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75;?1b:>4?::k50?6=3`<>6=44o6;94?=zj1n36=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?o8;h40>5<<a?>1<75f6483>>i013:17pl7e083>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e2=n>:0;66g94;29?l022900c:750;9~f=c229096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn5h9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1l<6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=`2290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb9df>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn5hi:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1lo6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f=c1290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e0l=1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th3i=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?l00;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;``<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl7dg83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3hn4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?lm0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;a7<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl78183>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e4=n>:0;66g94;29?l022900c:750;9~f=>6290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;k:7d8<:188m32=831b:84?::m4=?6=3th3;i4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=6e9j26<722c=87>5;h46>5<<g>31<75rb95f>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929570c3`<86=44i7694?=n><0;66a89;29?xd?0>0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9o=5f6283>>o1<3:17d8::188k2?=831vn56m:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f=?d290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb9;g>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn57m:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj1k?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=g2290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb9c0>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn56l:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm89f94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`;<3<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl77g83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3494?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?0<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;<7<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl78283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3454?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?=:0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95h5f6283>>o1<3:17d8::188k2?=831vn5;;:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;f?l042900e;:50;9j20<722e<57>5;|`;15<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>j1b:>4?::k50?6=3`<>6=44o6;94?=zj1?:6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?8l;h40>5<<a?>1<75f6483>>i013:17pl75`83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31fa=n>:0;66g94;29?l022900c:750;9~f=3b29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn58i:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1=;6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=0b290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb954>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn597:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1==6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f=3a290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e0?:1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th3944?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?=;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;12<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl75983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3984?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?=?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;1g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl72783>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=a=n>:0;66g94;29?l022900c:750;9~f=40290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;3o7d8<:188m32=831b:84?::m4=?6=3th3>>4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=6c9j26<722c=87>5;h46>5<<g>31<75rb907>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929570e3`<86=44i7694?=n><0;66a89;29?xd?:m0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9ni5f6283>>o1<3:17d8::188k2?=831vn5=>:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f=25290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb960>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn5:>:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj1>j6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=2e290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb96:>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn5==:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm82194?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`;6f<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl72483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3>l4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?:k0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;6=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl72883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th3>h4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0n00;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95n5f6283>>o1<3:17d8::188k2?=831vn:hn:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;`?l042900e;:50;9j20<722e<57>5;|`4b3<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>h1b:>4?::k50?6=3`<>6=44o6;94?=zj>l<6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?8n;h40>5<<a?>1<75f6483>>i013:17pl70183>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ff=n>:0;66g94;29?l022900c:750;9~f=6329096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn5?::187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1;=6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=73290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb93g>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn5?j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1;h6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f=62290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e09<1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th<jk4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0n10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`4ba<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl8fd83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<jo4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0nj0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;44<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl8cb83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=g=n>:0;66g94;29?l022900c:750;9~f2ec290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;3i7d8<:188m32=831b:84?::m4=?6=3th<o44?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=689j26<722c=87>5;h46>5<<g>31<75rb6ab>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929570>3`<86=44i7694?=n><0;66a89;29?xd0l:0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9nn5f6283>>o1<3:17d8::188k2?=831vn:j8:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f2c?290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb6g:>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:k8:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj>l;6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f2`6290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb6ge>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn:j7:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm7e;94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`4`7<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl8cc83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<h=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0l80;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`4g`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl8cg83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<h94?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd01o0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95l5f6283>>o1<3:17d8::188k2?=831vn:o?:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;b?l042900e;:50;9j20<722e<57>5;|`4=f<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>>1b:>4?::k50?6=3`<>6=44o6;94?=zj>3o6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?88;h40>5<<a?>1<75f6483>>i013:17pl8a783>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31fg=n>:0;66g94;29?l022900c:750;9~f2gf29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn:lm:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj>hh6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f2df290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb6a0>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:m;:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj>i96=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f2ge290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e?hi1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th<m84?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd01l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`4e6<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl8a583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<m<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0i;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`4e2<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl87383>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=<=n>:0;66g94;29?l022900c:750;9~f214290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;327d8<:188m32=831b:84?::m4=?6=3th<:k4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=679j26<722c=87>5;h46>5<<g>31<75rb653>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957013`<86=44i7694?=n><0;66a89;29?xd0?00;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9no5f6283>>o1<3:17d8::188k2?=831vn:9k:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f2>b290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb6:e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:6k:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj>3=6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f2?0290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb6;6>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn:9j:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm76d94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`43=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl87083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<;;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0?>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`431<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl87483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<;l4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0<<0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9555f6283>>o1<3:17d8::188k2?=831vn::9:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;;?l042900e;:50;9j20<722e<57>5;|`407<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75><1b:>4?::k50?6=3`<>6=44o6;94?=zj>>86=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?8:;h40>5<<a?>1<75f6483>>i013:17pl84b83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31fd=n>:0;66g94;29?l022900c:750;9~f23729096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn:8>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj><96=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f207290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb64:>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:8n:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj><36=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f236290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e?<81<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th<8o4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0<=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`40<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl84`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<8:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd0<10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`40a<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl81983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=2=n>:0;66g94;29?l022900c:750;9~f27>290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;3<7d8<:188m32=831b:84?::m4=?6=3th<=84?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=659j26<722c=87>5;h46>5<<g>31<75rb635>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957033`<86=44i7694?=n><0;66a89;29?xd09o0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9nl5f6283>>o1<3:17d8::188k2?=831vn:<<:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f253290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb616>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:=<:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj>9h6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f25c290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb61a>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn:<;:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm73794?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`45`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl81683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<=n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd09m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`45d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl81c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th<>=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1mk0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95;5f6283>>o1<3:17d8::188k2?=831vn;kl:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;5?l042900e;:50;9j20<722e<57>5;|`5a=<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>:1b:>4?::k50?6=3`<>6=44o6;94?=zj?o26=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?8<;h40>5<<a?>1<75f6483>>i013:17pl9f383>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f<=n>:0;66g94;29?l022900c:750;9~f3`129096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn:>8:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj>:36=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f261290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb62e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn:??:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj>:n6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f3`0290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e>o21<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th=j<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1mh0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`5ac<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl9f183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=ii4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1ml0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`5b6<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl99083>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=0=n>:0;66g94;29?l022900c:750;9~f3?5290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;3>7d8<:188m32=831b:84?::m4=?6=3th=4h4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=639j26<722c=87>5;h46>5<<g>31<75rb7:e>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957053`<86=44i7694?=n><0;66a89;29?xd1110;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9nk5f6283>>o1<3:17d8::188k2?=831vn;7l:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f3gc290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb7cf>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn;ol:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj?h>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f3d1290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb7`7>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn;7k:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm68g94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`5=2<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl99183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=584?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd11?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`5=6<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl99583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=544?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1>=0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95>5f6283>>o1<3:17d8::188k2?=831vn;8::187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;0?l042900e;:50;9j20<722e<57>5;|`524<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75>81b:>4?::k50?6=3`<>6=44o6;94?=zj?<96=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?8>;h40>5<<a?>1<75f6483>>i013:17pl96c83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f==n>:0;66g94;29?l022900c:750;9~f30a29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn;6?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?2:6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f31a290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb7:;>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn;66:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?2<6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f317290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e>>;1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th=:l4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1>:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`52=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl96883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=:;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1>>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`52f<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl93683>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=7=n>:0;66g94;29?l022900c:750;9~f35?290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;397d8<:188m32=831b:84?::m4=?6=3th=?94?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=619j26<722c=87>5;h46>5<<g>31<75rb716>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957073`<86=44i7694?=n><0;66a89;29?xd1;l0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n55f6283>>o1<3:17d8::188k2?=831vn;:=:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f334290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb777>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn;;=:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj??i6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f33d290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb77b>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn;:<:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm65694?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`57a<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl93783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=?o4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1;j0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`57<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl93`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=?k4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd18h0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;95<5f6283>>o1<3:17d8::188k2?=831vn;>m:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513;2?l042900e;:50;9j20<722e<57>5;|`542<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=o1b:>4?::k50?6=3`<>6=44o6;94?=zj?:36=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;i;h40>5<<a?>1<75f6483>>i013:17pl91083>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f2=n>:0;66g94;29?l022900c:750;9~f37229096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn;<9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?8<6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f342290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb70f>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn;<i:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?8o6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f371290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e>8=1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th===4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1800;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`54`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl90g83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=<n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd18m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`557<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:de83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31=5=n>:0;66g94;29?l022900c:750;9~f0bb290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;3;7d8<:188m32=831b:84?::m4=?6=3th>hl4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=5d9j26<722c=87>5;h46>5<<g>31<75rb4fa>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929573b3`<86=44i7694?=n><0;66a89;29?xd2m=0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n:5f6283>>o1<3:17d8::188k2?=831vn8k7:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f0`>290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb4db>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn8h7:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj?::6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f365290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb723>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn8k6:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm5dc94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`6a6<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:db83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>i<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2m;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`6`c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:e183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>i84?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2j90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94k5f6283>>o1<3:17d8::188k2?=831vn8l>:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:e?l042900e;:50;9j20<722e<57>5;|`6ea<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=j1b:>4?::k50?6=3`<>6=44o6;94?=zj<kn6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;l;h40>5<<a?>1<75f6483>>i013:17pl:b683>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f0=n>:0;66g94;29?l022900c:750;9~f0de29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn8ml:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<io6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f0ee290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb4f7>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn8j::187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<n86=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f0dd290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e=kn1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th>n;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2io0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`6f1<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:b483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>n?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2j:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`6f=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:8283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<`=n>:0;66g94;29?l022900c:750;9~f0>3290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2n7d8<:188m32=831b:84?::m4=?6=3th>4=4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=5c9j26<722c=87>5;h46>5<<g>31<75rb4:2>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929573e3`<86=44i7694?=n><0;66a89;29?xd20h0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n85f6283>>o1<3:17d8::188k2?=831vn86j:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f0?a290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb4c3>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn87j:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj<k<6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f0g?290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb4c5>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn86i:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm58294?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`6<<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:8383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>4:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2010;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`6<0<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:8783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>4o4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2=?0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94i5f6283>>o1<3:17d8::188k2?=831vn8;8:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:g?l042900e;:50;9j20<722e<57>5;|`616<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=h1b:>4?::k50?6=3`<>6=44o6;94?=zj<??6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;n;h40>5<<a?>1<75f6483>>i013:17pl:5e83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f1=n>:0;66g94;29?l022900c:750;9~f00629096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn89=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<=86=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f016290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb45b>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn89m:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<=26=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f005290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e=?91<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th>9n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2=<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`61d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:5c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>954?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2=00;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`61`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:2883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<f=n>:0;66g94;29?l022900c:750;9~f04f290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2h7d8<:188m32=831b:84?::m4=?6=3th>>;4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=589j26<722c=87>5;h46>5<<g>31<75rb404>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929573>3`<86=44i7694?=n><0;66a89;29?xd2;90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n95f6283>>o1<3:17d8::188k2?=831vn8=;:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f022290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb465>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn8:;:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj<>o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f02b290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb46`>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn8=::186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm52494?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`66c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:2983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>>i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd2:l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`66g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:2b83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th>?<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3nj0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94o5f6283>>o1<3:17d8::188k2?=831vn9hk:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:a?l042900e;:50;9j20<722e<57>5;|`7b<<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=11b:>4?::k50?6=3`<>6=44o6;94?=zj=lj6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;7;h40>5<<a?>1<75f6483>>i013:17pl:0283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f6=n>:0;66g94;29?l022900c:750;9~f06029096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn8?7:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<;26=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f070290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb403>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn8<>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj<;m6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f06?290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e=931<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th><?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3nk0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`645<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl:0083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?jh4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3no0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`641<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;a383>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<d=n>:0;66g94;29?l022900c:750;9~f1g4290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2j7d8<:188m32=831b:84?::m4=?6=3th?5k4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=569j26<722c=87>5;h46>5<<g>31<75rb5c3>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957303`<86=44i7694?=n><0;66a89;29?xd3i00;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9nk5f6283>>o1<3:17d8::188k2?=831vn9ok:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f1db290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb5`e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn9lk:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj=i=6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f1e0290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb5a6>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn9oj:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm4`d94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`7e=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;a083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?m;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3i>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`7e1<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;a483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?ml4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3?<0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9455f6283>>o1<3:17d8::188k2?=831vn999:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:;?l042900e;:50;9j20<722e<57>5;|`737<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=?1b:>4?::k50?6=3`<>6=44o6;94?=zj==86=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;9;h40>5<<a?>1<75f6483>>i013:17pl;7b83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f7=n>:0;66g94;29?l022900c:750;9~f1>729096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn97>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj=396=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f1?7290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb5;:>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn97n:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj=336=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f1>6290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e<181<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th?;o4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3?=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`73<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;7`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?;:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3?10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`73a<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;4983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<2=n>:0;66g94;29?l022900c:750;9~f12>290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2<7d8<:188m32=831b:84?::m4=?6=3th?884?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=549j26<722c=87>5;h46>5<<g>31<75rb565>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957323`<86=44i7694?=n><0;66a89;29?xd3<o0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n?5f6283>>o1<3:17d8::188k2?=831vn9;<:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f103290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb546>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn98<:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj=<h6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f10c290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb54a>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn9;;:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm44794?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`70`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;4683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?8n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3<m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`70d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;4c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?9=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd39k0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94;5f6283>>o1<3:17d8::188k2?=831vn9?l:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:5?l042900e;:50;9j20<722e<57>5;|`75=<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75==1b:>4?::k50?6=3`<>6=44o6;94?=zj=;26=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;;;h40>5<<a?>1<75f6483>>i013:17pl;2383>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f4=n>:0;66g94;29?l022900c:750;9~f14129096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn9=8:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj=936=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f151290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb51e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn9:?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj=9n6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f140290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e<;21<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th?><4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd39h0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`75c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;2183>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th?=i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd39l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`766<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<ed83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<0=n>:0;66g94;29?l022900c:750;9~f6ca290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2>7d8<:188m32=831b:84?::m4=?6=3th8io4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=529j26<722c=87>5;h46>5<<g>31<75rb2g`>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957343`<86=44i7694?=n><0;66a89;29?xd4n<0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n<5f6283>>o1<3:17d8::188k2?=831vn>h6:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f16f290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb52a>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn9>6:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj=;96=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f174290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb532>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn>hn:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm3g`94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`0b1<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<ee83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8j?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4n:0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`0b5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<f083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8j;4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4k80;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9495f6283>>o1<3:17d8::188k2?=831vn>m=:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:7?l042900e;:50;9j20<722e<57>5;|`0f`<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75=81b:>4?::k50?6=3`<>6=44o6;94?=zj:hm6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?;>;h40>5<<a?>1<75f6483>>i013:17pl<c983>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31f5=n>:0;66g94;29?l022900c:750;9~f6ed29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn>jk:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:nn6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f6bd290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb2g6>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn>k9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:o?6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f6ec290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e;jo1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th8o:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4k90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`0g0<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<c783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8o>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4k=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`0g<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<9583>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<6=n>:0;66g94;29?l022900c:750;9~f6?2290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;287d8<:188m32=831b:84?::m4=?6=3th85<4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=519j26<722c=87>5;h46>5<<g>31<75rb2;1>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957373`<86=44i7694?=n><0;66a89;29?xd41k0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9n=5f6283>>o1<3:17d8::188k2?=831vn>7i:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f6d7290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb2`2>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn>oi:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj:h36=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f6d>290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb2`4>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn>o?:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm3`394?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`0=d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<9283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8554?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4100;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`0=3<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<9683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th85n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4>>0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94?5f6283>>o1<3:17d8::188k2?=831vn>87:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:1?l042900e;:50;9j20<722e<57>5;|`021<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<o1b:>4?::k50?6=3`<>6=44o6;94?=zj:<>6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:i;h40>5<<a?>1<75f6483>>i013:17pl<6d83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ec=n>:0;66g94;29?l022900c:750;9~f61529096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn>6<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:2?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f6>5290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb2:a>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn>6l:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:2j6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f614290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e;>>1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th8:i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4>?0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`02g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<6b83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8:44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4>h0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`02c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<3`83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31<4=n>:0;66g94;29?l022900c:750;9~f65e290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;2:7d8<:188m32=831b:84?::m4=?6=3th8?:4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=4d9j26<722c=87>5;h46>5<<g>31<75rb21;>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929572b3`<86=44i7694?=n><0;66a89;29?xd4<80;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9mk5f6283>>o1<3:17d8::188k2?=831vn>:::181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f631290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb274>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn>;::187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj:?n6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f63a290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb27g>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn>:9:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm35594?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`005<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<3883>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8?h4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4;o0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`07f<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<3e83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th88?4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd48m0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;94=5f6283>>o1<3:17d8::188k2?=831vn>>j:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>513:3?l042900e;:50;9j20<722e<57>5;|`04d<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<m1b:>4?::k50?6=3`<>6=44o6;94?=zj::i6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:k;h40>5<<a?>1<75f6483>>i013:17pl<1583>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e`=n>:0;66g94;29?l022900c:750;9~f67?29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn><6:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:8j6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f64?290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb212>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn>==:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj:9;6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f67>290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e;8k1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th8=>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd48j0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`054<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<1383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8<k4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd4990;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`050<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=b283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;313c=n>:0;66g94;29?l022900c:750;9~f7d3290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=m7d8<:188m32=831b:84?::m4=?6=3th9n=4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=4b9j26<722c=87>5;h46>5<<g>31<75rb3`2>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929572d3`<86=44i7694?=n><0;66a89;29?xd5jh0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9nh5f6283>>o1<3:17d8::188k2?=831vn?lj:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f7ea290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb3f3>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn?mj:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj;n<6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f7b?290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb3f5>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn?li:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm2b294?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`1f<<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=b383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9n:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5j10;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`1f0<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=b783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9no4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd50?0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9;i5f6283>>o1<3:17d8::188k2?=831vn?68:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>5135g?l042900e;:50;9j20<722e<57>5;|`1<6<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<k1b:>4?::k50?6=3`<>6=44o6;94?=zj;2?6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:m;h40>5<<a?>1<75f6483>>i013:17pl=8e83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ea=n>:0;66g94;29?l022900c:750;9~f7?629096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn?o=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;k86=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f7g6290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb3cb>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn?om:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;k26=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f7?5290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e:091<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th94n4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd50<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`1<d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=8c83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9454?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5000;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`1<`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=5883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;313f=n>:0;66g94;29?l022900c:750;9~f73f290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=h7d8<:188m32=831b:84?::m4=?6=3th99;4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=4`9j26<722c=87>5;h46>5<<g>31<75rb374>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929572f3`<86=44i7694?=n><0;66a89;29?xd5>90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9mi5f6283>>o1<3:17d8::188k2?=831vn?8;:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f712290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb355>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn?9;:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj;=o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f71b290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb35`>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn?8::186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm27494?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`11c<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=5983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th99i4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5=l0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`11g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=5b83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9:<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5:j0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9;o5f6283>>o1<3:17d8::188k2?=831vn?<k:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>5135a?l042900e;:50;9j20<722e<57>5;|`16<<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<01b:>4?::k50?6=3`<>6=44o6;94?=zj;8j6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:6;h40>5<<a?>1<75f6483>>i013:17pl=3283>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ef=n>:0;66g94;29?l022900c:750;9~f75029096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn?:7:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;>26=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f720290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb373>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn?;>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;>m6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f75?290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e::31<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th9??4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5:k0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`175<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=3083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9>h4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5:o0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`171<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>fg83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;313d=n>:0;66g94;29?l022900c:750;9~f767290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=j7d8<:188m32=831b:84?::m4=?6=3th:jn4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=499j26<722c=87>5;h46>5<<g>31<75rb0dg>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$929572?3`<86=44i7694?=n><0;66a89;29?xd58?0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9mn5f6283>>o1<3:17d8::188k2?=831vn?>n:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f77e290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb33`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn??n:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj;886=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f743290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb301>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn?>m:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm21a94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`140<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>fd83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9<>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd58=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`144<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl=0383>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9<:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6l;0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9;45f6283>>o1<3:17d8::188k2?=831vn<j<:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>5135:?l042900e;:50;9j20<722e<57>5;|`2gc<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<?1b:>4?::k50?6=3`<>6=44o6;94?=zj8n;6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:9;h40>5<<a?>1<75f6483>>i013:17pl>d883>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31ed=n>:0;66g94;29?l022900c:750;9~f4bc29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn<kj:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj8om6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f4cc290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb0d5>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<h8:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj8l>6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f4bb290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e9ml1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:h54?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6l80;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`2`3<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>d683>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:h94?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6l<0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`2`d<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>a483>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;313==n>:0;66g94;29?l022900c:750;9~f4g1290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=37d8<:188m32=831b:84?::m4=?6=3th:m?4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=449j26<722c=87>5;h46>5<<g>31<75rb0c0>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957223`<86=44i7694?=n><0;66a89;29?xd6ij0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9ml5f6283>>o1<3:17d8::188k2?=831vn<l?:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f4e6290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb0a1>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<m?:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj8i26=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f4ef290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb0a;>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn<l>:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm1c094?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`2eg<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>a583>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:m44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6ih0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`2e2<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>a983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:mi4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6?10;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9;:5f6283>>o1<3:17d8::188k2?=831vn<96:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51354?l042900e;:50;9j20<722e<57>5;|`230<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<=1b:>4?::k50?6=3`<>6=44o6;94?=zj8==6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:;;h40>5<<a?>1<75f6483>>i013:17pl>7g83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e<=n>:0;66g94;29?l022900c:750;9~f4>429096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn<7;:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj83>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f4?4290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb0;`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<7k:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj83i6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f4>3290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e91?1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:;h4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6?>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`23f<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>7e83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:;l4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6?k0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`2<5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>4c83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3133=n>:0;66g94;29?l022900c:750;9~f42d290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;==7d8<:188m32=831b:84?::m4=?6=3th:854?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=429j26<722c=87>5;h46>5<<g>31<75rb06:>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957243`<86=44i7694?=n><0;66a89;29?xd6=;0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9m45f6283>>o1<3:17d8::188k2?=831vn<;9:181>5<7s-oi6;o4H001<>N6:;90(:o51205?l052900c:750;9~f400290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb04;>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<89:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj8<m6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f417290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb04f>5<3290;w)km:4f8L44502B:>?=4$6c956413-<26<5f1483>>o2>3:17d6;:188k=4=831vn<;8:186>5<7s-oi68k4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44i9694?=h0;0;66sm14:94?3=83:p(hl55d9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9j<1<722e3>7>5;|`214<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>4`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:8k4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6=90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`20a<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>4d83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:9>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd69l0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9;85f6283>>o1<3:17d8::188k2?=831vn<?i:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51356?l042900e;:50;9j20<722e<57>5;|`25g<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75<;1b:>4?::k50?6=3`<>6=44o6;94?=zj8;h6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?:=;h40>5<<a?>1<75f6483>>i013:17pl>2483>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e==n>:0;66g94;29?l022900c:750;9~f44>29096=4?{%ga>3g<@88946F>2318 2g=9:8=7d8=:188k2?=831vn<=n:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj89i6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f45>290?6=4?{%ga>0b<@88946F>2318 2g=9:8=7)86:09j50<722c>:7>5;h:7>5<<g181<75rb061>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<:<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj8>:6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f44f290>6=4?{%ga>0c<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<a1>1<75`8383>>{e9;h1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3th:>94?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd69m0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`267<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>2283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:>=4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd6:80;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`263<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>0g294?2=83:p(hl5709K574?3A;9>>5+7`82770<,?31=:5+8182664<a?91<75f6583>>o1=3:17b96:188yg77n80;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9?>5f6283>>o1<3:17d8::188k2?=831vn<>jd;290?6=8r.nn79>;I316==O9;887)9n:0112>"113;<7)6?:0040>o1;3:17d8;:188m33=831d;44?::a55cb290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=?7d8<:188m32=831b:84?::m4=?6=3th:<k950;694?6|,lh1;<5G130;?M75::1/;l4>3348 3?=9>1/4=4>2cg8m35=831b:94?::k51?6=3f=26=44}c33bg<72;0;6=u+ec85e>N6:;20D<<=3:&4e?74:?1b:?4?::m4=?6=3th:==m50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e98:o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f477j3:187>50z&ff?3c3A;9>55G1300?!1f2899:6*99;38m43=831b9;4?::k;0?6=3f296=44}c3251<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>10794?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi=<?<:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zj8:mo7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vn<>id;291?6=8r.nn7;j;I316==O9;887)9n:0112>"113;0e<;50;9j5=<722c>:7>5;h:7>5<<g181<75rb02e2?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qo??eg83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<k:50;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99l>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46a:3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c33b6<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>0g:94?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi==86:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51231?l042900e;:50;9j20<722e<57>5;|`243g=83>1<7>t$d`934=O9;837E?=229'3d<6;;<0(;75169'<5<6;890e;=50;9j21<722c=97>5;n5:>5<<uk;;:;4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?<159j26<722c=87>5;h46>5<<g>31<75rb0253?6=<3:1<v*jb;52?M75:11C=?<<;%5b>455>2.=57?8;%:3>456=2c=?7>5;h47>5<<a??1<75`7883>>{e99=;6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>?9;h40>5<<a?>1<75f6483>>i013:17pl>06694?4=83:p(hl56`9K574?3A;9>>5+7`82770<a?81<75`7883>>{e992>6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f46?>3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c33<1<72=0;6=u+ec86`>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a<<1<75f8583>>i?:3:17pl>09f94?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi==6j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj8:3o7>54;294~"bj3?o7E?=299K57443-=j6<==6:&5=?7<a8?1<75f5783>>o?<3:17b6=:188yg77?<0;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uk;;;;4?:483>5}#mk0>i6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66g74;29?j>52900qo??6g83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th:<;650;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{e99<o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f461m3:187>50z&ff?3d3A;9>55G1300?!1f2899:6*99;38m43=831b=54?::k62?6=3f296=44}c332g<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl>07a94?2=83:p(hl55b9K574?3A;9>>5+7`82770<,?31=6g>5;29?l7?2900e8850;9l<7<722wi==9>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjl=j6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>>k;h40>5<<a?>1<75f6483>>i013:17plj7c83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;304`=n>:0;66g94;29?l022900c:750;9~f`10290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9::m7d8<:188m32=831b:84?::m4=?6=3thn;54?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?<119j26<722c=87>5;h46>5<<g>31<75rbd:2>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92956763`<86=44i7694?=n><0;66a89;29?xdb0<0;6?4?:1y'ag<1i2B:>?64H0017>"0i3;8>;5f6383>>i013:17plj9783>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thn5:4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdb1<0;694?:1y'ag<2l2B:>?64H0017>"0i3;8>;5+6882?l722900e8850;9j<1<722e3>7>5;|`f=`<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plj9g83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thn5i4?:583>5}#mk0>h6F>23:8L445;2.<m7?<279'2<<63`;>6=44i4494?=n0=0;66a72;29?xdb0?0;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uko3;7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vnh6?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjl=26=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`1b290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbd5e>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnh9l:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjl=o6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f`>5290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb:a>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92956603`<86=44i7694?=n><0;66a89;29?xdd0j0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;8<55f6283>>o1<3:17d8::188k2?=831vnn67:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>5122:?l042900e;:50;9j20<722e<57>5;|``<<<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?748h1b:>4?::k50?6=3`<>6=44o6;94?=zjj396=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>>m;h40>5<<a?>1<75f6483>>i013:17pll9783>7<729q/io49a:J267><@889?6*8a;3063=n>;0;66a89;29?xddi>0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``e=<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plla783>1<729q/io4:d:J267><@889?6*8a;3063=#>00:7d?::188m00=831b494?::m;6?6=3thhmk4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xddj90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|``e`<72=0;6=u+ec86`>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a<<1<75f8583>>i?:3:17pll9683>0<729q/io4:e:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::k;0?6=3f296=44}ca:<?6==3:1<v*jb;7f?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?l>32900c5<50;9~ff?6290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb:b>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnn6i:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjj3;6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~ff>c290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbb:f>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnn7<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh3h6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=>>=;h40>5<<a?>1<75f6483>>i013:17pln9e83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3046=n>:0;66g94;29?l022900c:750;9~fd?>290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9::?7d8<:188m32=831b:84?::m4=?6=3thj5l4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?<049j26<722c=87>5;h46>5<<g>31<75rb`c0>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92956613`<86=44i7694?=n><0;66a89;29?xdfi>0;6?4?:1y'ag<1i2B:>?64H0017>"0i3;8>;5f6383>>i013:17plnb983>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thjn44?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xdfj>0;694?:1y'ag<2l2B:>?64H0017>"0i3;8>;5+6882?l722900e8850;9j<1<722e3>7>5;|`bg5<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17plnc083>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3thjnk4?:583>5}#mk0>h6F>23:8L445;2.<m7?<279'2<<63`;>6=44i4494?=n0=0;66a72;29?xdfi10;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<ukkj57>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vnlo=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh3i6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fdg7290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb`c2>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vnl7j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjh3m6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~fdg3290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb9cg>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957g13`<86=44i7694?=n><0;66a89;29?xd?il0;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9m;5f6283>>o1<3:17d8::188k2?=831vn5on:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51351?l042900e;:50;9j20<722e<57>5;|`;eg<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75?;1b:>4?::k50?6=3`<>6=44o6;94?=zj1h?6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?l6;h40>5<<a?>1<75f6483>>i013:17pl7b983>7<729q/io49a:J267><@889?6*8a;3063=n>;0;66a89;29?xd?k00;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;gd<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl7c983>1<729q/io4:d:J267><@889?6*8a;3063=#>00:7d?::188m00=831b494?::m;6?6=3th3h<4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd?l;0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`;`5<72=0;6=u+ec86`>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a<<1<75f8583>>i?:3:17pl7b883>0<729q/io4:e:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::k;0?6=3f296=44}c:ae?6==3:1<v*jb;7f?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?l>32900c5<50;9~f=d4290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb9c`>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn5l>:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj1h96=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f=ga290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb9`3>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn5l::187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?hn6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?o:;h40>5<<a?>1<75f6483>>i013:17pl9bg83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e0=n>:0;66g94;29?l022900c:750;9~f3de290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;=:7d8<:188m32=831b:84?::m4=?6=3th=nn4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=709j26<722c=87>5;h46>5<<g>31<75rb7a6>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957d43`<86=44i7694?=n><0;66a89;29?xd1k00;6?4?:1y'ag<1i2B:>?64H0017>"0i3;8>;5f6383>>i013:17pl9d`83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=ho4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd1l00;694?:1y'ag<2l2B:>?64H0017>"0i3;8>;5+6882?l722900e8850;9j<1<722e3>7>5;|`5a7<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl9e283>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th=i<4?:583>5}#mk0>h6F>23:8L445;2.<m7?<279'2<<63`;>6=44i4494?=n0=0;66a72;29?xd1kh0;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uk<hn7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vn;m;:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?ho6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f3e5290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb7a0>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn;m?:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj?i:6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f3e1290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb5ae>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957g33`<86=44i7694?=n><0;66a89;29?xd3l90;694?:1y'ag<092B:>?64H0017>"0i3;8>;5+68823>"?83;9m95f6283>>o1<3:17d8::188k2?=831vn9ml:187>5<7s-oi6:?4H001<>N6:;90(:o51205?!0>28=0(5>51353?l042900e;:50;9j20<722e<57>5;|`7ga<72=0;6=u+ec845>N6:;20D<<=3:&4e?74:?1/:44>7:&;4?75?91b:>4?::k50?6=3`<>6=44o6;94?=zj=n=6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?oj;h40>5<<a?>1<75f6483>>i013:17pl;d`83>7<729q/io49a:J267><@889?6*8a;3063=n>;0;66a89;29?xd3mk0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`7af<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl;e`83>1<729q/io4:d:J267><@889?6*8a;3063=#>00:7d?::188m00=831b494?::m;6?6=3th?j>4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd3n=0;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`7b7<72=0;6=u+ec86`>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a<<1<75f8583>>i?:3:17pl;dc83>0<729q/io4:e:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::k;0?6=3f296=44}c6gg?6==3:1<v*jb;7f?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?l>32900c5<50;9~f1b2290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb5af>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn9j<:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj=n?6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f1b6290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb5f1>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn9j8:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;o;6=4;:183!ce2>;0D<<=8:J2675<,>k1=><9;%4:>41<,1:1=?o<;h40>5<<a?>1<75f6483>>i013:17pl=e083>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;31e6=n>:0;66g94;29?l022900c:750;9~f7bc290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;<m7d8<:188m32=831b:84?::m4=?6=3th9hh4?:583>5}#mk0<=6F>23:8L445;2.<m7?<279'2<<6?2.3<7?=6g9j26<722c=87>5;h46>5<<g>31<75rb3g4>5<3290;w)km:638L44502B:>?=4$6c956413-<26<94$92957g?3`<86=44i7694?=n><0;66a89;29?xd5mk0;6?4?:1y'ag<1i2B:>?64H0017>"0i3;8>;5f6383>>i013:17pl=fb83>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th9ji4?:583>5}#mk0>o6F>23:8L445;2.<m7?<279'2<<63`;>6=44i0:94?=n=?0;66a72;29?xd5nk0;694?:1y'ag<2l2B:>?64H0017>"0i3;8>;5+6882?l722900e8850;9j<1<722e3>7>5;|`041<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pl<0483>1<729q/io4:c:J267><@889?6*8a;3063=#>00:7d?::188m4>=831b9;4?::m;6?6=3th8<>4?:583>5}#mk0>h6F>23:8L445;2.<m7?<279'2<<63`;>6=44i4494?=n0=0;66a72;29?xd5mj0;684?:1y'ag<2m2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722c387>5;n:1>5<<uk8nh7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vn?k9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;nm6=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f7c3290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb3g6>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn?k=:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj;o86=4;:183!ce2<i0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g>8;29?l312900c5<50;9~f7c?290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rbg394?2=83:p(hl5709K574?3A;9>>5+7`82770<,?31=:5+818266c<a?91<75f6583>>o1=3:17b96:188yg`5290?6=4?{%ga>27<@88946F>2318 2g=9:8=7)86:058 =6=9;9m7d8<:188m32=831b:84?::m4=?6=3thni7>54;294~"bj3=:7E?=299K57443-=j6<==6:&5=?703-2;6<<;0:k57?6=3`<?6=44i7794?=h?00;66smeg83>1<729q/io481:J267><@889?6*8a;3063=#>00:;6*70;3104=n>:0;66g94;29?l022900c:750;9~fc>=83>1<7>t$d`934=O9;837E?=229'3d<6;;<0(;75169'<5<6:j80e;=50;9j21<722c=97>5;n5:>5<<uklh6=4=:183!ce2?k0D<<=8:J2675<,>k1=><9;h41>5<<g>31<75rb02g>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<>j:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zj8:h6=4;:183!ce2<n0D<<=8:J2675<,>k1=><9;%4:>4=n9<0;66g:6;29?l>32900c5<50;9~f472290?6=4?{%ga>0e<@88946F>2318 2g=9:8=7)86:09j50<722c:47>5;h75>5<<g181<75rb035>5<3290;w)km:4a8L44502B:>?=4$6c956413-<26<5f1483>>o603:17d;9:188k=4=831vn<?;:187>5<7s-oi68j4H001<>N6:;90(:o51205?!0>281b=84?::k62?6=3`2?6=44o9094?=zjon1<7;50;2x `d==l1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831b494?::m;6?6=3thmi7>55;294~"bj3?n7E?=299K57443-=j6<==6:&5=?7<a8?1<75f1983>>o2>3:17d6;:188k=4=831vnk950;694?6|,lh19n5G130;?M75::1/;l4>3348 3?=92c:97>5;h3;>5<<a<<1<75`8383>>{en90;694?:1y'ag<2k2B:>?64H0017>"0i3;8>;5+6882?l722900e<650;9j13<722e3>7>5;|`e1?6=<3:1<v*jb;7`?M75:11C=?<<;%5b>455>2.=57?4i0794?=n910;66g:6;29?j>52900qoh9:187>5<7s-oi68m4H001<>N6:;90(:o51205?!0>281b=84?::k2<?6=3`?=6=44o9094?=zjo91<7:50;2x `d==j1C=?<7;I3166=#?h0:??84$7;95>o6=3:17d?7:188m00=831d4?4?::ab1<72=0;6=u+ec86g>N6:;20D<<=3:&4e?74:?1/:44>;h36>5<<a821<75f5783>>i?:3:17pli9;290?6=8r.nn7;l;I316==O9;887)9n:0112>"113;0e<;50;9j5=<722c>:7>5;n:1>5<<uk;:o44?:683>5}#mk0<86F>23:8L445;2.=57;6;h40>5<<a?>1<75f6483>>o1>3:17d88:188m3>=831d;44?::a54ee290<6=4?{%ga>22<@88946F>2318 3?==01b:>4?::k50?6=3`<>6=44i7494?=n>>0;66g98;29?j1>2900qo?>cb83>2<729q/io484:J267><@889?6*99;a8m35=831b:94?::k51?6=3`<=6=44i7594?=n>10;66a89;29?xd69jn1<7950;2x `d=?=1C=?<7;I3166=#>00h7d8<:188m32=831b:84?::k52?6=3`<<6=44i7:94?=h?00;66sm10af>5<0290;w)km:668L44502B:>?=4$7;9g>o1;3:17d8;:188m33=831b:;4?::k53?6=3`<36=44o6;94?=zj8;hj7>57;294~"bj3=?7E?=299K57443-<26n5f6283>>o1<3:17d8::188m30=831b::4?::k5<?6=3f=26=44}c32`5<72>0;6=u+ec840>N6:;20D<<=3:&5=?e<a?91<75f6583>>o1=3:17d89:188m31=831b:54?::m4=?6=3th:=i?50;794?6|,lh1;?5G130;?M75::1/:44m;h40>5<<a?>1<75f6483>>o1>3:17b96:188yg76l;0;6:4?:1y'ag<0<2B:>?64H0017>"113?m7d8<:188m32=831b:84?::k52?6=3`<<6=44i7:94?=h?00;66sm10ab>5<1290;w)km:618L44502B:>?=4$7;956=n>:0;66g94;29?l022900e;850;9j22<722e<57>5;|`251>=83>1<7>t$d`91d=O9;837E?=229'2<<63-=j6<==6:k21?6=3`8o6=44i4494?=h0;0;66sm106:>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn<>lc;290?6=8r.nn7;n;I316==O9;887)86:09'3d<6;;<0e<;50;9j6a<722c>:7>5;n:1>5<<uk;;oi4?:583>5}#mk0>m6F>23:8L445;2.=57?4$6c956413`;>6=44i3f94?=n=?0;66a72;29?xd680l1<7:50;2x `d==h1C=?<7;I3166=#>00:7)9n:0112>o6=3:17d<k:188m00=831d4?4?::a55g7290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb0271?6=<3:1<v*jb;7b?M75:11C=?<<;%4:>4=#?h0:??84i0794?=n:m0;66g:6;29?j>52900qo??4783>1<729q/io4:a:J267><@889?6*99;38 2g=9:8=7d?::188m7b=831b9;4?::m;6?6=3th:<<650;694?6|,lh19l5G130;?M75::1/:44>;%5b>455>2c:97>5;h0g>5<<a<<1<75`8383>>{e99;26=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fcce290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbgg`>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnklj:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjohm6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fc?6290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbg;1>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnk8;:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjo<>6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fc50290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbg1;>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnk>n:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjo:i6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f`bc290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbdff>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnhl?:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjlh:6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f`31290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbd74>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnh<6:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjl8j6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fa`d290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbedg>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnimi:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjmn;6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fag5290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbec0>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vni9::187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjm==6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fa2?290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbe6:>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vni?m:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjm;h6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~ffcb290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbbge>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnnm>:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjji96=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~ff00290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbb4;>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnn=n:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjj9i6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~ff6c290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbb2f>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnok?:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjko:6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fgd4290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbc`7>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vno69:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjk2<6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fg3>290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbc7b>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vno<l:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjk8o6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fd`a290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbc23>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnlj=:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjhn86=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fd1?290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb`5:>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnl:m:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjh>h6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fd7b290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb`3e>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn4h>:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj0l96=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f<e3290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb8a6>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn478:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj0336=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f<0f290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb84a>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn4=k:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj09n6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f<77290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb832>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn5k<:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj1o?6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f=>>290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb9:b>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn5;l:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj1?o6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f=4a290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb913>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn5>=:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj1:86=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f2b2290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb6f5>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn:o7:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj>k26=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f21e290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb65`>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn::j:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj>>m6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f246290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb601>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn;h;:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj?l>6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f3?f290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb7;a>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn;8k:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj?<n6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f327290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb762>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn;?<:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj?;?6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f0c1290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb4g4>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn8l6:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj<hj6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f0>d290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb4:g>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn8;i:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj<<;6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f055290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb410>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn8>::187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj<:=6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f1ge290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb5c`>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn99j:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj==m6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f136290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb571>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn9<;:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj=8>6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f6`0290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb2d;>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn>mn:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj:ii6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f6?c290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb2;f>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn>9?:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj:=:6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f624290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb267>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn>?9:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj:;<6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f7dd290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb3`g>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn?6i:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj;3;6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f705290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb340>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn?=::187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj;9=6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f76?290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb32:>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn<jm:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj8nh6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f4gb290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb0ce>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn<6>:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj8296=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f433290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb076>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn<<8:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj8836=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f46a13:187>50z&ff?3f3A;9>55G1300?!0>281/;l4>3348m43=831b>i4?::k62?6=3f296=44}c33bd<72=0;6=u+ec86e>N6:;20D<<=3:&5=?7<,>k1=><9;h36>5<<a;n1<75f5783>>i?:3:17pl>06094?2=83:p(hl55`9K574?3A;9>>5+6882?!1f2899:6g>5;29?l4c2900e8850;9l<7<722wi==9<:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjl286=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f`>3290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbb;7>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vnn7::187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zjhk>6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~fdg1290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb9`5>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn5l8:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj?i<6=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f3e?290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rb5f;>5<3290;w)km:4c8L44502B:>?=4$7;95>"0i3;8>;5f1483>>o5l3:17d;9:188k=4=831vn9j6:187>5<7s-oi68o4H001<>N6:;90(;751:&4e?74:?1b=84?::k1`?6=3`?=6=44o9094?=zj;o26=4;:183!ce2<k0D<<=8:J2675<,?31=6*8a;3063=n9<0;66g=d;29?l312900c5<50;9~f7cf290?6=4?{%ga>0g<@88946F>2318 3?=92.<m7?<279j50<722c9h7>5;h75>5<<g181<75rbgc94?2=83:p(hl55`9K574?3A;9>>5+6882?!1f2899:6g>5;29?l4c2900e8850;9l<7<722wijo4?:583>5}#mk0>m6F>23:8L445;2.=57?4$6c956413`;>6=44i3f94?=n=?0;66a72;29?xub>3:1:vPj6:?24f?==?16==k8:448946cn3?=70??cd856>;68jn1=85rsd694?0|Vl>01<>6c;75?877jh0>:63>0c0913=:99k:6;<4=02b4?723tyn>7>56z\f6>;68=819;521143>00<58:>47;9;<3302<1:27:<985149~w`7=83<pRh?4=0221?3134;;?>4:6:?247d==?16==?n:708946613;>7p}j0;292~Xb827mi54:6:?2450==?16jkk5579>b`b=>;16jhm5149~wa`=83<pRih4=g`a>00<5on26884=gf2>00<5oi;6;<4=g`e>43<uznn6=49{_ff?8`?m3?=70hnc;75?8`f<3?=70h63;41?8`>:3;>7p}kd;292~Xcl27m:<4:6:?e3c<2>27m;:4:6:?e23<1:27m:84>5:p`f<72?qUhn52f26913=:n<819;52f5c913=:n:31:?52f2:950=z{mh1<78t^e`89c602<<01k<::4489c7c2<<01k>l:7089c6e28?0q~jn:185[bf34oom7;9;<ge<?3134om<7;9;<ggb?0534ooi7?:;|qg=?6=>rTo563jae862>;bkk0>:63jc2862>;bj;0=>63jb0821>{tl>0;6;uQd69>a05==?16i:?5579>a3?==?16i865639>a01=9<1vi850;4xZa0<5l8=6884=d67>00<5l9h6884=d0a>34<5l8j6<;4}rf6>5<1sWn>70ji9;75?8c6?3?=70k?f;75?8bam3<970jid;36?xuc<3:1:vPk4:?ggf<2>27oil4:6:?ga7<2>27oh<492:?g`5<6=2wxh>4?:7y]`6=:l0l19;52dcf913=:lk?19;52d`6927=:lh91=85rse094?0|Vm801i9=:4489a?72<<01i67:4489a102?801i99:078yvb6290=wSj>;<f71?3134n=?7;9;<f6f?3134n?m78=;<f7=?723tyo<7>56z\g4>;c910>:63k37862>;c:l0>:63k1e856>;c9j0:96s|cg83>3}Yko16ohl5579>`5?==?16h=?5579>gc6=>;16ohh5149~wfc=83<pRnk4=b`f>00<5jnh6884=bf7>00<5ji86;<4=ba1>43<uzih6=49{_a`?8e1<3?=70m72;75?8e0i3?=70m99;41?8e103;>7p}lb;292~Xdj27h?:4:6:?`10<2>27h8i4:6:?`7f<1:27h?o4>5:pgd<72?qUol52c1c913=:k;219;52c32913=:k9l1:?52c1g950=z{j31<78t^b;89gbc2<<01ohm:4489g`42<<01ok=:7089gc628?0q~m7:185[e?34hi<7;9;<``a?3134hh:7;9;<`a1?0534hi87?:;|q`3?6=>rTh;63m82862>;ei80>:63m98862>;e010=>63m86821>{tk?0;6;uQc79>f00==?16n::5579>f3e==?16n8l5639>f0g=9<1vn;50;4xZf3<5k826884=c64>00<5k9m6884=c0f>34<5k8o6<;4}ra7>5<1sWi?70oic;75?8d6i3?=70l>2;75?8d793<970l?0;36?xud;3:1:vPl3:?bgc<2>27jii4:6:?ba0<2>27jh9492:?b`6<6=2wxo<4?:7y]g4=:i>?19;52a81913=:i1h19;52a6c927=:i>31=85rsb294?0|Vj:01l:7:4489d012<<01l;j:4489d2c2?801l:l:078yvda290=wSli;<c2f?3134k857;9;<c05?3134k9<78=;<c2b?723tyii7>56z\aa>;>ml0>:63n0b862>;f8=0>:636f2856>;>n;0:96s|be83>3}Yjm165n?5579>=a`==?165i95579>=f0=>;165n;5149~wge=83<pRom4=8;7>00<50h96884=8cb>00<50326;<4=8;;>43<uzhi6=49{_`a?8?1?3?=70775;75?8?0l3?=7079c;41?8?1j3;>7p}ma;292~Xei272?l4:6:?:1=<2>2729=4:6:?:7c<1:272?h4>5:pf<<72?qUn45291f913=:1;h19;52931913=:1881:?52903950=z{k21<78t^c:89=c72<<015hj:4489=`12<<015k::7089=c328?0q~l9:185[d13423:7;9;<:b0?313422o7;9;<:;f?053423m7?:;|qa1?6=>rTi963758862>;??>0>:6376g862>;?=l0=>6375e821>{tj=0;6;uQb59><7e==?1649o5579><14==?164>?5639><66=9<1vo=50;4xZg5<5>lm6884=93g>00<51;>6884=927>34<51:86<;4}r`1>5<1sWh9709k2;75?81a83?=709j8;75?81c?3<9709k6;36?xue93:1:vPm1:?4e0<2>27<o>4:6:?4fg<2>27<ml492:?4e<<6=2wxn=4?:7y]f5=:?>219;52784913=:?1o19;5276f927=:?>i1=85rs`d94?0|Vhl01::m:448920>2<<01:8>:44892372?801::i:078yvgb290=wSoj;<52a?3134=8o7;9;<500?3134=9?78=;<516?723tyjh7>56z\b`>;1n80>:6380g862>;08>0>:639f7856>;1n<0:96s|ac83>3}Yik16:495579>2g3==?16:lj5579>2<e=>;16:4l5149~wdg=83<pRlo4=74b>00<5?236884=7:3>00<5?<m6;<4=74f>43<uzk26=49{_c:?804l3?=708:b;75?802;3?=708;2;41?80393;>7p}n8;292~Xf027===4:6:?56`<2>27=>;4:6:?550<1:27==94>5:pe2<72?qUm:525d1913=:>9;19;525g;913=:=l21:?525d5950=z{h<1<78t^`4890d12<<018j;:44890ed2<<018lm:70890df28?0q~o::185[g234?357;9;<7b3?3134?2j7;9;<7;a?0534?3h7?:;|qb0?6=>rTj863:5b862>;2?h0>:63:73862>;2>80=>63:61821>{ti:0;6;uQa29>17`==?1699j5579>113==?169>:5639>165=9<1vl<50;4xZd4<5<:96884=403>00<5<;36884=424>34<5<:=6<;4}rc3>5<1sWk;70:n8;75?82d>3?=70:me;75?82fl3<970:nc;36?xu>n3:1:vP6f:?73g<2>27?544:6:?7=4<2>27?4=492:?73c<6=2wx5h4?:7y]=`=:<=o19;5247a913=:<?>19;52441927=:<<81=85rs8f94?0|V0n019<>:448915a2<<019=8:44891412?8019<::078yv?d290=wS7l;<1e0?3134>:>7;9;<63e?31349m578=;<1e<?723ty2n7>56z\:f>;4k>0>:63<e4862>;4lm0>:63<cb856>;4kk0:96s|9`83>3}Y1h16?4o5579>7g>==?16?o>5579>7<`=>;16?4k5149~w<?=83<pR474=24g>00<5:2i6884=2:0>00<5:=96;<4=252>43<uz336=49{_;;?85383?=70=:e;75?852>3?=70=;5;41?853<3;>7p}67;292~X>?278=>4:6:?074<2>278>44:6:?05=<1:278=:4>5:p=0<72?qU58522c;913=::m=19;522bd913=::ko1:?522cf950=z{0>1<78t^86897>d2<<01?on:44897g52<<01?7>:70897?728?0q~7<:185[?4348>j7;9;<04`?31348<97;9;<050?05348=?7?:;|q:6?6=>rT2>63=33862>;5=90>:63=49862>;5;>0=>63=37821>{t180;6;uQ909>653==?16>?=5579>64d==?16>=o5639>65?=9<1v4>50;4xZ<6<58n36884=0d5>00<58on6884=0fg>34<58nh6<;4}r:e>5<1sW2m70?nb;75?87d13?=70?l1;75?87e83<970?nf;36?xu?m3:1:vP7e:?23`<2>27:5n4:6:?2=1<2>27:4>492:?2<7<6=2wx4i4?:7y]<a=:9<;19;5217d913=:9?=19;52144927=:9<?1=85rs9a94?0|V1i01<<;:44894252<<01<=n:448944>2?801<<7:078yvc0290=wSk8;<33b3<2>27:=<:5579>546d2<<01<>ib;41?877nh0:96s|e283>3}Ym:16==8i:448946?l3?=70??84862>;68>>1:?521150>43<uzn36=49{_f;?8c?83?=70k6e;75?8c>>3?=70k75;41?8c?<3;>7p}ld;292~Xdl27h5<4:6:?`ec<2>27hm:4:6:?`=3<1:27h584>5:pg7<72?qUo?52a`0913=:ij:19;52ac:913=:ih=1:?52a`4950=z{k=1<78t^c589=d42<<015j>:4489=e>2<<015l7:7089=d028?0q~ol:185[gd34<h87;9;<4f6?3134<om7;9;<4`=?0534<h47?:;|qb5?6=>rTj=63;d4862>;3n:0>:63;ec862>;3lh0=>63;d8821>{t1?0;6;uQ979>6`0==?16?=:5579>6ce==?16>hl5639>6`g=9<1v5l50;4xZ=d<5o=19;52107913=:99n19;52fb856>;aj3;>7p};c;296~X3k27:=i?5679~w1g=838pR9o4=03g5?023ty?57>52z\7=>;69m;1:>5rs5:94?4|V=201<?k1;47?xu3?3:1>vP;7:?25a6=>11v9850;0xZ10<58;o<788;|q70?6=:rT?863>1e2923=z{=91<7<t^518947c83<>7p};2;296~X3:27:=i>5629~w17=838pR9?4=03g4?033ty?<7>52z\74>;69jn1:55rs2d94?4|V:l01<?ld;44?xu4m3:1>vP<e:?25fb=>?1v>j50;0xZ6b<58;hh78:;|q0g?6=:rT8o63>1bf926=z{:h1<7<t^2`8947dl3<?7p}<9;296~X4127:=nm5699~w6>=838pR>64=03`g?003ty8;7>52z\03>;69ji1:;5rs2494?4|V:<01<?lc;46?xu4=3:1>vP<5:?25fe=>:1v>:50;0xZ62<58;ho78;;|q07?6=:rT8?63>1bd92==z{:81<7<t^208947dn3<<7p}<1;296~X4927:=nh5679~w66=838pR>>4=03`b?023ty>?7>52z\67>;69jl1:>5rs4094?4|V<801<?lf;47?xu293:1=i>t^4389446n3?=70?>bb851>;68jk1:85211a2>33<58:h<78:;<33g1<1=27:<n=5649>55?c2??01<>64;46?8771:0=963>085920=:993=6;;4=0277?0234;;?l495:?246?=><16===k:7789464k3<>70??17851>;689n1:852112`>33<58::<78:;<334c<1=27mi4495:?ea5<1=27mhk495:?ea6<1=27mi?495:?eff<1=27mn>495:?ef7<1=27mn;495:?ef0<1=27m4k495:?e<3<1=27m48495:?e<<<1=27m45495:?e27<1=27m94495:?e1=<1=27m9n495:?e1g<1=27m?8495:?e6f<1=27m>o495:?e6c<1=27m>h495:?e4=<1=27njk495:?fb`<1=27m<?495:?e44<1=27nho495:?f`7<1=27nh<495:?f`0<1=27nh9495:?fe`<1=27nm8495:?fe1<1=27nm5495:?fe2<1=27n99495:?f0g<1=27n8l495:?f0`<1=27n8i495:?f62<1=27n=h495:?f5a<1=27n><495:?f65<1=27ojl495:?gb4<1=27oj=495:?gb1<1=27oj>495:?gga<1=27oo9495:?gg6<1=27oo:495:?gg3<1=27om=495:?g=2<1=27o5;495:?g=d<1=27o54495:?g36<1=27o:l495:?g2<<1=27o:i495:?g2f<1=27o8;495:?g7a<1=27o?n495:?g05<1=27o?k495:?g5<<1=27o==495:?g4c<1=27o=>495:?g57<1=27hin495:?`a6<1=27hi?495:?`a3<1=27hi8495:?`fc<1=27hn;495:?`f0<1=27hn4495:?`f=<1=27h:8495:?`1f<1=27h9o495:?`1c<1=27h9h495:?`7=<1=27h>k495:?`6`<1=27h??495:?`74<1=27h<o495:?`47<1=27h<<495:?`40<1=27h<9495:?a``<1=27ih8495:?a`1<1=27ih5495:?a`2<1=27in<495:?ae=<1=27im:495:?aeg<1=27iml495:?a<1<1=27i;o495:?a3d<1=27i;h495:?a3a<1=27i9:495:?a0`<1=27i8i495:?a14<1=27i9=495:?a6d<1=27i><495:?a65<1=27i>9495:?a66<1=27jji495:?bb1<1=27jj>495:?bb2<1=27jj;495:?b`5<1=27jo:495:?bg3<1=27jol495:?bg<<1=27j;;495:?b2a<1=27j:n495:?b35<1=27j:k495:?b0<<1=27j8=495:?b7c<1=27j8>495:?b07<1=27j=n495:?b56<1=27j=?495:?b53<1=27j=8495:?:ac<1=272i;495:?:a0<1=272i4495:?:a=<1=272o?495:?:f<<1=272n5495:?:ff<1=272no495:?:=0<1=2724n495:?:<g<1=2724k495:?:<`<1=272:5495:?:1c<1=2729h495:?:27<1=272:<495:?:7g<1=272??495:?:74<1=272?8495:?:71<1=272<h495:?:40<1=272<9495:?:4=<1=272<:495:?;a4<1=273h5495:?;`2<1=273ho495:?;`d<1=2734:495:?;3`<1=273;i495:?;<4<1=2734=495:?;1d<1=2739<495:?;15<1=27399495:?;16<1=273>i495:?;61<1=273>>495:?;62<1=273>;495:?;45<1=27<j:495:?4b3<1=27<jl495:?4b<<1=27<h>495:?4gd<1=27<o4495:?4ga<1=27<on495:?4e3<1=27<5i495:?4=f<1=27<m=495:?4=c<1=27<;4495:?435<1=27<:k495:?436<1=27<;?495:?40f<1=27<8>495:?407<1=27<8;495:?400<1=27<=k495:?453<1=27<=8495:?45<<1=27<=5495:?5b7<1=27=i4495:?5a=<1=27=in495:?5ag<1=27=55495:?5<c<1=27=4h495:?5=7<1=27=5<495:?52g<1=27=:?495:?524<1=27=:8495:?521<1=27=?h495:?570<1=27=?9495:?57=<1=27=?:495:?554<1=27=<5495:?542<1=27=<o495:?54d<1=27>i9495:?6`g<1=27>hl495:?6``<1=27>hi495:?6f2<1=27>mh495:?6ea<1=27>n<495:?6f5<1=27>4l495:?6<4<1=27>4=495:?6<1<1=27>4>495:?61a<1=27>99495:?616<1=27>9:495:?613<1=27>?=495:?662<1=27>>;495:?66d<1=27>>4495:?646<1=27?jl495:?7b<<1=27?ji495:?7bf<1=27?m4495:?7e5<1=27?5k495:?7e6<1=27?m?495:?73f<1=27?;>495:?737<1=27?;;495:?730<1=27?8k495:?703<1=27?88495:?70<<1=27?85495:?767<1=27?=4495:?75=<1=27?=n495:?75g<1=278j8495:?0af<1=278io495:?0ac<1=278ih495:?0g=<1=278nk495:?0f`<1=278o?495:?0g4<1=2785o495:?0=7<1=2785<495:?0=0<1=27859495:?02`<1=278:8495:?021<1=278:5495:?022<1=2788<495:?07=<1=278?:495:?07g<1=278?l495:?051<1=278<o495:?04d<1=278<h495:?04a<1=279nl495:?1f4<1=279n=495:?1f1<1=279n>495:?1<a<1=27949495:?1<6<1=2794:495:?1<3<1=279:=495:?112<1=2799;495:?11d<1=27994495:?176<1=279>l495:?16<<1=279>i495:?16f<1=279<;495:?2ba<1=27:jn495:?145<1=27:jk495:?2`<<1=27:h=495:?2gc<1=27:h>495:?2`7<1=27:mn495:?2e6<1=27:m?495:?2e3<1=27:m8495:?23c<1=27:;;495:?230<1=27:;4495:?23=<1=27:9?495:?20<<1=27:85495:?20f<1=27:8o495:?260<1=27:=n495:?25g<1=27:=k495:?25`<1=27:<k95649>55cb2??01<>jd;46?877n80=963>0g2920=:99=;6;;4=0253?0234;;:;495:?243g=><16==86:7789`>62??01h97:7789`102??01h9m:7789`1f2??01n7=:7789f>>2??01n67:7789f>d2??01n6m:7789dg42??01l7n:7789d?>2??01l7k:7789d?d2??015l;:7789=ge2??015on:7789=gb2??015ok:77893e22??01;ll:77893de2??01;li:77893db2??019j9:77891ec2??019ml:77891b72??019mi:77897c02??01?jj:77897bc2??01?k>:77897c72??01k65649>ac<1=27ni78:;<d1>33<5o;1:85210af>3><uz?;6=4:{_73?876<j0:463>15a913=:98>=6;:4=03`a?003ty?j7>5az\7b>;69<<1=5521076>=2<58;>87?7;<3216<?<27:=?>5629>547a28201<?>b;40?8769h0:463>1bg923=z{=o1<7=t^5g8947d?3<970?>cd851>{t<<0;6?uQ449>54eb2?90q~=n:180[5f34;:=l4:6:?25fc=>=1v?h50;00f~X5n27:=ol5149>542d28?01<?:6;36?876==0:963>20d950=:9;8;6<;4=002a?7234;:=k4>b:?254g=9k16=?>6:078947am3;>70?>fc821>;69o=1=85210d0>43<58;nj7?:;<32ag<6=27:=h95149>54c428?01<>lb;36?877k?0:963>0b7950=:99i36<;4=02`3?7234;;o?4>5:?24<c=9<16==76:078946>03;>70??9c821>;680k1=85211;6>43<58:?87?:;<337c<6=27:<>k5149>552628?01<>;0;36?877;k0:963>005950=:99;96<;4=0225?7234;;=94>5:?2445=9<16==>j:0789ccf28?01kk::0789cc328?01kk8:0789cc128?01kk>:0789cdc28?01kl7:0789cd028?01kln:0789cd>28?01kl;:0789c?728?01k6m:0789c>f28?01k6k:0789c>d28?01k68:0789c0428?01k;j:0789c3c28?01k8?:0789c3a28?01k;n:0789c5128?01k=>:0789c5728?01k=<:0789c5528?01k<k:0789c6>28?01k>;:0789c6428?01k>9:0789c6228?01k>?:0789`bd28?01hj8:0789`b128?01hj6:0789`b?28?01hj<:0789`ga28?01hon:0789`g>28?01hol:0789`ge28?01ho9:0789`3228?01h;?:0789`2a28?01h;=:0789`3628?01h:l:0789`4?28?01h<<:0789`4528?01h<::0789`4328?01h?i:0789a`e28?01ih9:0789a`228?01ih7:0789a`028?01ih=:0789aeb28?01im6:0789ae?28?01imm:0789aef28?01im::0789ag628?01i7l:0789a?e28?01i7j:0789a?c28?01i77:0789a1328?01i8i:0789a0b28?01i9>:0789a1728?01i8m:0789a2028?01i:=:0789a2628?01i:;:0789a2428?01i=j:0789a7f28?01i?::0789a7328?01i?8:0789a7128?01i?>:0789fcc28?01nk7:0789fc028?01nkn:0789fc>28?01nk;:0789fe728?01nlm:0789fdf28?01nlk:0789fdd28?01nl8:0789f0128?01n8>:0789f0728?01n8<:0789f0528?01n;k:0789f5>28?01n=;:0789f5428?01n=9:0789f5228?01n=?:0789f6d28?01n>8:0789f6128?01n>6:0789f6?28?01n><:0789gba28?01ojn:0789gb>28?01ojl:0789gbe28?01oj9:0789gd528?01ook:0789ggd28?01ooi:0789ggb28?01oo6:0789g>228?01o6?:0789g1a28?01o6=:0789g>628?01o9l:0789g3?28?01o;<:0789g3528?01o;::0789g3328?01o:i:0789g4e28?01o<9:0789g4228?01o<7:0789g4028?01o<=:0789d`b28?01lh6:0789d`?28?01lhm:0789d`f28?01lh::0789db628?01lml:0789dee28?01lmj:0789dec28?01lm7:0789d1028?01l9=:0789d1628?01l9;:0789d1428?01l8j:0789d2f28?01l:::0789d2328?01l:8:0789d2128?01l:>:0789d7c28?01l?7:0789d7028?01l?n:0789d7>28?01l?;:0789<`728?014km:0789<cf28?014kk:0789<cd28?014k8:0789<e428?014lj:0789<dc28?014m?:0789<da28?014ln:0789<?128?0147>:0789<?728?0147<:0789<?528?0146k:0789<0>28?0148;:0789<0428?01489:0789<0228?0148?:0789<5d28?014=8:0789<5128?014=6:0789<5?28?014=<:0789<6a28?014>n:0789<6>28?014>l:0789<6e28?014>9:0789=c528?015jk:0789=bd28?015ji:0789=bb28?015j6:0789=>?28?0156<:0789=>528?0156::0789=>328?0159i:0789=3e28?015;9:0789=3228?015;7:0789=3028?015;=:0789=4b28?015<6:0789=4?28?015<m:0789=4f28?015<::0789=6628?01:hl:07892`e28?01:hj:07892`c28?01:h7:07892b328?01:mi:07892eb28?01:j>:07892b728?01:mm:07892g028?01:o=:07892g628?01:o;:07892g428?01:7j:078921f28?01:9::078921328?01:98:078921128?01:9>:078922c28?01::7:078922028?01::n:078922>28?01::;:078924728?01:?m:078927f28?01:?k:078927d28?01:?8:07893`428?01;kj:07893cc28?01;h?:07893ca28?01;kn:07893?>28?01;7;:07893?428?01;79:07893?228?01;7?:078930d28?01;88:078930128?01;86:078930?28?01;8<:078935a28?01;=n:078935>28?01;=l:078935e28?01;=9:078937528?01;>k:078936d28?01;>i:078936b28?01;>6:07890c228?018k?:07890ba28?018k=:07890c628?018jl:07890d?28?018l<:07890d528?018l::07890d328?018oi:07890>e28?01869:07890>228?01867:07890>028?0186=:078903b28?018;6:078903?28?018;m:078903f28?018;::078905628?018<l:078904e28?018<j:078904c28?018<7:078906328?019hi:07891`b28?018>>:078906728?019hm:07891gf28?019o::07891g328?019o8:07891g128?019o>:078911c28?01997:078911028?0199n:078911>28?0199;:078913728?019:m:078912f28?019:k:078912d28?019:8:078914428?019?j:078917c28?019<?:078917a28?019?n:07896`128?01>h>:07896`728?01>h<:07896`528?01>kk:07896e>28?01>m;:07896e428?01>m9:07896e228?01>m?:07896?d28?01>78:07896?128?01>76:07896??28?01>7<:078960a28?01>8n:078960>28?01>8l:078960e28?01>89:078962528?01>=k:078965d28?01>=i:078965b28?01>=6:078967228?01>??:078966a28?01>?=:078967628?01>>l:07897de28?01?l9:07897d228?01?l7:07897d028?01?l=:07897>b28?01?66:07897>?28?01?6m:07897>f28?01?6::078970628?01?;l:078973e28?01?;j:078973c28?01?;7:078975328?01?<i:078974b28?01?=>:078975728?01?<m:078976028?01?>=:078976628?01?>;:078976428?01<hj:07894bf28?01<j::07894b328?01<j8:07894b128?01<j>:07894gc28?01<o7:07894g028?01<on:07894g>28?01<o;:07894>728?01<9m:078941f28?01<9k:078941d28?01<98:078943428?01<:j:078942c28?01<;?:078942a28?01<:n:078944128?01<<>:078944728?01<<<:078944528?01<?k:078946a03;>70??f2821>;68o81=85211d6>43<58:m87?:;<33ac<6=27:<:?5149>550d28?01<>9b;36?877>l0:963>07f950=:99<36<;4=d:1>43<5l=o6<;4=d5`>43<5l=m6<;4=d5f>43<5l=26<;4=b;0>43<5j2n6<;4=b:g>43<5j3;6<;4=b:e>43<5j2j6<;4=`c7>43<5h3m6<;4=`;f>43<5hk:6<;4=`c3>43<5h3i6<;4=9`6>43<51h;6<;4=9ce>43<51h96<;4=9`2>43<51kh6<;4=7a5>43<5?i:6<;4=7a3>43<5?i86<;4=7a1>43<5?ho6<;4=5f4>43<5=n96<;4=5f2>43<5=n?6<;4=5f0>43<5=in6<;4=3g;>43<5;o86<;4=3g1>43<5;o>6<;4=3g7>43<5;nm6<;4=g;950=:n=0:963i3;36?8`128?01k;5149>b5<6=2wx=o4?:26`[7e34;:8:4>5:?251d=9<16=<:n:0789474m3;>70?>3g821>;69;81=852103g>43<58;>97?:;<3216<6=27:=>95149>545328?01<?<0;36?876:j0:963>0b;950=:99n;6<;4=02`b?7234;;i:4>5:?24`>=9<16==k9:078946cn3;>70??e1821>;68mo1=85211;`>43<58:j?7?:;<33e7<6=27:<oo5149>55de28?01<>m9;36?877j;0:963>0c1950=:99h:6<;4=0276?7234;;844>5:?241>=9<16==8?:078946193;>70??5g821>;68<21=852117:>43<58:>;7?:;<3350<6=27:<<m5149>557e28?01<><3;36?877;=0:963>020950=:998i6<;4=021g?7234;;>l4>5:?ea=<6=27mik4>5:?ea`<6=27:<=85149>556028?01<>?5;36?8`am3;>70hif;36?8`al3;>70hmb;36?8`d:3;>70hl1;36?8`c13;>70hka;36?8`c03;>70hk1;36?8`c:3;>70hk0;36?8`?m3;>70h65;36?8`><3;>70hnc;36?8`fl3;>70hnb;36?8`f<3;>70hn5;36?8`f;3;>70h91;36?8`103;>70h97;36?8`0n3;>70h70;36?8`0m3;>70h87;36?8`003;>70h86;36?8`4<3;>70h<b;36?8`4i3;>70h:2;36?8`2;3;>70h:1;36?8`3i3;>70h;b;36?8`313;>70h?7;36?8`7m3;>70h?d;36?8`5=3;>70h=6;36?8`5<3;>70h>d;36?8`6m3;>70h>c;36?8cci3;>70kj1;36?8cb83;>70ki8;36?8ca13;>70ki7;36?8ca83;>70ki1;36?8cbn3;>70knd;36?8ce<3;>70km3;36?8cdj3;>70klc;36?8cdi3;>70kl3;36?8cd<3;>70kl2;36?8c2;3;>70k:a;36?8c213;>70k81;36?8c0:3;>70k80;36?8c113;>70k9a;36?8c103;>70k=6;36?8c5l3;>70k=c;36?8c3<3;>70k;5;36?8c3;3;>70k<c;36?8c4l3;>70k<b;36?8ba13;>70k?0;36?8ban3;>70k>7;36?8c603;>70k>6;36?8c7n3;>70k>0;36?8c7m3;>70jlc;36?8bc;3;>70jk2;36?8bbi3;>70jjb;36?8bb13;>70jj2;36?8bb;3;>70jj1;36?8b>n3;>70jn6;36?8bf=3;>70jmd;36?8bem3;>70jmc;36?8be=3;>70jm6;36?8be<3;>70j82;36?8b013;>70j88;36?8b>83;>70j61;36?8b?n3;>70j78;36?8b?13;>70j77;36?8b3=3;>70j;c;36?8b3j3;>70j93;36?8b1<3;>70j92;36?8b2j3;>70j:c;36?8b2i3;>70j>8;36?8b6n3;>70j>e;36?8b4>3;>70j<7;36?8b4=3;>70j=e;36?8b5n3;>70j=d;36?8ebj3;>70mi2;36?8ea93;>70j?9;36?8b7i3;>70j?8;36?8b793;>70j?2;36?8b783;>70mme;36?8ed=3;>70ml4;36?8eck3;>70mkd;36?8ecj3;>70mk4;36?8ec=3;>70mk3;36?8e1<3;>70m9b;36?8e1i3;>70m72;36?8e?;3;>70m71;36?8e0i3;>70m8b;36?8e013;>70m<7;36?8e4m3;>70m<d;36?8e2=3;>70m:6;36?8e2<3;>70m;d;36?8e3m3;>70m;c;36?8e7i3;>70m>1;36?8e683;>70m=8;36?8e513;>70m=7;36?8e583;>70m=1;36?8e6n3;>70lkd;36?8db<3;>70lj3;36?8daj3;>70lic;36?8dai3;>70li3;36?8da<3;>70li2;36?8de83;>70lm7;36?8de>3;>70lle;36?8ddn3;>70lld;36?8dd>3;>70ll7;36?8dd=3;>70l73;36?8d?i3;>70l79;36?8df93;>70ln2;36?8df83;>70l69;36?8d>i3;>70l68;36?8d2>3;>70l:d;36?8d2k3;>70l84;36?8d0=3;>70l83;36?8d1k3;>70l9d;36?8d1j3;>70l=9;36?8d483;>70l=f;36?8d3?3;>70l;8;36?8d3>3;>70l<f;36?8d383;>70l<e;36?8gak3;>70l?3;36?8d7:3;>70l>a;36?8d6j3;>70l>9;36?8d6:3;>70l>3;36?8d693;>70olf;36?8gc>3;>70ok5;36?8gbl3;>70oje;36?8gbk3;>70oj5;36?8gb>3;>70oj4;36?8g0=3;>70o8c;36?8g0j3;>70o63;36?8g><3;>70o62;36?8g?j3;>70o7c;36?8g?i3;>70o;8;36?8g3n3;>70o;e;36?8g1>3;>70o97;36?8g1=3;>70o:e;36?8g2n3;>70o:d;36?8g6j3;>70o=2;36?8g593;>70o<9;36?8g4i3;>70o<8;36?8g493;>70o<2;36?8g483;>707je;36?8?a=3;>707i4;36?8g7k3;>70o?d;36?8g7j3;>70o?4;36?8g7=3;>70o?3;36?8?d93;>707l8;36?8?d?3;>707kf;36?8?b83;>707ke;36?8?c?3;>707k8;36?8?c>3;>70764;36?8?>j3;>7076a;36?8?e:3;>707m3;36?8?e93;>707na;36?8?fj3;>707n9;36?8?1?3;>7079e;36?8?1l3;>70775;36?8??>3;>70774;36?8?0l3;>7078e;36?8?0k3;>707<a;36?8?393;>707;0;36?8?203;>707:9;36?8?2?3;>707:0;36?8?293;>707;f;36?8?7l3;>707>4;36?8?6;3;>707=b;36?8?5k3;>707=a;36?8?5;3;>707=4;36?8?5:3;>706j0;36?8>b?3;>706j6;36?8>am3;>706if;36?8>al3;>706i6;36?8>a?3;>706i5;36?8>?>3;>7067d;36?8>?k3;>706n4;36?8>f=3;>706n3;36?8>>k3;>7066d;36?8>>j3;>706:9;36?8>183;>706:f;36?8>0?3;>70688;36?8>0>3;>7069f;36?8>083;>7069e;36?8>5k3;>706<3;36?8>4:3;>706;a;36?8>3j3;>706;9;36?8>3:3;>706;3;36?8>393;>709if;36?8>7>3;>706?5;36?8>6l3;>706>e;36?8>6k3;>706>5;36?8>6>3;>706>4;36?81c:3;>709k9;36?81c03;>709i0;36?81a93;>709jf;36?81b03;>709j9;36?81b?3;>709n5;36?81fk3;>709nb;36?81d;3;>709l4;36?81d:3;>709mb;36?81ek3;>709ma;36?81003;>7098f;36?810m3;>70966;36?81>?3;>70965;36?81?m3;>7097f;36?81?l3;>709;b;36?812:3;>709:1;36?81113;>7099a;36?81103;>70991;36?811:3;>70990;36?816m3;>709=5;36?815<3;>709<c;36?814l3;>709<b;36?814<3;>709<5;36?814;3;>708i1;36?80a03;>708i7;36?817n3;>709>0;36?817m3;>709?7;36?81703;>709?6;36?80>?3;>7086e;36?80>l3;>708m5;36?80e>3;>708m4;36?80fl3;>708ne;36?80fk3;>7089a;36?80093;>70880;36?80?03;>70879;36?80??3;>70870;36?80?93;>7088f;36?804l3;>708;4;36?803;3;>708:b;36?802k3;>708:a;36?802;3;>708:4;36?802:3;>708>0;36?806?3;>708>6;36?805m3;>708=f;36?805l3;>708=6;36?805?3;>708=5;36?83b;3;>70;ja;36?83b13;>708?1;36?807:3;>708?0;36?83a13;>70;ia;36?83a03;>70;m6;36?83el3;>70;mc;36?83c<3;>70;k5;36?83c;3;>70;lc;36?83dl3;>70;lb;36?83?13;>70;60;36?83?n3;>70;n7;36?83f03;>70;n6;36?83>n3;>70;n0;36?83>m3;>70;:c;36?831;3;>70;92;36?830i3;>70;8b;36?83013;>70;82;36?830;3;>70;81;36?835n3;>70;<6;36?834=3;>70;;d;36?833m3;>70;;c;36?833=3;>70;;6;36?833<3;>70;?2;36?83713;>70;?8;36?83583;>70;=1;36?836n3;>70;>8;36?83613;>70;>7;36?82f03;>70:nf;36?82fm3;>70:l6;36?82d?3;>70:l5;36?82em3;>70:mf;36?82el3;>70:8b;36?82?:3;>70:71;36?82>13;>70:6a;36?82>03;>70:61;36?82>:3;>70:60;36?823m3;>70::5;36?822<3;>70:9c;36?821l3;>70:9b;36?821<3;>70:95;36?821;3;>70:=1;36?82503;>70:=7;36?824n3;>70:;0;36?824m3;>70:<7;36?82403;>70:<6;36?85a<3;>70=ib;36?85ai3;>70:>2;36?826;3;>70:>1;36?827i3;>70:?b;36?82713;>70=l7;36?85dm3;>70=ld;36?85b=3;>70=j6;36?85b<3;>70=kd;36?85cm3;>70=kc;36?85>i3;>70=n1;36?85f83;>70=m8;36?85e13;>70=m7;36?85e83;>70=m1;36?85fn3;>70=9d;36?850<3;>70=83;36?85?j3;>70=7c;36?85?i3;>70=73;36?85?<3;>70=72;36?85383;>70=;7;36?853>3;>70=:e;36?852n3;>70=:d;36?852>3;>70=:7;36?852=3;>70=>3;36?856i3;>70=>9;36?85493;>70=<2;36?85483;>70==9;36?855i3;>70==8;36?84e13;>70<l0;36?84en3;>70<k7;36?84c03;>70<k6;36?84dn3;>70<k0;36?84dm3;>70<7c;36?84>;3;>70<62;36?84fi3;>70<nb;36?84f13;>70<n2;36?84f;3;>70<n1;36?842n3;>70<96;36?841=3;>70<8d;36?840m3;>70<8c;36?840=3;>70<86;36?840<3;>70<<2;36?84413;>70<<8;36?84283;>70<:1;36?843n3;>70<;8;36?84313;>70<;7;36?847=3;>70<?c;36?847j3;>70<=3;36?845<3;>70<=2;36?846j3;>70<>c;36?846i3;>70?k8;36?87cn3;>70?ke;36?87a>3;>70?i7;36?87a=3;>70?je;36?87bn3;>70?jd;36?87fj3;>70?m2;36?87e93;>70?l9;36?87di3;>70?l8;36?87d93;>70?l2;36?87d83;>70?8e;36?87?=3;>70?74;36?87>k3;>70?6d;36?87>j3;>70?64;36?87>=3;>70?63;36?87293;>70?:8;36?872?3;>70?9f;36?87083;>70?9e;36?871?3;>70?98;36?871>3;>70?=4;36?875j3;>70?=a;36?873:3;>70?;3;36?87393;>70?<a;36?874j3;>70?<9;36?877n?0:963>0gf950=:99lh6<;4=0320?7234;:=84>5:?2545=9<16=<>l:0789477l3;>70?>0c821>;68?l1=8521155>43<58:<97?:;<33<a<6=27:<5k5149>55>d28?01<>75;36?8770?0:963>096950=:m1:1=852e95950=:m1<1=852e8g950=:m0l1=852e8f950=:m0<1=852e85950=:m0?1=852c83950=:k021=852c85950=:khl1=852cc2950=:kho1=852c`5950=:kh21=852c`4950=:ih81=852a`;950=:ih21=852ab2950=:ij;1=852acd950=:ik21=852ac;950=:ik=1=8528c1950=:0kk1=8528c;950=:0m;1=8528e0950=:0m:1=8528b;950=:0jk1=8528b:950=:>j>1=8526b`950=:>jk1=8526d0950=:>l91=8526d3950=:>mk1=8526e`950=:>m31=8524e7950=:<mi1=8524e`950=:<o91=8524g6950=:<o81=8524d`950=:<li1=8524dc950=::l<1=8522df950=::li1=852316950=:;9?1=852311950=::oi1=8522gf950=::oh1=852f6821>;am3;>70hk:078947228?01<?9:078947328?01<>k:078946b28?01<>l:078947303;>70?>48821>{tmh0;6?uQe`9>54452180q~k7:181[c?34;:=i472:p0a<72;qU8i5210``>2?<uz==6=4:79y>574f2>h01<?mb;3;?876<h0:463>12g95==:989m6<64=002b?7?34;9>=4>8:?265?=9116=<hj:0:8947aj3;370?>f682<>;69o91=55210ge>4><58;nn7?7;<32a2<6027:=h=5199>54c528k01<?j5;3b?876:k0:m63>13g95d=:99ii6<64=02`2?7?34;;o84>8:?24f>=9116==m8:0:8946d:3;370??c882<>;68m:19;5211ae>00<58:n;7?7;<33a=<6027:<ih5199>55c728201<>6e;3;?877100:463>08:95==:993i6<64=02:e?7?34;;584>8:?24<e=9116==o<:448946f:3?=70??b`82<>;68kh1=55211`1>4><58:i?7?7;<3301<6027:<>h5199>555b28201<>;1;3;?877<90:463>02`95==:99>96<64=027=?3134;;854:6:?2436=9116==8>:0:8946203;370??5882<>;688=1=5521131>4><58::=7?7;<3351<6027:<<=5199>556b28201<>>5;3;?8779j0>:63>00`913=:99986<64=0200?7?34;;>o4>8:?247e=9116jho5199>b`3=9116jh:5199>b`1=9116jh85199>b`7=9116jh65199>b``==?16jhk5579>556128201<>?7;3;?8`am3;370hif;3;?8`el3;370hm8;3;?8`e?3;370hma;3;?8`e13;370hm4;3;?8`ej3;370hl2;75?8`d93?=70hk9;3;?8`ci3;370hk1;3;?8`c:3;370h60;3;?8`?j3;370h7a;3;?8`?l3;370h7c;3;?8`??3;370h7e;3;?8`>=3?=70h64;75?8`fk3;370hnd;3;?8`f<3;370hn5;3;?8`1;3;370h:e;3;?8`2l3;370h90;3;?8`2n3;370h:a;3;?8`193;370h98;75?8`1?3?=70h8f;3;?8`?83;370h87;3;?8`003;370h<6;3;?8`493;370h<0;3;?8`4;3;370h<2;3;?8`5l3;370h<4;3;?8`4j3?=70h<a;75?8`2:3;370h:3;3;?8`3i3;370h;b;3;?8`713;370h?4;3;?8`7;3;370h?6;3;?8`7=3;370h?0;3;?8`7?3;370h?e;75?8`7l3?=70h=5;3;?8`5>3;370h>d;3;?8`6m3;370kkc;3;?8cc?3;370kk6;3;?8cc13;370kk8;3;?8cc;3;370kka;3;?8cb93?=70kj0;75?8ca03;370ki9;3;?8ca83;370ki1;3;?8cfn3;370kna;3;?8cf13;370knc;3;?8cfj3;370kn6;3;?8cfl3;370km4;75?8ce;3?=70klb;3;?8cdk3;370kl3;3;?8cd<3;370k:5;3;?8c283;370k;f;3;?8c2:3;370k:1;3;?8c3k3;370k:3;3;?8c2i3?=70k:9;75?8c093;370k82;3;?8c113;370k9a;3;?8c503;370k=3;3;?8c5:3;370k=5;3;?8c5<3;370k>f;3;?8c5>3;370k=d;75?8c5k3?=70k;4;3;?8c3=3;370k<c;3;?8c4l3;370jib;3;?8ba>3;370ji5;3;?8ba03;370ji7;3;?8ba:3;370ji9;3;?8c783?=70jif;75?8c6?3;370k>8;3;?8c7n3;370k>0;3;?8bdm3;370jl9;3;?8bd03;370jlb;3;?8bdi3;370jl5;3;?8bdk3;370jk3;75?8bc:3?=70jja;3;?8bbj3;370jj2;3;?8bb;3;370jn1;3;?8b>k3;370j6b;3;?8b>m3;370j6d;3;?8b>03;370j6f;3;?8bf>3?=70jn5;75?8bel3;370jme;3;?8be=3;370jm6;3;?8b0<3;370j9f;3;?8b1m3;370j81;3;?8b083;370j9b;3;?8b0:3;370j89;75?8b003?=70j60;3;?8b>93;370j78;3;?8b?13;370j;7;3;?8b3:3;370j;1;3;?8b3<3;370j;3;3;?8b4m3;370j;5;3;?8b3k3?=70j;b;75?8b1;3;370j94;3;?8b2j3;370j:c;3;?8b6i3;370j>5;3;?8b6<3;370j>7;3;?8b6>3;370j>1;3;?8b603;370j>f;75?8b6m3?=70j<6;3;?8b4?3;370j=e;3;?8b5n3;370mjd;3;?8eb03;370mj7;3;?8ebi3;370mj9;3;?8eb<3;370mjb;3;?8ea:3?=70mi1;75?8b713;370j?a;3;?8b793;370j?2;3;?8ed83;370mmb;3;?8eei3;370mmd;3;?8eek3;370mm7;3;?8eem3;370ml5;75?8ed<3?=70mkc;3;?8ecl3;370mk4;3;?8ec=3;370m96;3;?8e193;370m90;3;?8e1;3;370m92;3;?8e2l3;370m94;3;?8e1j3?=70m9a;75?8e?:3;370m73;3;?8e0i3;370m8b;3;?8e413;370m<4;3;?8e4;3;370m<6;3;?8e4=3;370m<0;3;?8e4?3;370m<e;75?8e4l3?=70m:5;3;?8e2>3;370m;d;3;?8e3m3;370m?c;3;?8e7?3;370m?6;3;?8e713;370m?8;3;?8e7;3;370m?a;3;?8e693?=70m>0;75?8e503;370m=9;3;?8e583;370m=1;3;?8dcn3;370lka;3;?8dc13;370lkc;3;?8dcj3;370lk6;3;?8dcl3;370lj4;75?8db;3?=70lib;3;?8dak3;370li3;3;?8da<3;370lm2;3;?8dfl3;370lnc;3;?8dfn3;370lne;3;?8df13;370lm0;3;?8de?3?=70lm6;75?8ddm3;370llf;3;?8dd>3;370ll7;3;?8d?=3;370l70;3;?8d0n3;370l72;3;?8d?93;370l8c;3;?8d?;3;370l7a;75?8d?13?=70ln1;3;?8df:3;370l69;3;?8d>i3;370l:8;3;?8d2;3;370l:2;3;?8d2=3;370l:4;3;?8d3n3;370l:6;3;?8d2l3?=70l:c;75?8d0<3;370l85;3;?8d1k3;370l9d;3;?8d5j3;370l=6;3;?8d5=3;370l=8;3;?8d5?3;370l=2;3;?8d513;370l<0;75?8d5n3?=70l;7;3;?8d303;370l<f;3;?8d383;370oie;3;?8ga13;370oi8;3;?8gaj3;370oia;3;?8ga=3;370oic;3;?8d7;3?=70l?2;75?8d6i3;370l>b;3;?8d6:3;370l>3;3;?8gc93;370olc;3;?8gdj3;370ole;3;?8gdl3;370ol8;3;?8gdn3;370ok6;75?8gc=3?=70ojd;3;?8gbm3;370oj5;3;?8gb>3;370o87;3;?8g0:3;370o81;3;?8g0<3;370o83;3;?8g1m3;370o85;3;?8g0k3?=70o8b;75?8g>;3;370o64;3;?8g?j3;370o7c;3;?8g3i3;370o;5;3;?8g3<3;370o;7;3;?8g3>3;370o;1;3;?8g303;370o;f;75?8g3m3?=70o96;3;?8g1?3;370o:e;3;?8g2n3;370o>d;3;?8g603;370o>7;3;?8g6i3;370o>9;3;?8g6<3;370o>b;3;?8g5:3?=70o=1;75?8g413;370o<a;3;?8g493;370o<2;3;?8?a83;3707jb;3;?8?bi3;3707jd;3;?8?bk3;3707j7;3;?8?bm3;3707i5;75?8?a<3?=70o?c;3;?8g7l3;370o?4;3;?8g7=3;3707l3;3;?8?em3;3707md;3;?8?d83;3707mf;3;?8?ei3;3707l1;3;?8?d03?=707l7;75?8?cn3;3707j0;3;?8?c?3;3707k8;3;?8?>>3;370761;3;?8?>83;370763;3;?8?>:3;37077d;3;?8?><3;37076b;75?8?>i3?=707m2;3;?8?e;3;3707na;3;?8?fj3;370799;3;?8?1<3;370793;3;?8?1>3;370795;3;?8?183;370797;3;?8?1m3?=7079d;75?8??=3;370776;3;?8?0l3;37078e;3;?8?4k3;3707<7;3;?8?4>3;3707<9;3;?8?403;3707<3;3;?8?4i3;3707;1;75?8?383?=707:8;3;?8?213;3707:0;3;?8?293;3707?f;3;?8?7i3;3707?9;3;?8?7k3;3707?b;3;?8?7>3;3707?d;3;?8?6<3?=707>3;75?8?5j3;3707=c;3;?8?5;3;3707=4;3;?8>b:3;3706kd;3;?8>ck3;3706kf;3;?8>cm3;3706k9;3;?8>b83;3706j7;75?8>b>3?=706ie;3;?8>an3;3706i6;3;?8>a?3;370678;3;?8>?;3;370672;3;?8>?=3;370674;3;?8>0n3;370676;3;?8>?l3?=7067c;75?8>f<3;3706n5;3;?8>>k3;37066d;3;?8>2j3;3706:6;3;?8>2=3;3706:8;3;?8>2?3;3706:2;3;?8>213;370690;75?8>2n3?=70687;3;?8>003;37069f;3;?8>083;3706=e;3;?8>513;3706=8;3;?8>5j3;3706=a;3;?8>5=3;3706=c;3;?8>4;3?=706<2;75?8>3i3;3706;b;3;?8>3:3;3706;3;3;?8>793;3709ic;3;?81aj3;3709ie;3;?81al3;3709i8;3;?81an3;3706?6;75?8>7=3?=706>d;3;?8>6m3;3706>5;3;?8>6>3;3709k4;3;?81dn3;3709le;3;?81c93;3709k0;3;?81dj3;3709k2;3;?81c13?=709k8;75?81a83;3709i1;3;?81b03;3709j9;3;?81f?3;3709n2;3;?81f93;3709n4;3;?81f;3;37096e;3;?81f=3;3709nc;75?81fj3?=709l3;3;?81d<3;3709mb;3;?81ek3;37098a;3;?810=3;370984;3;?810?3;370986;3;?81093;370988;3;?810n3?=7098e;75?81>>3;370967;3;?81?m3;37097f;3;?813l3;3709;8;3;?813?3;3709;a;3;?81313;3709;4;3;?813j3;3709:2;75?81293?=70999;3;?811i3;370991;3;?811:3;3709=0;3;?816j3;3709>a;3;?816l3;3709>c;3;?816?3;3709>e;3;?815=3?=709=4;75?814k3;3709<d;3;?814<3;3709<5;3;?80a;3;3708je;3;?80bl3;3708i0;3;?80bn3;3708ja;3;?80a93;3708i8;75?80a?3?=709?f;3;?81683;3709?7;3;?81703;370869;3;?80><3;370863;3;?80>>3;370865;3;?80>83;370867;3;?80>m3?=7086d;75?80e=3;3708m6;3;?80fl3;3708ne;3;?801k3;370897;3;?801>3;370899;3;?80103;370893;3;?801i3;370881;75?80083?=70878;3;?80?13;370870;3;?80?93;3708<f;3;?804i3;3708<9;3;?804k3;3708<b;3;?804>3;3708<d;3;?803<3?=708;3;75?802j3;3708:c;3;?802;3;3708:4;3;?806:3;3708?d;3;?807k3;3708?f;3;?807m3;3708?9;3;?80683;3708>7;75?806>3?=708=e;3;?805n3;3708=6;3;?805?3;370;j5;3;?83b83;370;kf;3;?83b:3;370;j1;3;?83ck3;370;j3;3;?83bi3?=70;j9;75?80793;3708?2;3;?83a13;370;ia;3;?83e03;370;m3;3;?83e:3;370;m5;3;?83e<3;370;nf;3;?83e>3;370;md;75?83ek3?=70;k4;3;?83c=3;370;lc;3;?83dl3;370;7b;3;?83?>3;370;75;3;?83?03;370;77;3;?83?:3;370;79;3;?83>83?=70;7f;75?83f?3;370;n8;3;?83>n3;370;n0;3;?832m3;370;:9;3;?83203;370;:b;3;?832i3;370;:5;3;?832k3;370;93;75?831:3?=70;8a;3;?830j3;370;82;3;?830;3;370;<1;3;?835k3;370;=b;3;?835m3;370;=d;3;?83503;370;=f;3;?834>3?=70;<5;75?833l3;370;;e;3;?833=3;370;;6;3;?837<3;370:if;3;?82am3;370;?1;3;?83783;370:ib;3;?837:3;370;?9;75?83703?=70;=0;3;?83593;370;>8;3;?83613;370:na;3;?82f=3;370:n4;3;?82f?3;370:n6;3;?82f93;370:n8;3;?82fn3?=70:ne;75?82d>3;370:l7;3;?82em3;370:mf;3;?820l3;370:88;3;?820?3;370:8a;3;?82013;370:84;3;?820j3;370:72;75?82?93?=70:69;3;?82>i3;370:61;3;?82>:3;370::0;3;?823j3;370:;a;3;?823l3;370:;c;3;?823?3;370:;e;3;?822=3?=70::4;75?821k3;370:9d;3;?821<3;370:95;3;?825;3;370:>e;3;?826l3;370:=0;3;?826n3;370:>a;3;?82593;370:=8;75?825?3?=70:<f;3;?82383;370:<7;3;?82403;370=i6;3;?85a93;370=i0;3;?85a;3;370=i2;3;?85bl3;370=i4;3;?85aj3?=70=ia;75?826:3;370:>3;3;?827i3;370:?b;3;?85d13;370=l4;3;?85d;3;370=l6;3;?85d=3;370=l0;3;?85d?3;370=le;75?85dl3?=70=j5;3;?85b>3;370=kd;3;?85cm3;370=6c;3;?85>?3;370=66;3;?85>13;370=68;3;?85>;3;370=6a;3;?85f93?=70=n0;75?85e03;370=m9;3;?85e83;370=m1;3;?851n3;370=9a;3;?85113;370=9c;3;?851j3;370=96;3;?851l3;370=84;75?850;3?=70=7b;3;?85?k3;370=73;3;?85?<3;370=;2;3;?854l3;370=<c;3;?854n3;370=<e;3;?85413;370=;0;3;?853?3?=70=;6;75?852m3;370=:f;3;?852>3;370=:7;3;?856=3;370=>0;3;?857n3;370=>2;3;?85693;370=?c;3;?856;3;370=>a;75?85613?=70=<1;3;?854:3;370==9;3;?855i3;370<mb;3;?84e>3;370<m5;3;?84e03;370<m7;3;?84e:3;370<m9;3;?84d83?=70<mf;75?84c?3;370<k8;3;?84dn3;370<k0;3;?84?m3;370<79;3;?84?03;370<7b;3;?84?i3;370<75;3;?84?k3;370<63;75?84>:3?=70<na;3;?84fj3;370<n2;3;?84f;3;370<91;3;?842k3;370<:b;3;?842m3;370<:d;3;?84203;370<:f;3;?841>3?=70<95;75?840l3;370<8e;3;?840=3;370<86;3;?844<3;370<=f;3;?845m3;370<<1;3;?84483;370<=b;3;?844:3;370<<9;75?84403?=70<:0;3;?84293;370<;8;3;?84313;370<?7;3;?847:3;370<?1;3;?847<3;370<?3;3;?87am3;370<?5;3;?847k3?=70<?b;75?845;3;370<=4;3;?846j3;370<>c;3;?87ci3;370?k5;3;?87c<3;370?k7;3;?87c>3;370?k1;3;?87c03;370?kf;75?87cm3?=70?i6;3;?87a?3;370?je;3;?87bn3;370?nd;3;?87f03;370?n7;3;?87fi3;370?n9;3;?87f<3;370?nb;3;?87e:3?=70?m1;75?87d13;370?la;3;?87d93;370?l2;3;?87?83;370?8b;3;?870i3;370?8d;3;?870k3;370?87;3;?870m3;370?75;75?87?<3?=70?6c;3;?87>l3;370?64;3;?87>=3;370?:3;3;?873m3;370?;d;3;?87283;370?;f;3;?873i3;370?:1;3;?87203?=70?:7;75?871n3;370?80;3;?871?3;370?98;3;?875>3;370?=1;3;?87583;370?=3;3;?875:3;370?>d;3;?875<3;370?=b;75?875i3?=70?;2;3;?873;3;370?<a;3;?874j3;370??f982<>;68o91=55211d1>4><58:m97?7;<33b1<6027:<hh5199>55`128201<>id;75?877nj0>:63>10695==:98;>6<64=033g?7?34;:<i4>8:?2427=9116==8l:0:89461j3;370??6d82<>;68?n1=552114;>4><58:=j7?7;<3333<2>27:<:;5579>55>c28201<>7e;3;?8770<0:463>09495==:m181=552e6f95==:m>i1=552e6d95==:m>o1=552e6;95==:m1:1=552e95913=:m1<19;52e8g95==:m0l1=552e8495==:m0=1=552c8195==:k1o1=552c9f95==:k0:1=552c9d95==:k1k1=552c8395==:k0219;52c85913=:khl1=552cc295==:kh=1=552c`:95==:ih>1=552a8d95==:i0o1=552a`395==:ih:1=552a8`95==:ih81=552a`;913=:ih219;52ab295==:ij;1=552ac:95==:ik31=5528c795==:0k:1=5528`d95==:0k81=5528c395==:0hi1=5528c195==:0kk19;528c;913=:0m;1=5528e095==:0j31=5528bc95==:>j<1=5526b395==:>j:1=5526b195==:>j81=5526cf95==:>j>1=5526b`913=:>jk19;526d095==:>l91=5526ec95==:>mh1=5524e595==:<m81=5524e395==:<m>1=5524e195==:<jo1=5524e795==:<mi19;524e`913=:<o91=5524g695==:<lh1=5524da95==::l21=5522d195==::l81=5522d795==::l>1=5522ed95==::l<1=5522df913=::li19;5231695==:;9?1=5522ga95==::on1=552f882<>;a<3;370h<:0:89c0=9116j84>8:?e4?7?34l<6<64=gg913=:nm0>:63>1482<>;69?0:463>0e82<>;68l0:463>0ba913=:99io6884=02:b?3134;;m=4:6:?2413==?16==:9:448946603?=70??18862>;amk0>:63ieb862>;ajl0>:63ibg862>;a180>:63i93862>;a>=0>:63i64862>;a;>0>:63i39862>;a8h0>:63i0c862>;blm0>:63jdd862>;bj90>:63jb0862>;b=?0>:63j56862>;b:00>:63j2`862>;cnj0>:63kfe862>;cko0>:63kd1862>;ci;0>:63ka2862>;c?<0>:63k77862>;c<10>:63k48862>;c9k0>:63k1b862>;dml0>:63leg862>;dk80>:63lc3862>;d>>0>:63l69862>;d;h0>:63l3c862>;d8m0>:63l0d862>;em90>:63me0862>;ej:0>:63mb5862>;e0?0>:63m86862>;e=00>:63m5`862>;e:j0>:63m2e862>;fno0>:63m01862>;fl;0>:63nd2862>;f?10>:63n78862>;f<k0>:63n4b862>;f9l0>:63n1g862>;>n80>:636f3862>;>k=0>:636c4862>;>1>0>:63699862>;>>h0>:6366c862>;>;m0>:6363d862>;>990>:63610862>;?m:0>:637e5862>;?000>:6378`862>;?=j0>:6375e862>;?:o0>:63731862>;?8;0>:63702862>;0l<0>:638d7862>;0i10>:638a8862>;0?k0>:6387b862>;0<l0>:6384g862>;0:80>:63823862>;1n=0>:639f4862>;11h0>:6399c862>;1>m0>:6396d862>;1<90>:63940862>;19:0>:63915862>;2m?0>:63:e6862>;2j00>:63:b`862>;20j0>:63:8e862>;2=o0>:63:61862>;2;;0>:63:32862>;28<0>:63:07862>;3ik0>:63;ab862>;3?l0>:63;7g862>;3=80>:63;53862>;3:=0>:63;24862>;4n>0>:63<f9862>;4kh0>:63<cc862>;41m0>:63<9d862>;4?90>:63<70862>;4<:0>:63<45862>;49?0>:63<16862>;5jj0>:63=be862>;50o0>:63=91862>;5>;0>:63=62862>;5;<0>:63=37862>;5810>:63=08862>;6lk0>:63>db862>;6il0>:63>ag862>;6080>:63>83862>;6==0>:63>54862>;6:>0>:63>29862>;68o319;5211db>00<58:<>7;9;<3336<2>27n4>4:6:?f<1<2>27h594:6:?`=0<2>27jm84:6:?be3<2>273n;4:6:?;f2<2>27=o:4:6:?5g=<2>27?h54:6:?7`<<2>279i44:6:?1ad<2>27mm7;9;<da>00<uz=<6=4l{<316<<1827:=ko5569>54`12<=01<?i2;74?876ml0>;63>1dc912=:98o=6894=03f6?3034;:?>4:7:?257`==>16=<<m:4589c>=>=1v<<=1;297~;6:8l14?521303>00<588:i76;;|q2674=838p1<<=0;:1?8759l0>:6s|1074>5<5s4;:9;472:?2503==?1v<?>e;296~;698n19;52103b>=4<uz;:=n4?:3y>547e2?>01<?>a;:0?xu69;91<7<t=0316?3134;:=k472:p54462909w0?>21850>;698l14>5rs0376?6=;r7:=>k5839>545a2<<01<?;1;47?xu69=91<7<t=030b?>534;:8<493:p5422290>w0?>4084=>;69:=149521017>=2<58;8<76;;<326f<?<2wx=<:;:186876<90<563>12595==:989?6<64=0304?7?34;:>n4>8:p542c2909w0?>4b8;6>;69=219;5rs037b?6=;r7:=995579>542?21801<?;9;75?xu69<:1<7<t=0373?>334;:84472:p54362909w0?>4c8;6>;69=k19;5rs0366?6=:r7:=9o5839>54212?90q~?>4d83>0}:98>h6?j4=037f?4c34;:8;489:?251>=:m16=<:6:3f8yvcc2909w0?>bc8;6>;69ki1:95rs03a3?6=9>;p1<<>e;:1?877l903863>0bd9<1=:99k865:4=02b6?>334;;84474:?241>=0=16==?l:9689466j32?70hjf;:7?8`bm32?70hl2;:7?8`d932?70h65;:7?8`><32?70h98;:7?8`1?32?70h<b;:7?8`4i32?70h?e;:7?8`7l32?70kj1;:7?8cb832?70km4;:7?8ce;32?70k:a;:7?8c2132?70k=d;:7?8c5k32?70k?0;:7?8ban32?70jk3;:7?8bc:32?70jn6;:7?8bf=32?70j89;:7?8b0032?70j;c;:7?8b3j32?70j>f;:7?8b6m32?70mi2;:7?8ea932?70ml5;:7?8ed<32?70m9b;:7?8e1i32?70m<e;:7?8e4l32?70m>1;:7?8e6832?70lj4;:7?8db;32?70lm7;:7?8de>32?70l7a;:7?8d?132?70l:d;:7?8d2k32?70l<0;:7?8d5n32?70l?3;:7?8d7:32?70ok6;:7?8gc=32?70o8c;:7?8g0j32?70o;f;:7?8g3m32?70o=2;:7?8g5932?707i5;:7?8?a<32?707l8;:7?8?d?32?7076b;:7?8?>i32?7079e;:7?8?1l32?707;1;:7?8?3832?707>4;:7?8?6;32?706j7;:7?8>b>32?7067d;:7?8>?k32?70690;:7?8>2n32?706<3;:7?8>4:32?706?6;:7?8>7=32?709k9;:7?81c032?709nc;:7?81fj32?7098f;:7?810m32?709:2;:7?812932?709=5;:7?815<32?708i8;:7?80a?32?7086e;:7?80>l32?70881;:7?800832?708;4;:7?803;32?708>7;:7?806>32?70;ja;:7?83b132?70;md;:7?83ek32?70;60;:7?83?n32?70;93;:7?831:32?70;<6;:7?834=32?70;?9;:7?837032?70:nf;:7?82fm32?70:72;:7?82?932?70::5;:7?822<32?70:=8;:7?825?32?70=ib;:7?85ai32?70=le;:7?85dl32?70=n1;:7?85f832?70=84;:7?850;32?70=;7;:7?853>32?70=>a;:7?856132?70<l0;:7?84en32?70<63;:7?84>:32?70<96;:7?841=32?70<<9;:7?844032?70<?c;:7?847j32?70?kf;:7?87cm32?70?m2;:7?87e932?70?75;:7?87?<32?70?:8;:7?872?32?70?=b;:7?875i32?70??fe8;0>;68oi149521155>=2<58:<976;;<g;3?>334o3:76;;<a:<?>334i2;76;;<cb=?>334kj476;;<:ae?>3342i576;;<4`f?>334<hm76;;<6gg?>334>on76;;<0f`?>3348no76;;<df>=2<5on1495211a`>7b<58:hh7<k;<33=c<5l27:<l>52e9>55222;n01<>;6;0g?8779109h63>00;96a=:nlh1>i52fda96a=:nko1>i52fcd96a=:n0;1>i52f8096a=:n?>1>i52f7796a=:n:=1>i52f2:96a=:n9k1>i52f1`96a=:mmn1>i52eeg96a=:mk:1>i52ec396a=:m<<1>i52e4596a=:m;31>i52e3c96a=:loi1>i52dgf96a=:ljl1>i52de296a=:lh81>i52d`196a=:l>?1>i52d6496a=:l=21>i52d5;96a=:l8h1>i52d0a96a=:klo1>i52cdd96a=:kj;1>i52cb096a=:k?=1>i52c7:96a=:k:k1>i52c2`96a=:k9n1>i52c1g96a=:jl:1>i52bd396a=:jk91>i52bc696a=:j1<1>i52b9596a=:j<31>i52b4c96a=:j;i1>i52b3f96a=:iol1>i52b1296a=:im81>i52ae196a=:i>21>i52a6;96a=:i=h1>i52a5a96a=:i8o1>i52a0d96a=:1o;1>i529g096a=:1j>1>i529b796a=:10=1>i5298:96a=:1?k1>i5297`96a=:1:n1>i5292g96a=:18:1>i5290396a=:0l91>i528d696a=:0131>i5289c96a=:0<i1>i5284f96a=:0;l1>i5282296a=:0981>i5281196a=:?m?1>i527e496a=:?h21>i527`;96a=:?>h1>i5276a96a=:?=o1>i5275d96a=:?;;1>i5273096a=:>o>1>i526g796a=:>0k1>i5268`96a=:>?n1>i5267g96a=:>=:1>i5265396a=:>891>i5260696a=:=l<1>i525d596a=:=k31>i525cc96a=:=1i1>i5259f96a=:=<l1>i5257296a=:=:81>i5252196a=:=9?1>i5251496a=:<hh1>i524`a96a=:<>o1>i5246d96a=:<<;1>i5244096a=:<;>1>i5243796a=:;o=1>i523g:96a=:;jk1>i523b`96a=:;0n1>i5238g96a=:;>:1>i5236396a=:;=91>i5235696a=:;8<1>i5230596a=::ki1>i522cf96a=::1l1>i5228296a=::?81>i5227196a=:::?1>i5222496a=::921>i5221;96a=:9mh1>i521ea96a=:9ho1>i521`d96a=:91;1>i5219096a=:9<>1>i5214796a=:9;=1>i5213:96a=:99l26?j4=02ee?4c34;;;?4=d:?2425=:m16i5=52e9>a=2=:m16o4:52e9>g<3=:m16ml;52e9>ed0=:m164o852e9><g1=:m16:n952e9>2f>=:m168i652e9>0a?=:m16>h752e9>6`g=:m16jl4=d:?ef?4c3ty:=o<50;0x947ej3?=70??c38;6>{t98h:6=4={<33gd<1<27:<4;5839~w47e83:1>v3>08f921=:999i65<4}r32e`<72;q6==:<:7689467m3297p}>1`f94?4|58:::78;;<df5?>53ty:=lm50;0x9cc>2?>01kl;:908yv76ik0;6?u2fca921=:n1=14?5rs03be?6=:r7m4k494:?e1d<?:2wx=<o6:1818`1:3<?70h=d;:1?xu69h21<7<t=g16>32<5o:;65<4}r32e2<72;q6j=65659>aa5=0;1v<?n6;296~;blk0=863ja78;6>{t98k>6=4={<gba?0334o?o76=;|q25d5=838p1h;;:7689`7a2180q~?>a383>7}:m;=1:952dg09<7=z{8;j=7>52z?gbd<1<27oo8472:p54g72909w0jld;47?8b>03297p}>18d94?4|5mk;6;:4=e4a>=4<uz;:5h4?:3y>`25=>=16h>k5839~w47>l3:1>v3k47850>;c9803>6s|10;`>5<5s4n:578;;<af0?>53ty:=4l50;0x9fcd2?>01nl8:908yv761h0;6?u2ccd921=:k<n14?5rs03:<?6=:r7h:8494:?`75<?:2wx=<78:1818e403<?70m?3;:1?xu690<1<7<t=b2a>32<5kn=65<4}r32=0<72;q6nik5659>fd?=0;1v<?64;296~;ej80=863m7b8;6>{t98386=4={<`;0?0334h?j76=;|q25<4=838p1o;8:7689g452180q~?>9083>7}:j;k1:952ag79<7=z{8;2<7>52z?bba<1<27jo5472:p54>a2909w0ok0;47?8g1m3297p}>19f94?4|5h==6;:4=`62>=4<uz;:4n4?:3y>e1?=>=16m<:5839~w47?j3:1>v3n1b850>;>m>03>6s|10:b>5<5s43nj78;;<;ae?>53ty:=5750;0x9<e52?>0146k:908yv76010;6?u2987921=:1?:14?5rs03;3?6=:r72:5494:?:76<?:2wx=<69:1818?4j3<?707?6;:1?xu691?1<7<t=82f>32<51n265<4}r32<1<72;q64h?5659><2`=0;1v<?72;296~;?0>0=8637538;6>{t982:6=4={<:6e?033429976=;|q25=6=838p15<k:76892`?2180q~?>7g83>7}:09:1:9527b`9<7=z{8;<i7>52z?4`6<1<27<5h472:p541c2909w09n6;47?81093297p}>16a94?4|5>=26;:4=667>=4<uz;:;o4?:3y>31e=>=16;<95839~w470i3:1>v381g850>;1mh03>6s|105:>5<5s4<m>78;;<4:4?>53ty:=:950;0x93??2?>01;8<:908yv76??0;6?u267`921=:>:<14?5rs0341?6=:r7=?h494:?54<<?:2wx=<9;:18180693<?70;kc;:1?xu69>91<7<t=4g7>32<5<km65<4}r3237<72;q69o95659>1=4=0;1v<?81;296~;20h0=863:548;6>{t98=;6=4={<76`?0334?9476=;|q253`=838p18=?:76891`e2180q~?>6d83>7}:=991:9524`39<7=z{8;=o7>52z?7e<<1<27?;9472:p540e2909w0:8c;47?823?3297p}>17c94?4|5=>m6;:4=53b>=4<uz;::44?:3y>074=>=16?hj5839~w47103:1>v3<f4850>;4k903>6s|1044>5<5s49h478;;<1:7?>53ty:=;850;0x96?e2?>01>89:908yv76><0;6?u237g921=:;:314?5rs0350?6=:r788<494:?04f<?:2wx=<8<:181856<3<?70<m2;:1?xu69?;1<7<t=3`b>32<5;2>65<4}r3225<72;q6>5j5659>60>=0;1v<?:f;296~;5>90=863=2c8;6>{t98?n6=4={<007?0334;mi76=;|q250b=838p1?>9:76894b62180q~?>5b83>7}:9m31:9521`69<7=z{8;>n7>52z?2ef<1<27:;:472:p543f2909w0?8f;47?873i3297p}>14;94?4|58?96;:4=03g>=4<uz;:954?:3y>573=>=16==ki:908yv76j:0;6?u211d4>32<58:=476=;|q25d`=838p1<>80;47?8c013297p}>1`694?4|5l2:6;:4=b:b>=4<uz;:544?:3y>g<4=>=16m4l5839~w47?m3:1>v3na2850>;?ij03>6s|10:0>5<5s42i878;;<4a`?>53ty:=:650;0x93e22?>019mj:908yv76>m0;6?u24e4921=::ml14?5rs0356?6=:r79i:494:?e4?>53ty:=oo50;0x9475:3;370?>548;6>{t98h=6=4<{<3213<2>27:=8:5839>54342<<0q~?>b883>7}:98;o6<64=0367?>53ty:=o;50;0x9472<3?=70?>2184=>{t98h?6=4={<325c<2>27:=<l5789~w446i3:19v3>152926=:98;m6k52103b>c=:988h65<4=031`?043ty:><l50;7x947383<?70?>1g824>;698k1==521013>=4<58;8=78<;|q264e=83?p1<?;0;46?8769o0:=63>10c954=:989?65<4=0301?043ty:><j50;7x947383<=70?>1g826>;698k1=?521014>=4<58;8478<;|qfg?6=>r7:=995839>542e28201<?;b;75?876;l0>:63>14795==:98?86<64}r32f=<72;q6=<ll:718944713297p}>1b494?4|588;57;9;<32gd<012wx=?>n:181876jl0<563>1b`92==z{88;n7>52z?25g`=?016=<m6:7:8yv758j0;6?u210a3>2?<58;hn78:;|q265b=838p1<?l1;5:?876k00=96s|132f>5<5s4;:o?489:?25fd=>>1v<<?f;296~;69j91;45210a:>31<uz;9==4?:3y>54e32>301<?lb;45?xu6:8;1<7<t=03`1?1>34;:o4496:p54dc2902w0?>c684=>;69oo1495210da>=2<58;m;76;;<32b6<?<27:=hh5859>54ce21>01<?j7;:7?876m:0386s|1335>5<4s4;:j>472:?25c2=>:16=<mn:768yv759>0;69u210d4>=4<58;m478<;<32g<<1;27:=nl5629~w44603:18v3>1g`9<7=:98lh6;=4=03`=?0334;:oo494:p577>2908w0?>fd8;6>;69ol1:>5210ab>33<uz;9=84?:`y>54e22?<01<?l4;45?876k:0=:63>1b0923=:98i:6;84=03`4?0134;:nk496:?25gc=>?16=<ki:908947a83<87p}>20694?g|58;h978:;<32g1<1=27:=n=5649>54e52??01<?l1;46?876k90=963>1cd920=:98hn6;;4=03ff?>534;:in493:p5774290jw0?>c4850>;69j>1:95210a0>32<58;h>78;;<32g4<1<27:=n>5659>54da2?>01<?me;47?876m>03>63>1d:926=z{88:>7>5az?25f3=>:16=<m;:718947d;3<870?>c3857>;69j;1:>5210a3>35<58;ij78<;<32f`<1;27:=h=5839>54c32?90q~?>d`83>7}:98o86884=03f1?1>3ty:=i=50;1x947b>3;j70?>e882e>;69l81:n5rs03gf?6=:r7:=h95579>54c>2>30q~?>d583>6}:98oj6<o4=03f`?7f34;:i;49c:p54bd2909w0?>ec862>;69ln1;45rs03g1?6=;r7:=hk51`9>54`628k01<?ja;4`?xu69mn1<7<t=03fb?3134;:j<489:p54b12908w0?>f382e>;69o?1=l5210gf>3e<uz;:hh4?:3y>54`42<<01<?i5;5:?xu69m=1<7=t=03e2?7f34;:j44>a:?25c4=>j1v<?kf;296~;69o=19;5210d:>2?<uz;:h54?:2y>54`f28k01<?id;3b?876n?0=o6s|10g3>5<5s4;:jo4:6:?25cb=?01v<?k9;296~;6:9:1=l5210db>3e<uz;:i<4?:3y>54`b2<<01<<?0;5:?xu6:9;1<7=t=03f0?1>34;:i?475:?25`3=>k1v<<?2;297~;69l21;45210g5>=3<58;n578m;|q2655=839p1<?jc;5:?876mh03963>1df92g=z{88;87>53z?25c6=?016=<kj:978947a93<i7p}>21794?5|58;m8796;<32b7<?=27:=k;56c9~w447>3:1?v3>1g:93<=:98l=65;4=03e=?0e3ty:>=950;1x947ak3=270?>f`8;1>;69on1:o5rs003<?6=:r7:=kh5789>57672?h0q~?>2683>7}:988h6884=031a?1>3ty:=?:50;1x9475n3;j70?>3382e>;69;h1:n5rs031<?6=:r7:=>>5579>54552>30q~?>2483>6}:98986<o4=0302?7f34;:>k49c:p544>2909w0?>35862>;69:<1;45rs0312?6=:r7:=>751`9>54542?i0q~?>2`83>7}:989<6884=030=?1>3ty:=>o50;1x9475l3=270?>2c8;1>;69;o1:o5rs030f?6=;r7:=>?5789>544a21?01<?<2;4a?xu69:i1<7=t=0301?1>34;:?>475:?2560=>k1v<?<d;296~;69:21;452101:>3d<uz;;i<4?:2y>55ba21801<>j0;75?877ll0386s|11g1>5<5s4;;i=472:?24ac==?1v<>j9;297~;68l=14?5211g;>00<58:n:7;9;|q24`g=838p1<>j8;:1?877m?0386s|11f6>5<5s4;;o=493:?24fe=0;1v<>j4;296~;68j=19;5211a0>2?<uz;;i84?:3y>55e?2<<01<>l4;5:?xu68m;1<7<t=02`1?3134;;o=489:p55b52909w0??c7862>;68j;1;45rs02gf?6=:r7:<nl5579>55ef2>30q~??de83>7}:99i:6;=4=02``?>53ty:<hm50;0x946dm3=270??cb821>{t99o86=4={<33gc<?:27:<n=5629~w46c<3:1>v3>0bd95==:99nn65<4}r33ag<72;q6==j?:908946d<3<87p}>0ea94?4|58:o<7?7;<33a3<?:2wx==j<:181877k003>63>0bc926=z{8:om7>52z?24f1=0;16==m=:448yv77l00;6?u211a;>=4<58:h?78;;|q24a>=838p1<>l5;:1?877k=0=86s|11f4>5<5s4;;o;472:?24f6=>=1v<>k6;296~;68jh14?5211a2>32<uz;;n94?:2y>55d521801<>m3;75?877j80386s|11`6>5<5s4;;n>472:?24g7==?1v<>mc;297~;68kk14?5211`a>00<58:i57;9;|q24gb=838p1<>mb;:1?877j00386s|11c;>5<5s4;;5>493:?24<`=0;1v<>m7;296~;680k19;5211;5>2?<uz;;n54?:3y>55?e2<<01<>67;5:?xu68h>1<7<t=02:<?3134;;5>489:p55g22909w0??98862>;680>1;45rs02ba?6=:r7:<4k5579>55?c2>30q~??b183>7}:993?6;=4=02b4?>53ty:<oh50;0x946f93=270??9g821>{t99h=6=4={<33e7<?:27:<485629~w46f?3:1>v3>0`095==:99h:65<4}r33f`<72;q6==o<:908946>?3<87p}>0`d94?4|58:j?7?7;<33f<<?:2wx==o9:1818771j03>63>08f926=z{8:jh7>52z?24<g=0;16==7::448yv77ij0;6?u211;a>=4<58:2:78;;|q24dd=838p1<>68;:1?8771>0=86s|11cb>5<5s4;;54472:?24<5=>=1v<>n9;296~;680o14?5211;7>32<uz;;9l4?:2y>553?21801<>:9;75?877=>0386s|117a>5<5s4;;94472:?2401==?1v<>92;297~;68?:14?521142>00<58:>j7;9;|q2435=838p1<>91;:1?877=o0386s|116f>5<5s4;;?4493:?2413=0;1v<>:d;296~;68=:19;52111`>2?<uz;;9h4?:3y>55262<<01<><d;5:?xu68=k1<7<t=020a?3134;;?4489:p552e2909w0??3g862>;68:k1;45rs0260?6=:r7:<9:5579>55242>30q~??5783>7}:999j6;=4=0272?>53ty:<;;50;0x9463?3=270??44821>{t99?h6=4={<330=<?:27:<>m5629~w463l3:1>v3>05:95==:99?<65<4}r3321<72;q6==:6:9089464l3<87p}>04794?4|58:?57?7;<331c<?:2wx==:l:181877<;03>63>051926=z{8:>?7>52z?2416=0;16===m:448yv77=;0;6?u21162>=4<58:8o78;;|q2407=838p1<><e;:1?877;m0=86s|1173>5<5s4;;?k472:?246?=>=1v<>;f;296~;68=>14?52111b>32<uz;;>i4?:2y>554e21801<>=c;75?877:h0386s|110f>5<5s4;;>n472:?247g==?1v<><5;297~;68:914?521117>00<58:8>7;9;|q2460=838p1<><4;:1?877;;0386s|1102>5<5s4;;<n493:?244>=0;1v<><0;296~;688919;52112e>2?<uz;;?<4?:3y>55732<<01<>>0;5:?xu688n1<7<t=0225?3134;;<n489:p557b2909w0??13862>;689n1;45rs0213?6=:r7:<<95579>55712>30q~??2883>7}:99:o6;=4=022=?>53ty:<>650;0x9466i3=270??19821>{t998m6=4={<335g<?:27:<=h5629~w46583:1>v3>00`95==:998j65<4}r3372<72;q6==?l:908946683<87p}>03:94?4|58::o7?7;<3377<?:2wx==?i:1818779<03>63>004926=z{8:9:7>52z?2445=0;16==>j:448yv77:<0;6?u21137>=4<58:;j78;;|q2472=838p1<>>1;:1?877990=86s|1100>5<5s4;;=?472:?245e=>=1v<>=2;296~;688=14?52112g>32<uz;;<=4?:2y>bcc=0;16jkh5579>bcb=0=1v<>?1;296~;ano03>63ife862>{t99:36=4<{<3343<?:27:<=95579>55622<<0q~??0883>7}:99:<65<4=0231?>33tymj94?:3y>ba`=>:16jhl5839~w467;3:1>v3ie7862>;am;0<56s|1127>5<5s4ln;7;9;<df7?1>3tymj=4?:3y>b`2==?16jih5789~wc`62909w0hj5;75?8`b83=27p}if`83>7}:nlk19;52fd;93<=z{olh6=4={<df4?0434lno76=;|q245d=838p1kkk:6;89cce28?0q~??0383>7}:nlo14?52fd0926=z{ol86=4={<dfa?7?34lmh76=;|q245g=838p1kki:9089cc42?90q~hib;296~;amo0:463>0179<7=z{ol96=4={<df<?>534ln578<;|qeb<<72;q6jh85839>b`7==?1vkh7:1818`b?32970hj2;47?xuan>0;6?u2fd69<7=:nl91:95rsgd5>5<5s4ln976=;<dgb?033tymj84?:3y>b`g=0;16jh>5659~wcb42908w0hk1;:1?8`c:3?=70hk0;:7?xual=0;6?u2fe09<7=:nm:19;5rsgfa>5<4s4lo576=;<dge?3134lo47;9;|qe`f<72;q6jio5839>ba>=0=1vkm8:1818`e:3<870hme;:1?xual?0;6?u2fc;913=:nk?1;45rsgf4>5<5s4lim7;9;<da2?1>3tymo>4?:3y>bg1==?16jo<5789~wce32909w0hm8;75?8`e;3=27p}ice83>7}:nkn19;52fca93<=z{oim6=4={<da7?0434lij76=;|qe``<72;q6jn>5789>bgc=9<1vkj::1818`d932970hm5;40?xuak?0;6?u2fb395==:nm:14?5rsgfg>5<5s4lh>76=;<da2?043tymoh4?:3y>bf4=9116ji65839~wce22909w0hmb;:1?8`ek3<87p}icb83>7}:nk314?52fc6913=z{oii6=4={<dae?>534li978;;|qegd<72;q6jo95839>bg0=>=1vkm6:1818`e032970hm2;47?xuak10;6?u2fcf9<7=:nk91:95rsgc5>5<4s4lj876=;<db1?3134lj?76;;|qee2<72;q6jl;5839>bd5==?1vkoj:1808`fk32970hnd;75?8`fj3?=7p}iag83>7}:nhn14?52f``9<1=z{o3j6=4={<d;1?0434l2=76=;|qee<<72;q6j5m5579>b=>=?01vkon:1818`?l3?=70h79;5:?xua1?0;6?u2f9c913=:n1?1;45rsg;4>5<5s4l3n7;9;<d;2?1>3tymm=4?:3y>b<6==?16j5h5789~wcg52909w0h76;40?8`>:3297p}ib083>7}:n091;452f83950=z{ok36=4={<d:0?>534l3478<;|qe=<<72;q6j4:5199>bd5=0;1vkl?:1818`>=32970h79;40?xuai80;6?u2f8795==:nhh14?5rsg;;>5<5s4l3i76=;<d;b?043tym5k4?:3y>b=e=0;16j595579~wc?b2909w0h7d;:1?8`?03<?7p}i9e83>7}:n1k14?52f9;921=z{o3h6=4={<d;f?>534l3978;;|qe=g<72;q6j4>5839>b=0=>=1vk96:1808`0?32970h88;75?8`0>32?7p}i7`83>7}:n>214?52f64913=z{o2:6=4<{<d4b?>534l3<7;9;<d4a?313tym4?4?:3y>b=6=0;16j:k5859~wc0c2909w0h:8;40?8`1<3297p}i7b83>7}:n<l19;52f4`93<=z{o=o6=4={<d54?3134l>o796;|qe2<<72;q6j8j5579>b0>=?01vk8n:1818`2m3?=70h:9;5:?xua?:0;6?u2f71913=:n?81;45rsg56>5<5s4l>578<;<d51?>53tym494?:3y>b30=?016j;:5149~wc1e2909w0h97;:1?8`2j3<87p}i6b83>7}:n?=1=552f649<7=z{o286=4={<d5<?>534l>o78<;|qe31<72;q6j;65199>b2c=0;1vk8m:1818`1932970h92;40?xua?;0;6?u2f4d9<7=:n<k19;5rsg52>5<5s4l=<76=;<d6f?033tym;=4?:3y>b0b=0;16j8m5659~wc0a2909w0h:e;:1?8`203<?7p}i6d83>7}:n?914?52f4;921=z{o>h6=4<{<d7e?>534l?n7;9;<d7=?>33tym8i4?:3y>b1d=0;16j975579~wc332908w0h:2;:1?8`2;3?=70h:1;75?xua=<0;6?u2f419<7=:n<;1495rsg63>5<5s4l9n78<;<d03?>53tym8k4?:3y>b64==?16j?k5789~wc372909w0h<3;75?8`5n3=27p}i3b83>7}:n::19;52f3`93<=z{o9o6=4={<d05?3134l9o796;|qe03<72;q6j>85579>b63=?01vk:7:1818`5k3<870h<8;:1?xua=>0;6?u2f2;93<=:n:=1=85rsg6f>5<5s4l8m76=;<d1a?043tym?k4?:3y>b6g=9116j975839~wc312909w0h<b;:1?8`5n3<87p}i4683>7}:n:h1=552f439<7=z{o9n6=4={<d00?>534l8978<;|qe00<72;q6j><5839>b7b==?1vk:;:1818`4;32970h=e;47?xua<:0;6?u2f229<7=:n;l1:95rsg61>5<5s4l8=76=;<d1f?033tym8<4?:3y>b60=0;16j?m5659~wc7a2908w0h>d;:1?8`6m3?=70h>c;:7?xua:90;6?u2f0g9<7=:n8i19;5rsg04>5<4s4l9976=;<d12?3134l987;9;|qe6=<72;q6j?85839>b72=0=1vk?<:1818cam3<870h?a;:1?xua:;0;6?u2f17913=:n9;1;45rsg00>5<5s4l;:7;9;<d36?1>3tym<k4?:3y>b55==?16ikk5789~wc772909w0h?4;75?8can3=27p}i1883>7}:n9319;52f1:93<=z{o;i6=4={<geb?0434l;n76=;|qe6d<72;q6j=m5789>b5g=9<1vk<>:1818`7l32970h?1;40?xua9;0;6?u2f1f95==:n8i14?5rsg0:>5<5s4l;i76=;<d36?043tym=l4?:3y>b5c=9116j?:5839~wc762909w0h?7;:1?8`703<87p}i1983>7}:n9?14?52f12913=z{o;<6=4={<d32?>534l;=78;;|qe53<72;q6j==5839>b54=>=1vk?::1818`7<32970kie;47?xua9=0;6?u2f1;9<7=:mol1:95rsdd1>5<4s4om<76=;<ge5?3134onj76;;|qfb6<72;q6ik?5839>a``==?1vhhn:1808ca032970ki9;75?8ca?3?=7p}jfc83>7}:mo314?52eg59<1=z{lo=6=4={<gg5?0434ooh76=;|qfb0<72;q6ii65579>aa2=?01vhh9:1818cc13?=70kk5;5:?xubm;0;6?u2ee4913=:mm;1;45rsdg0>5<5s4oo;7;9;<gg6?1>3tynin4?:3y>aae==?16iil5789~w`cb2909w0kk2;40?8ccm3297p}jfe83>7}:mml1;452eef950=z{ll?6=4={<gf4?>534oo878<;|qfa0<72;q6ih>5199>a``=0;1vhhl:1818cb932970kk5;40?xubmm0;6?u2ed395==:mo=14?5rsdg7>5<5s4oom76=;<ggf?043tynio4?:3y>aa>=0;16ii=5579~w`cf2909w0kk9;:1?8cc<3<?7p}je883>7}:mm<14?52ee7921=z{lo36=4={<gg3?>534oo=78;;|qfa2<72;q6iim5839>aa4=>=1vhm::1808cd;32970kl4;75?8cd:32?7p}jc783>7}:mj>14?52eb0913=z{lio6=4<{<g`f?>534oho7;9;<g`e?313tynoh4?:3y>afe=0;16ino5859~w`d>2909w0kn4;40?8ce83297p}jc983>7}:mhh19;52e`593<=z{li26=4={<gbg?3134oj4796;|qff0<72;q6il75579>ad2=?01vhl9:1818cfi3?=70kn5;5:?xubjo0;6?u2e`d913=:mho1;45rsda2>5<5s4oj978<;<ga5?>53tynh=4?:3y>ag4=?016io>5149~w`e02909w0km3;:1?8cf?3<87p}jb983>7}:mk91=552eb09<7=z{lim6=4={<ga0?>534oj478<;|qfg5<72;q6io:5199>afg=0;1vhl8:1818cfl32970kne;40?xubjl0;6?u2e``9<7=:mh<19;5rsd`g>5<5s4ojo76=;<gb3?033tynnn4?:3y>ad?=0;16il65659~w`de2909w0kna;:1?8cf<3<?7p}jb`83>7}:mhl14?52e`7921=z{l<i6=4<{<g5=?>534o=m7;9;<g5<?>33tyn:n4?:3y>a3g=0;16i;65579~w`142908w0k81;:1?8c0:3?=70k80;75?xub?=0;6?u2e609<7=:m>:1495rsd7e>5<5s4o?m78<;<g62?>53tyn:h4?:3y>a07==?16i9j5789~w`0a2909w0k:2;75?8c3m3=27p}j5c83>7}:m=l19;52e5c93<=z{l?h6=4={<g64?3134o?n796;|qf20<72;q6i8;5579>a02=?01vh88:1818c3j3<870k:7;:1?xub??0;6?u2e4:93<=:m<<1=85rsd4g>5<5s4o>576=;<g7`?043tyn9h4?:3y>a0?=9116i;65839~w`122909w0k:a;:1?8c3m3<87p}j6783>7}:m<k1=552e629<7=z{l?o6=4={<g67?>534o>878<;|qf21<72;q6i8?5839>a1e==?1vh8<:1818c2:32970k;d;47?xub>;0;6?u2e5d9<7=:m=o1:95rsd42>5<5s4o><76=;<g7e?033tyn:=4?:3y>a03=0;16i9l5659~w`5b2908w0k<c;:1?8c4l3?=70k<b;:7?xub;o0;6?u2e2f9<7=:m:h19;5rsd65>5<4s4o?876=;<g71?3134o??7;9;|qf02<72;q6i9;5839>a15=0=1vh==:1818c6l3<870k=9;:1?xub<80;6?u2e36913=:m;:1;45rsd61>5<5s4o997;9;<g15?1>3tyn>h4?:3y>a74==?16i<j5789~w`4a2909w0k=3;75?8c6m3=27p}j3983>7}:m;219;52e3593<=z{l9j6=4={<g2a?0434o9m76=;|qf0<<72;q6i?l5789>a7?=9<1vh:?:1818c5k32970k=0;40?xub;80;6?u2e3a95==:m:h14?5rsd6;>5<5s4o9h76=;<g15?043tyn?44?:3y>a7b=9116i9=5839~w`572909w0k=6;:1?8c5?3<87p}j3683>7}:m;>14?52e0d913=z{l9=6=4={<g11?>534o9<78;;|qf70<72;q6i?<5839>a77=>=1vh=;:1818c5;32970k>d;47?xub;:0;6?u2e3:9<7=:m8o1:95rsd32>5<4s4o;j76=;<g24?3134o;i76;;|qf57<72;q6i<>5839>a5c==?1vh?6:1808c6?32970k>8;75?8c6>3?=7p}j1`83>7}:m8214?52e049<1=z{l:>6=4={<fe4?0434nmo76=;|qf51<72;q6hk95579>`c5=?01vh?::1818ba03?=70ji4;5:?xub880;6?u2dg7913=:lo:1;45rsd21>5<5s4nm:7;9;<fe5?1>3tyn<o4?:3y>`cd==?16hko5789~w`6c2909w0ji1;40?8bal3297p}j1b83>7}:loo1;452dga950=z{l;86=4={<feb?>534nm?78<;|qf41<72;q6hkh5199>a5c=0;1vh?m:1818c7832970ji4;40?xub8j0;6?u2e1295==:m8<14?5rsd20>5<5s4nm576=;<fee?043tyn<l4?:3y>`c1=0;16hk<5579~w`6>2909w0ji8;:1?8ba;3<?7p}j0983>7}:lo?14?52dg6921=z{l:<6=4={<fe2?>534nm<78;;|qf43<72;q6hkl5839>`c7=>=1vik;:1808bb:32970jj3;75?8bb932?7p}ke483>7}:ll914?52dd3913=z{moh6=4<{<ffe?>534nnn7;9;<ff=?313tyoii4?:3y>``d=0;16hh75859~wab?2909w0jl3;40?8bdn3297p}ke683>7}:ljk19;52db493<=z{mo36=4={<f`f?3134nh;796;|qg`1<72;q6hn65579>`f5=?01vij::1818bd13?=70jl4;5:?xucll0;6?u2dbg913=:ljn1;45rseg3>5<5s4nh878<;<fg4?>53tyoik4?:3y>`a7=?016hnh5149~wac12909w0jk2;:1?8bd>3<87p}kd683>7}:lm81=552dd39<7=z{mon6=4={<fg7?>534nh;78<;|qg`c<72;q6hi=5199>``?=0;1vij9:1818bdk32970jld;40?xuclm0;6?u2dbc9<7=:lj?19;5rsef`>5<5s4nhn76=;<f`2?033tyoho4?:3y>`f>=0;16hn95659~wabf2909w0jl9;:1?8bd;3<?7p}kd883>7}:ljo14?52db6921=z{mh<6=4<{<fa1?>534ni:7;9;<fa0?>33tyon54?:3y>`g0=0;16ho:5579~wada2908w0jmd;:1?8bem3?=70jmc;75?xuck90;6?u2dcg9<7=:lki1495rseca>5<5s4n2:78<;<fb6?>53tyonl4?:3y>`<b==?16h475789~wade2909w0j6e;75?8b>i3=27p}ka683>7}:l0h19;52d8493<=z{mk36=4={<f:g?3134n2;796;|qgf4<72;q6hl?5579>`d6=?01vil<:1818b>?3<870jn3;:1?xuck;0;6?u2d`693<=:lh81=85rse`:>5<5s4nj976=;<f:=?043tyoml4?:3y>`d3=9116ho:5839~wae62909w0jn6;:1?8b>i3<87p}kb383>7}:lh<1=552dca9<7=z{mk26=4={<f:b?>534nj<78<;|qgf5<72;q6h4j5839>`<>==?1vioi:1818b>m32970j69;47?xucil0;6?u2d8`9<7=:l0k1:95rsecg>5<5s4n2o76=;<f:2?033tyomn4?:3y>`d7=0;16h495659~wa>f2908w0j78;:1?8b?13?=70j77;:7?xuc0k0;6?u2d9;9<7=:l1=19;5rse;1>5<4s4n2<76=;<f:5?3134n3j7;9;|qg=6<72;q6h4?5839>`=`=0=1vi9j:1818b113<870j85;:1?xuc0m0;6?u2d62913=:l?i1;45rse:f>5<5s4n<=7;9;<f5`?1>3tyo;l4?:3y>`3c==?16h;75789~wa1e2909w0j9f;75?8b1i3=27p}k8583>7}:l>>19;52d6193<=z{m2=6=4={<f5e?0434n<:76=;|qg=0<72;q6h:95789>`23=9<1vi6l:1818b0032970j9c;40?xuc?m0;6?u2d6:95==:l1=14?5rse;7>5<5s4n<576=;<f5`?043tyo484?:3y>`2?=9116h5h5839~wa1d2909w0j82;:1?8b0;3<87p}k8283>7}:l>:14?52d7`913=z{m296=4={<f45?>534n=o78;;|qg<4<72;q6h;k5839>`3b=>=1vi6?:1818b1n32970j99;47?xuc?o0;6?u2d669<7=:l?k1:95rse7g>5<4s4n>n76=;<f6g?3134n>m76;;|qg1`<72;q6h8m5839>`0g==?1vi8::1808b1;32970j94;75?8b1:3?=7p}k6783>7}:l?>14?52d709<1=z{m?:6=4={<f0g?0434n?476=;|qg25<72;q6h9=5579>`6`=?01vi8>:1818b3<3?=70j;0;5:?xuc<m0;6?u2d53913=:l:i1;45rse6f>5<5s4n?>7;9;<f0`?1>3tyo9:4?:3y>`11==?16h985789~wa3>2909w0j<d;40?8b313297p}k6983>7}:l=k1;452d5:950=z{m?m6=4={<f7f?>534n8j78<;|qg15<72;q6h9l5199>`0g=0;1vi88:1818b3k32970j;0;40?xuc=10;6?u2d5a95==:l?814?5rse6e>5<5s4n?976=;<f72?043tyo9;4?:3y>`15=0;16h>k5579~wa322909w0j;4;:1?8b4n3<?7p}k5583>7}:l=;14?52d52921=z{m?86=4={<f76?>534n8o78;;|qg17<72;q6h995839>`6b=>=1vi=?:1808b5m32970j=f;75?8b5l32?7p}k3083>7}:l;l14?52d3f913=z{m936=4<{<f02?>534n8;7;9;<f01?313tyo?44?:3y>`61=0;16h>;5859~wa432909w0j?f;40?8b6j3297p}k3283>7}:l8<19;52d0093<=z{m9?6=4={<f23?3134n:?796;|qg65<72;q6h<:5579>`5`=?01vi<>:1818b6=3?=70j>0;5:?xuc:h0;6?u2d0c913=:l831;45rse0`>5<5s4n:<78<;<f2g?>53tyo?o4?:3y>`4b=?016h<l5149~wa552909w0j>e;:1?8b6:3<87p}k2283>7}:l8o1=552d3f9<7=z{m9j6=4={<f2b?>534n:?78<;|qg6g<72;q6h<h5199>`63=0;1vi<=:1818b6032970j>9;40?xuc:00;6?u2d049<7=:l8;19;5rse0;>5<5s4n:;76=;<f26?033tyo>:4?:3y>`42=0;16h<=5659~wa412909w0j>5;:1?8b7n3<?7p}k2483>7}:l8k14?52d02921=z{m:86=4<{<f35?>534n;>7;9;<f34?>33tyo<94?:3y>`54=0;16h=>5579~wa6e2908w0j?9;:1?8b7i3?=70j?8;75?xuc8j0;6?u2d1c9<7=:l921495rsbd4>5<5s4in>78<;<afa?>53tyo<;4?:3y>g`?==?16oh;5789~wa602909w0mja;75?8eb>3=27p}lf283>7}:kl=19;52cd093<=z{jl?6=4={<af<?3134in?796;|q`ba<72;q6ohj5579>g`e=?01vnhi:1818eb;3<870mjf;:1?xuc8l0;6?u2cg293<=:klo1=85rse26>5<5s4im=76=;<af1?043tyhj;4?:3y>gc7=9116h=>5839~wa6c2909w0mi2;:1?8eb>3<87p}lfd83>7}:ko81=552d1:9<7=z{jl>6=4={<aff?>534ino78<;|q`bf<72;q6oh75839>g`2==?1vnhm:1818ebi32970mj5;47?xudnh0;6?u2cd59<7=:kl<1:95rsbd:>5<5s4in476=;<af6?033tyhj54?:3y>g`b=0;16oh=5659~wfb12908w0mk4;:1?8ec=3?=70mk3;:7?xudl>0;6?u2ce79<7=:km919;5rsbff>5<4s4ioo76=;<ag`?3134ion7;9;|q``c<72;q6oij5839>gad=0=1vnmn:1818ee=3<870ml1;:1?xudl00;6?u2cca913=:kk21;45rsbfb>5<5s4iih7;9;<aa=?1>3tyho;4?:3y>ggg==?16oo;5789~wfe02909w0mmb;75?8ee>3=27p}ld183>7}:kj:19;52ccd93<=z{jn96=4={<aa2?0434ih>76=;|q`a4<72;q6on=5789>gf7=9<1vnj7:1818ed<32970mm8;40?xudk00;6?u2cb695==:km914?5rsbg3>5<5s4ih976=;<aa=?043tyhh<4?:3y>gf3=9116oil5839~wfe?2909w0mme;:1?8een3<87p}lcg83>7}:kki14?52cc5913=z{jin6=4={<aa`?>534ii478;;|q`ga<72;q6ooo5839>gg?=>=1vnml:1818eej32970mm5;47?xudkk0;6?u2cb29<7=:kk<1:95rsb5`>5<4s4i<m76=;<a4f?3134i<576;;|q`3a<72;q6o:l5839>g2?==?1vn6;:1808e?:32970m73;75?8e?93?=7p}l8483>7}:k1914?52c939<1=z{j=;6=4={<a6f?0434i=;76=;|q`3c<72;q6o;<5579>g0c=?01vn6?:1818e1;3?=70m:f;5:?xud>j0;6?u2c72913=:k<h1;45rsb4g>5<5s4i==7;9;<a6g?1>3tyh;;4?:3y>g30==?16o;;5789~wf1?2909w0m:c;40?8e103297p}l8683>7}:k?31;452c75950=z{j=n6=4={<a5e?>534i>i78<;|q`2c<72;q6o;o5199>g2?=0;1vn69:1818e1j32970m:f;40?xud?>0;6?u2c7`95==:k1;14?5rsb4f>5<5s4i=876=;<a51?043tyh;84?:3y>g34=0;16o8j5579~wf132909w0m93;:1?8e2m3<?7p}l7283>7}:k?:14?52c4d921=z{j=96=4={<a55?>534i>n78;;|q`34<72;q6o;85839>g0e=>=1vn:i:1808e3l32970m;e;75?8e3k32?7p}l5183>7}:k=o14?52c5a913=z{j?<6=4<{<a61?>534i>:7;9;<a60?313tyh954?:3y>g00=0;16o8:5859~wf242909w0m=e;40?8e4i3297p}l5383>7}:k:?19;52c2393<=z{j?86=4={<a02?3134i8>796;|q`7c<72;q6o>=5579>g7c=?01vn:?:1818e4<3?=70m=f;5:?xud<00;6?u2c2;913=:k:21;45rsb6a>5<5s4i9j78<;<a0f?>53tyh9l4?:3y>g6e=?016o>o5149~wf362909w0m<d;:1?8e493<87p}l4383>7}:k:n1=552c5a9<7=z{j?26=4={<a0a?>534i8>78<;|q`0d<72;q6o>k5199>g02=0;1vn:>:1818e4?32970m<8;40?xud<10;6?u2c279<7=:k::19;5rsb64>5<5s4i8:76=;<a05?033tyh8;4?:3y>g65=0;16o><5659~wf222909w0m<4;:1?8e5m3<?7p}l4583>7}:k:314?52c3d921=z{j896=4<{<a14?>534i9=7;9;<a2b?>33tyh>>4?:3y>g77=0;16o<h5579~wf4f2908w0m=8;:1?8e513?=70m=7;75?xud:k0;6?u2c3;9<7=:k;=1495rsb35>5<5s4i;=78<;<a3`?>53tyh>84?:3y>g5>==?16o=:5789~wf412909w0m?9;75?8e7=3=27p}l1383>7}:k9<19;52c1393<=z{j;86=4={<a33?3134i;>796;|q`5f<72;q6o=m5579>g5d=?01vn?j:1818e7:3<870m?e;:1?xud:m0;6?u2c1d93<=:k9n1=85rsb07>5<5s4i:<76=;<a30?043tyh=84?:3y>g46=9116o<h5839~wf4d2909w0m>1;:1?8e7=3<87p}l1e83>7}:k8;1=552c359<7=z{j;?6=4={<a3e?>534i;n78<;|q`5g<72;q6o=65839>g55==?1vn?n:1818e7132970m?4;47?xud900;6?u2c149<7=:k9?1:95rsb3;>5<5s4i;;76=;<a35?033tyh=:4?:3y>g5e=0;16o=<5659~wg`22908w0li3;:1?8da<3?=70li2;:7?xuen?0;6?u2bg69<7=:jo819;5rscdg>5<4s4hmn76=;<`eg?3134hmm7;9;|qab`<72;q6nkm5839>fcg=0=1vok6:1818dc<3<870lj0;:1?xuen10;6?u2be`913=:jm=1;45rscd:>5<5s4hoo7;9;<`g<?1>3tyii84?:3y>fa?==?16ni:5789~wgc12909w0lka;75?8dc=3=27p}meg83>7}:jml19;52beg93<=z{kl:6=4={<`g1?0434hn=76=;|q`45<72;q6nh<5789>f`6=9<1voh8:1818db;32970lk7;40?xuem10;6?u2bd195==:jo814?5rscde>5<5s4hn876=;<`g<?043tyij=4?:3y>f`2=9116nko5839~wgc02909w0lkd;:1?8dcm3<87p}med83>7}:jmh14?52be4913=z{koo6=4={<`gg?>534ho;78;;|qaaf<72;q6ni75839>fa>=>=1vokm:1818dci32970lk4;47?xuemh0;6?u2bed9<7=:jm?1:95rsca;>5<4s4hh:76=;<``3?3134hh976;;|qag<<72;q6nn95839>ff3==?1voj?:1808ddm32970llf;75?8ddl3?=7p}md083>7}:jjl14?52bbf9<1=z{khh6=4={<`b3?0434hi?76=;|qagg<72;q6nlk5579>fdg=?01voml:1818dfn3?=70lnb;5:?xuej10;6?u2b`a913=:jh=1;45rsc`:>5<5s4hjh7;9;<`b<?1>3tyio?4?:3y>fg4==?16no?5789~wge32909w0ln8;40?8de<3297p}md283>7}:jk?1;452bc1950=z{kij6=4={<`a2?>534hjm78<;|qafg<72;q6no85199>ff3=0;1voj=:1818de?32970lnb;40?xuek:0;6?u2bc595==:jjn14?5rsc`b>5<5s4hi<76=;<`a5?043tyio<4?:3y>fdc=0;16nl75579~wge72909w0lnf;:1?8dfi3<?7p}mbg83>7}:jhi14?52b``921=z{khn6=4={<`b`?>534hj;78;;|qafa<72;q6no<5839>fd>=>=1vo7m:1808d>132970l6a;75?8d>032?7p}m9b83>7}:j0k14?52b8:913=z{kk86=4<{<`b5?>534hj>7;9;<`b4?313tyim94?:3y>fd4=0;16nl>5859~wg>a2909w0l8a;40?8d?>3297p}m9d83>7}:j1;19;52b6f93<=z{k3m6=4={<`;6?3134h<i796;|qa<g<72;q6n:h5579>f2g=?01vo6l:1818d?83?=70l8b;5:?xue1<0;6?u2b97913=:j1>1;45rsc;4>5<5s4h<n78<;<`;3?>53tyim;4?:3y>f=>=?016n585149~wg?c2909w0l79;:1?8d0l3<87p}m8d83>7}:j131=552b8:9<7=z{kk>6=4={<`;e?>534h<i78<;|qa=3<72;q6n5o5199>fd6=0;1vo6k:1818d?;32970l74;40?xue1=0;6?u2b939<7=:j>i19;5rsc;0>5<5s4h3>76=;<`4`?033tyi5?4?:3y>f2`=0;16n:k5659~wg?62909w0l70;:1?8d0i3<?7p}m9183>7}:j1?14?52b6`921=z{k<n6=4<{<`5g?>534h=h7;9;<`5f?>33tyi:k4?:3y>f3b=0;16n;l5579~wg112908w0l84;:1?8d0=3?=70l83;75?xue?>0;6?u2b679<7=:j>91495rsc41>5<5s4h?h78<;<`6=?>53tyi;<4?:3y>f02==?16n8>5789~wg152909w0l:5;75?8d293=27p}m5d83>7}:j<819;52b5f93<=z{k?m6=4={<`67?3134h?i796;|qa2=<72;q6n865579>f01=?01vo8n:1818d3m3<870l:a;:1?xue?00;6?u2b4`93<=:j<31=85rsc53>5<5s4h>o76=;<`64?043tyi:<4?:3y>f0e=9116n;l5839~wg1?2909w0l:d;:1?8d293<87p}m6883>7}:j<n1=552b619<7=z{k<;6=4={<`62?>534h>;78<;|qa22<72;q6n8:5839>f1`==?1vo89:1818d2=32970l:0;47?xue><0;6?u2b409<7=:j<;1:95rsc47>5<5s4h>?76=;<`7`?033tyi:>4?:3y>f0>=0;16n9k5659~wg262908w0l<f;:1?8d383?=70l<e;:7?xue<;0;6?u2b529<7=:j:o19;5rsc6:>5<4s4h?;76=;<`7<?3134h?:7;9;|qa0d<72;q6n965839>f10=0=1vo=::1818d583<870l=c;:1?xue<=0;6?u2b35913=:j;91;45rsc66>5<5s4h947;9;<`10?1>3tyi?<4?:3y>f73==?16n?>5789~wg552909w0l=6;75?8d593=27p}m3c83>7}:j;h19;52b3c93<=z{k9o6=4={<`15?0434h9h76=;|qa0f<72;q6n?k5789>f7e=9<1vo:<:1818d5n32970l=3;40?xue;=0;6?u2b3d95==:j:o14?5rsc6a>5<5s4h8<76=;<`10?043tyi?n4?:3y>f66=9116n985839~wg542909w0l=9;:1?8d5i3<87p}m3`83>7}:j;=14?52b30913=z{k926=4={<`1<?>534h9?78;;|qa7=<72;q6n?;5839>f72=>=1vo=8:1818d5>32970l=0;47?xue;?0;6?u2b3`9<7=:j;;1:95rsc37>5<4s4h:>76=;<`27?3134h:=76;;|qa50<72;q6n<=5839>f47==?1vo?l:1808d6i32970l>b;75?8d613?=7p}m1e83>7}:j8h14?52b0;9<1=z{k:36=4={<ce7?0434kmj76=;|qa52<72;q6mko5579>ec0=?01vo?7:1818gaj3?=70oi7;5:?xue8=0;6?u2ag:913=:io91;45rsc26>5<5s4km57;9;<ce0?1>3tyi<h4?:3y>ecc==?16mkj5789~wg772909w0oi4;40?8d783297p}m1g83>7}:j9;1;452agd950=z{k;=6=4={<`36?>534km:78<;|qa42<72;q6n=<5199>f47=0;1vo?j:1818d7;32970oi7;40?xue8o0;6?u2b1195==:j8314?5rsc25>5<5s4kmo76=;<ce`?043tyi<i4?:3y>ecg=0;16mk;5579~wg6d2909w0oib;:1?8ga>3<?7p}m0c83>7}:io214?52ag5921=z{k:j6=4={<ce=?>534km?78;;|qa4<<72;q6mkk5839>ec2=>=1vlk8:1808gb=32970oj6;75?8gb<32?7p}ne983>7}:il<14?52ad6913=z{hom6=4<{<cf`?>534kni7;9;<cfg?313tyjj=4?:3y>e`c=0;16mhm5859~wdbe2909w0ol6;40?8gc:3297p}ne`83>7}:ijn19;52ab;93<=z{hoi6=4={<c`a?3134khm796;|qb`2<72;q6mnl5579>ef0=?01vlj7:1818gdk3?=70ol7;5:?xufm80;6?u2ae3913=:im:1;45rs`g0>5<5s4kh;78<;<cg7?>53tyjj?4?:3y>ea2=?016mi<5149~wdc>2909w0ok5;:1?8gd13<87p}nd`83>7}:im?1=552ad69<7=z{hl:6=4={<cg2?>534khm78<;|qba7<72;q6mi85199>e`e=0;1vlj6:1818gdn32970ok0;40?xufm90;6?u2abf9<7=:ij219;5rs`fe>5<5s4khi76=;<c`=?033tyjhh4?:3y>efd=0;16mno5659~wdbc2909w0olc;:1?8gd>3<?7p}ndb83>7}:im;14?52ab5921=z{h2o6=4<{<c;f?>534k3o7;9;<c;e?>33tyj4h4?:3y>e=e=0;16m5o5579~wd?22908w0o63;:1?8g><3?=70o62;75?xuf1?0;6?u2a869<7=:i081495rs`:2>5<5s4k=o78<;<c4<?>53tyj5=4?:3y>e25==?16m;h5789~wd?62909w0o84;75?8g083=27p}n7e83>7}:i>;19;52a7a93<=z{h=n6=4={<c46?3134k=h796;|qb<2<72;q6m:95579>e20=?01vl66:1818g1l3<870o89;:1?xuf110;6?u2a6c93<=:i>21=85rs`:e>5<5s4k<n76=;<c5b?043tyj4=4?:3y>e2d=9116m5o5839~wd?02909w0o8c;:1?8g083<87p}n8983>7}:i>i1=552a809<7=z{h=m6=4={<c41?>534k<:78<;|qb<3<72;q6m:=5839>e3c==?1vl6::1818g0<32970o9f;47?xuf0=0;6?u2a639<7=:i>:1:95rs`:0>5<5s4k<>76=;<c5g?033tyj4?4?:3y>e21=0;16m;j5659~wd072908w0o:e;:1?8g2n3?=70o:d;:7?xuf>80;6?u2a4d9<7=:i<n19;5rs`4;>5<4s4k=:76=;<c53?3134k=97;9;|qb2<<72;q6m;95839>e33=0=1vl;;:1818g4n3<870o;b;:1?xuf>:0;6?u2a54913=:i=81;45rs`47>5<5s4k?;7;9;<c77?1>3tyj9=4?:3y>e12==?16m>h5789~wd362909w0o;5;75?8g383=27p}n5`83>7}:i=k19;52a5;93<=z{h?h6=4={<c74?0434k?o76=;|qb2g<72;q6m9j5789>e1d=9<1vl8=:1818g3m32970o;2;40?xuf=:0;6?u2a5g95==:i<n14?5rs`4b>5<5s4k?j76=;<c77?043tyj9o4?:3y>e1`=9116m;;5839~wd352909w0o;8;:1?8g313<87p}n5883>7}:i=<14?52a53913=z{h?36=4={<c73?>534k?>78;;|qb12<72;q6m9:5839>e15=>=1vl;9:1818g3=32970o<f;47?xuf=<0;6?u2a5c9<7=:i=:1:95rs`10>5<4s4k8=76=;<c06?3134k8<76;;|qb71<72;q6m><5839>e66==?1vl=m:1808g4132970o<a;75?8g403?=7p}n3b83>7}:i:k14?52a2:9<1=z{h8<6=4={<c26?0434k:i76=;|qb73<72;q6m<75579>e43=?01vl=8:1818g6i3?=70o>6;5:?xuf::0;6?u2a05913=:i881;45rs`07>5<5s4k:47;9;<c27?1>3tyj>i4?:3y>e4b==?16m<m5789~wd4a2909w0o>3;40?8g6n3297p}n3d83>7}:i;:1;452a0g950=z{h9>6=4={<c15?>534k:978<;|qb63<72;q6m??5199>e66=0;1vl=k:1818g5:32970o>6;40?xuf:l0;6?u2a3095==:i:214?5rs`06>5<5s4k:n76=;<c2g?043tyj>n4?:3y>e4?=0;16m<:5579~wd4e2909w0o>a;:1?8g6=3<?7p}n2`83>7}:i8=14?52a04921=z{h826=4={<c2<?>534k:>78;;|qb6=<72;q6m<j5839>e45=>=1vl>9:1808g7<32970o?5;75?8g7;32?7p}n0683>7}:i9?14?52a11913=z{h:n6=4<{<c3g?>534k;h7;9;<c3f?313tyj<k4?:3y>e5b=0;16m=l5859~w<`f2909w07j5;40?8?a93297p}n0883>7}:1li19;529d:93<=z{h:j6=4={<;f`?31343n5796;|q:b3<72;q65ho5579>=`3=?01v4h8:1818?bj3?=707j6;5:?xuf890;6?u29g2913=:1ll1;45rs`21>5<5s43n:78<;<;e6?>53tyj=<4?:3y>=c5=?0165k?5149~wd6?2909w07i4;:1?8?b03<87p}6f883>7}:1o>1=552a119<7=z{h;;6=4={<;e1?>5343n578<;|qb44<72;q65k;5199>e5d=0;1v4h7:1818?bm329707jf;40?xu>no0;6?u29da9<7=:1l=19;5rs8df>5<5s43nh76=;<;f<?033ty2ji4?:3y>=`g=0;165h75659~w<`d2909w07jb;:1?8?b=3<?7p}6fc83>7}:1o:14?529d4921=z{0n26=4<{<;g3?>5343o47;9;<;g2?>33ty2hl4?:3y>=a>=0;165i85579~w<c62908w07kf;:1?8?b83?=707ke;75?xu>m;0;6?u29d29<7=:1mo1495rs8ag>5<5s43i478<;<;`0?>53ty2hn4?:3y>=g`==?165ol5789~w<bc2909w07l0;75?8?ek3=27p}6c883>7}:1kn19;529c:93<=z{0ij6=4={<;aa?31343i5796;|q:`6<72;q65n=5579>=f4=?01v4j::1818?e13<8707l5;:1?xu>m=0;6?u29b493<=:1j>1=85rs8fa>5<5s43h;76=;<;af?043ty2on4?:3y>=f1=91165i85839~w<c42909w07l8;:1?8?ek3<87p}6d583>7}:1j21=5529eg9<7=z{0ii6=4={<;`5?>5343h>78<;|q:`7<72;q65oh5839>=gg==?1v4j>:1818?d8329707mb;47?xu>l90;6?u29cf9<7=:1ki1:95rs8ae>5<5s43ii76=;<;a<?033ty2oh4?:3y>=f5=0;165o75659~w<gd2908w07na;:1?8?fj3?=707n9;:7?xu>im0;6?u29``9<7=:1h319;5rs8`7>5<4s43i>76=;<;a7?31343i=7;9;|q:f0<72;q65o=5839>=g7=0=1v4o?:1818??j3<870767;:1?xu>io0;6?u2980913=:11o1;45rs8`3>5<5s432?7;9;<;;b?1>3ty25n4?:3y>=<6==?1655l5789~w<?c2909w0761;75?8??k3=27p}6a783>7}:10<19;5298793<=z{0k36=4={<;;g?043432476=;|q:f2<72;q65475789>=<1=9<1v4oj:1818?>i3297077e;40?xu>1o0;6?u298c95==:1h314?5rs8`5>5<5s432n76=;<;;b?043ty2m:4?:3y>=<d=91165o?5839~w<?b2909w0764;:1?8?>=3<87p}6a483>7}:10814?5299f913=z{0k?6=4={<;:7?>53433i78;;|q:e6<72;q654>5839>==`=>=1v4o=:1818?>93297077b;47?xu>i80;6?u29849<7=:11i1:95rs85e>5<4s43<h76=;<;4a?31343<o76;;|q:<5<72;q65:k5839>=2e==?1v468:1808??=32970776;75?8??<3?=7p}68983>7}:11<14?529969<1=z{0=86=4={<;6a?04343=m76=;|q:<7<72;q65;;5579>=37=?01v46<:1818?1>3?=70792;5:?xu>>o0;6?u2971913=:1<o1;45rs853>5<5s43=87;9;<;6b?1>3ty2;44?:3y>=3?==?165;65789~w<1e2909w07:f;40?8?1j3297p}68`83>7}:1?i1;45297c950=z{02:6=4={<;5`?>5343==78<;|q:37<72;q65;j5199>=2e=0;1v466:1818?1m32970792;40?xu>?h0;6?u297g95==:11>14?5rs852>5<5s43=;76=;<;5<?043ty2;54?:3y>=33=0;165;>5579~w<102909w0796;:1?8?193<?7p}67783>7}:1?914?52970921=z{0=>6=4={<;50?>5343>i78;;|q:31<72;q65;75839>=0`=>=1v4;=:1808?28329707:1;75?8?3n32?7p}65283>7}:1<;14?5295d913=z{0?j6=4<{<;6<?>5343>57;9;<;63?313ty29o4?:3y>=0?=0;165895859~w<212909w07<1;40?8?4l3297p}65483>7}:1:219;5292693<=z{0?=6=4={<;0=?3134389796;|q:07<72;q65>85579>=67=?01v4:<:1818?4?3?=707<2;5:?xu><j0;6?u292a913=:1:h1;45rs86f>5<5s438>78<;<;0a?>53ty29i4?:3y>=6`=?0165>j5149~w<332909w07;0;:1?8?4<3<87p}64483>7}:1=:1=55295d9<7=z{0?h6=4={<;75?>53438978<;|q:0a<72;q659?5199>=01=0;1v4:;:1818?4i329707<b;40?xu><k0;6?u292:9<7=:1:919;5rs86b>5<5s438576=;<;00?033ty2844?:3y>=60=0;165>;5659~w<2?2909w07<7;:1?8?493<?7p}64683>7}:1:i14?52920921=z{08>6=4<{<;17?>5343987;9;<;16?>33ty2>;4?:3y>=72=0;165?<5579~w<4c2908w07=b;:1?8?5k3?=707=a;75?xu>:l0;6?u293a9<7=:1;k1495rs83:>5<5s43;878<;<;24?>53ty2>54?:3y>=5d==?165=95789~w<4>2909w07?c;75?8?703=27p}61483>7}:19319;5291693<=z{0;=6=4={<;3e?31343;9796;|q:5c<72;q65=h5579>=5c=?01v4<>:1818?7=3<8707>1;:1?xu>;90;6?u290093<=:18:1=85rs804>5<5s43:?76=;<;33?043ty2=54?:3y>=45=91165?<5839~w<4a2909w07>4;:1?8?703<87p}62183>7}:18>1=55293c9<7=z{0;<6=4={<;3`?>5343;i78<;|q:5`<72;q65=l5839>=50==?1v4?k:1818?7k329707?7;47?xu>9j0;6?u291;9<7=:1921:95rs83a>5<5s43;m76=;<;30?033ty2=l4?:3y>=5`=0;165=;5659~w=`?2908w06i6;:1?8>a?3?=706i5;:7?xu?n00;6?u28g59<7=:0o?19;5rs823>5<4s42mi76=;<:eb?31342mh7;9;|q:44<72;q64kh5839><cb=0=1v5kl:1818>c?3<8706j3;:1?xu?nk0;6?u28eg913=:0mk1;45rs9d`>5<5s42oj7;9;<:gf?1>3ty3i54?:3y><ae==?164i95789~w=c>2909w06kd;75?8>c03=27p}7f383>7}:0l819;528d393<=z{1l?6=4={<:g<?04342n876=;|q:46<72;q64h;5789><`5=9<1v5hn:1818>b>329706ka;40?xu?mk0;6?u28d495==:0o?14?5rs821>5<5s42n;76=;<:gf?043ty3j>4?:3y><`1=91164kj5839~w=cf2909w06j0;:1?8>b93<87p}7f083>7}:0mo14?528e;913=z{1l;6=4={<:gb?>5342om78;;|q;ac<72;q64im5839><ad=>=1v5kj:1818>cl329706k7;47?xu?mm0;6?u28d09<7=:0m21:95rs9;f>5<4s422o76=;<::`?313422n76;;|q;=c<72;q644j5839><<d==?1v5o9:1808>f<329706n5;75?8>f;3?=7p}7a683>7}:0h?14?528`19<1=z{1396=4={<:4`?043423576=;|q;e4<72;q645:5579><=6=?01v5o=:1818>?=3?=70671;5:?xu?0l0;6?u2890913=:0>n1;45rs9:e>5<5s423?7;9;<:4a?1>3ty3554?:3y><=>==?164595789~w=?f2909w068e;40?8>?i3297p}7a883>7}:01h1;45289;950=z{1k;6=4={<:;g?>53423<78<;|q;=4<72;q645m5199><<d=0;1v5o7:1818>?l32970671;40?xu?100;6?u289f95==:0h914?5rs9;3>5<5s423:76=;<:;3?043ty35:4?:3y><=2=0;164:h5579~w=?12909w0675;:1?8>?83<?7p}79483>7}:01814?52893921=z{13?6=4={<:;7?>5342<h78;;|q;=6<72;q64565839><2c=>=1v59>:1808>1n32970680;75?8>1m32?7p}77383>7}:0>:14?5287g913=z{1=26=4<{<:43?>5342<47;9;<:42?313ty3;l4?:3y><2>=0;164:85859~w=022909w06:0;40?8>2k3297p}77583>7}:0<=19;5284193<=z{1=>6=4={<:6<?31342>8796;|q;24<72;q648;5579><06=?01v58=:1818>2>3?=706:1;5:?xu?>k0;6?u284`913=:0<k1;45rs94g>5<5s42>=78<;<:6`?>53ty3;n4?:3y><0c=?01648m5149~w=142909w06:f;:1?8>2;3<87p}76583>7}:0<l1=55287g9<7=z{1=i6=4={<:54?>5342>878<;|q;2f<72;q64;>5199><20=0;1v58<:1818>21329706:a;40?xu?>h0;6?u28459<7=:0<819;5rs94:>5<5s42>476=;<:67?033ty3:54?:3y><03=0;1648:5659~w=002909w06:6;:1?8>283<?7p}76783>7}:0<h14?52843921=z{1>?6=4<{<:76?>5342??7;9;<:75?>33ty3884?:3y><15=0;1649?5579~w=2d2908w06;a;:1?8>3j3?=706;9;75?xu?<m0;6?u285`9<7=:0=31495rs91;>5<5s429?78<;<:1b?>53ty38:4?:3y><7g==?164?85789~w=2?2909w06=b;75?8>5?3=27p}73583>7}:0;219;5283193<=z{19>6=4={<:1=?3134298796;|q;7`<72;q64?k5579><7b=?01v5:?:1818>5<3<8706<0;:1?xu?<o0;6?u282393<=:0;l1=85rs965>5<5s428>76=;<:12?043ty3?:4?:3y><64=911649?5839~w=2b2909w06<3;:1?8>5?3<87p}73g83>7}:0:91=55285;9<7=z{19=6=4={<:1g?>53429h78<;|q;7a<72;q64?o5839><73==?1v5=l:1818>5j329706=6;47?xu?;k0;6?u283:9<7=:0;=1:95rs91b>5<5s429576=;<:17?033ty3?44?:3y><7c=0;164?:5659~w=702908w06>5;:1?8>6>3?=706>4;:7?xu?910;6?u28049<7=:08>19;5rs93e>5<4s42:h76=;<:2a?31342:o7;9;|q;65<72;q64<k5839><4e=0=1v5>m:18181a>3<8706?2;:1?xu?9h0;6?u27gf913=:?o31;45rs93a>5<5s4=mi7;9;<5ee?1>3ty3<:4?:3y>3cd==?16;k85789~w=6?2909w09ic;75?81a?3=27p}71083>7}:09;19;5281293<=z{1;86=4={<5e3?04342;?76=;|q;67<72;q64=:5789><54=9<1v5?6:1818>7=329709i9;40?xu?8h0;6?u281795==:08>14?5rs902>5<5s42;:76=;<5ee?043ty3=?4?:3y><50=91164<m5839~w=6>2909w09if;:1?8>783<87p}71183>7}:?on14?527g:913=z{1:m6=4={<5ea?>534=m578;;|q;4`<72;q6;kl5839>3cg=>=1v5>k:18181ak329709i6;47?xu?8j0;6?u28139<7=:?o=1:95rs6gb>5<4s4=n476=;<5f=?3134=n;76;;|q4ag<72;q6;h75839>3`1==?1v:h=:18081a8329709i1;75?81bn3?=7p}8f283>7}:?o;14?527dd9<1=z{>nn6=4={<5`=?0434=o976=;|q4aa<72;q6;i>5579>3fe=?01v:kj:18181c93?=709ld;5:?xu0lh0;6?u27bg913=:?j31;45rs6fa>5<5s4=hj7;9;<5`e?1>3ty<i94?:3y>3a2==?16;i=5789~w2c12909w09la;40?81c>3297p}8f483>7}:?m=1;4527e7950=z{>oh6=4={<5g<?>534=ho78<;|q4`a<72;q6;i65199>3`1=0;1v:h;:18181c1329709ld;40?xu0m<0;6?u27e;95==:?ll14?5rs6f`>5<5s4=o>76=;<5g7?043ty<i>4?:3y>3a6=0;16;nl5579~w2c52909w09k1;:1?81dk3<?7p}8e083>7}:?jo14?527bf921=z{>o;6=4={<5`b?>534=h578;;|q4`c<72;q6;i:5839>3fg=>=1v:lk:18081ej329709mc;75?81ei32?7p}8bd83>7}:?ki14?527cc913=z{>i>6=4<{<5`7?>534=h87;9;<5`6?313ty<o;4?:3y>3f2=0;16;n<5859~w2d62909w096c;40?81f03297p}8c183>7}:?h919;5278d93<=z{>i:6=4={<5b0?3134=j<796;|q4ea<72;q6;l?5579>3<e=?01v:oj:18181f:3?=7096d;5:?xu0j>0;6?u27`5913=:?h<1;45rs6`:>5<5s4=2h78<;<5b=?>53ty<o54?:3y>3dg=?016;l65149~w2da2909w09nb;:1?81>n3<87p}8b183>7}:?hh1=5527cc9<7=z{>i<6=4={<5bg?>534=j<78<;|q4f=<72;q6;lm5199>3f4=0;1v:oi:18181f=329709n6;40?xu0j?0;6?u27`19<7=:?0o19;5rs6`6>5<5s4=j876=;<5:b?033ty<n94?:3y>3d7=0;16;l>5659~w2d42909w09n2;:1?81>k3<?7p}8b383>7}:?h=14?5278f921=z{>3;6=4<{<5;a?>534=3j7;9;<5;`?>33ty<5<4?:3y>3=`=0;16;5j5579~w2??2908w0966;:1?81>?3?=70965;75?xu0100;6?u27859<7=:?0?1495rs6:7>5<5s4==j78<;<54f?>53ty<5>4?:3y>320==?16;:<5789~w2?32909w0987;75?810;3=27p}88183>7}:?>>19;5277d93<=z{>2:6=4={<541?3134=<<796;|q4<d<72;q6;:o5579>32?=?01v:6l:18181083<87098c;:1?xu01k0;6?u276f93<=:?>h1=85rs6;1>5<5s4=<i76=;<546?043ty<4>4?:3y>32c=9116;5j5839~w2?f2909w098f;:1?810;3<87p}88c83>7}:?>l1=5527879<7=z{>296=4={<54<?>534=<578<;|q4<<<72;q6;:85839>327==?1v:67:181810?32970982;47?xu00>0;6?u27669<7=:?>91:95rs6:5>5<5s4=<976=;<55b?033ty<484?:3y>32g=0;16;:>5659~w2042908w0991;:1?811:3?=70990;:7?xu0>=0;6?u27709<7=:??:19;5rs64a>5<4s4==576=;<55e?3134==47;9;|q42f<72;q6;;o5839>33>=0=1v:;8:181813:3<8709;e;:1?xu0>?0;6?u275;913=:?=?1;45rs644>5<5s4=?m7;9;<572?1>3ty<9>4?:3y>311==?16;9<5789~w2332909w09;8;75?813;3=27p}85e83>7}:?=n19;5275a93<=z{>?m6=4={<577?0434=?j76=;|q42`<72;q6;8>5789>31c=9<1v:8::1818129329709;5;40?xu0=?0;6?u274395==:??:14?5rs64g>5<5s4=>>76=;<572?043ty<9h4?:3y>304=9116;;65839~w2322909w09;b;:1?813k3<87p}85b83>7}:?=314?52756913=z{>?i6=4={<57e?>534=?978;;|q41d<72;q6;995839>310=>=1v:;6:1818130329709;2;47?xu0=10;6?u275f9<7=:?=91:95rs615>5<4s4=8876=;<501?3134=8?76;;|q472<72;q6;>;5839>365==?1v:=j:180814k329709<d;75?814j3?=7p}83g83>7}:?:n14?5272`9<1=z{>8j6=4={<521?0434=9=76=;|q47<<72;q6;<m5579>34>=?01v:=n:181816l3?=709>9;5:?xu0:?0;6?u270c913=:?8?1;45rs604>5<5s4=:n7;9;<522?1>3ty<?=4?:3y>376==?16;<h5789~w2552909w09>6;40?815:3297p}84083>7}:?;91;452733950=z{>936=4={<510?>534=:478<;|q46<<72;q6;?:5199>365=0;1v::?:181815=329709>9;40?xu0;80;6?u273795==:?:h14?5rs60;>5<5s4=:i76=;<52b?043ty<>k4?:3y>34e=0;16;<95579~w24b2909w09>d;:1?81603<?7p}82e83>7}:?8k14?5270;921=z{>8h6=4={<52f?>534=:978;;|q46g<72;q6;?>5839>340=>=1v:>6:180817?329709?8;75?817>32?7p}80`83>7}:?9214?52714913=z{>;:6=4<{<53b?>534=:<7;9;<53a?313ty<=?4?:3y>346=0;16;=k5859~w3`c2909w08j8;40?80a<3297p}80b83>7}:>ll19;526d`93<=z{>:o6=4={<4e4?3134<no796;|q5b<<72;q6:hj5579>2`>=?01v;hn:18180bm3?=708j9;5:?xu08:0;6?u26g1913=:>o81;45rs626>5<5s4<n578<;<4e1?>53ty<=94?:3y>2c0=?016:k:5149~w26e2909w08i7;:1?80bj3<87p}9fb83>7}:>o=1=5527149<7=z{>;86=4={<4e<?>534<no78<;|q441<72;q6:k65199>35c=0;1v;hm:18180a9329708i2;40?xu08;0;6?u26dd9<7=:>lk19;5rs622>5<5s4<m<76=;<4ff?033ty<<=4?:3y>2`b=0;16:hm5659~w3`a2909w08je;:1?80b03<?7p}9fd83>7}:>o914?526d;921=z{?km6=4<{<4b`?>534<ji7;9;<4bg?>33ty=n=4?:3y>2dc=0;16:lm5579~w3d02908w08m5;:1?80e>3?=708m4;75?xu1j10;6?u26c49<7=:>k>1495rs7c0>5<5s4<3i78<;<4:e?>53ty=n?4?:3y>2<3==?16:4?5789~w3d42909w0866;75?80>:3=27p}99g83>7}:>0919;5269g93<=z{?k;6=4={<4:0?3134<3j796;|q5e<<72;q6:475579>2<>=?01v;om:18180?n3<87086b;:1?xu1jh0;6?u268a93<=:>0k1=85rs7`2>5<5s4<2h76=;<4:5?043ty=m?4?:3y>2<b=9116:lm5839~w3d>2909w086e;:1?80>:3<87p}9a`83>7}:>0o1=5526c69<7=z{?k:6=4={<4:3?>534<2478<;|q5e=<72;q6:4;5839>2<6==?1v;o8:18180>>32970861;47?xu1i?0;6?u26819<7=:>081:95rs7c6>5<5s4<2876=;<4;a?033ty=m94?:3y>2<?=0;16:5h5659~w3>52908w0870;:1?80?93?=7088f;:7?xu10:0;6?u26939<7=:>>l19;5rs7:b>5<4s4<3476=;<4;=?3134<3;7;9;|q5<g<72;q6:575839>2=1=0=1v;99:18180193<87089d;:1?xu10<0;6?u267:913=:>?>1;45rs7:5>5<5s4<=57;9;<451?1>3ty=;?4?:3y>230==?16:;?5789~w3142909w0897;75?801:3=27p}97b83>7}:>?i19;5267`93<=z{?=n6=4={<456?0434<=i76=;|q5<a<72;q6:;h5789>23b=9<1v;6;:181800832970894;40?xu1?<0;6?u266295==:>>l14?5rs7:`>5<5s4<<=76=;<451?043ty=;i4?:3y>227=9116:595839~w3132909w089a;:1?801j3<87p}97c83>7}:>?214?52671913=z{?=j6=4={<45=?>534<=878;;|q53<<72;q6:;85839>233=>=1v;97:181801?32970891;47?xu1?>0;6?u267a9<7=:>?81:95rs776>5<4s4<>?76=;<460?3134<>>76;;|q513<72;q6:8:5839>204==?1v;;k:180802j329708:c;75?802i3?=7p}95d83>7}:><i14?5264c9<1=z{?>26=4={<400?0434<?<76=;|q51=<72;q6:>l5579>261=?01v;;6:181804k3?=708<8;5:?xu1<<0;6?u262;913=:>:>1;45rs765>5<5s4<8m7;9;<401?1>3ty=8k4?:3y>26`==?16:>k5789~w3362909w08<5;40?80393297p}96183>7}:>=81;452652950=z{??<6=4={<477?>534<8;78<;|q50=<72;q6:9=5199>204=0;1v;;i:181803<329708<8;40?xu1=90;6?u265695==:><k14?5rs764>5<5s4<8h76=;<40a?043ty=8h4?:3y>26d=0;16:>85579~w32c2909w08<c;:1?804?3<?7p}94b83>7}:>:314?5262:921=z{?>i6=4={<40e?>534<8878;;|q50d<72;q6:>h5839>263=>=1v;<7:180805>329708=7;75?805=32?7p}92883>7}:>;=14?52637913=z{?9;6=4<{<41a?>534<9j7;9;<41`?313ty=?<4?:3y>27`=0;16:?j5859~w37d2909w08?7;40?806;3297p}92c83>7}:>9o19;5261c93<=z{?8h6=4={<43b?3134<;n796;|q55=<72;q6:=m5579>251=?01v;?6:181807l3?=708?8;5:?xu1:;0;6?u2600913=:>8;1;45rs707>5<5s4<;478<;<420?>53ty=?>4?:3y>243=?016:<=5149~w34f2909w08>6;:1?807i3<87p}91c83>7}:>8<1=5526379<7=z{?996=4={<423?>534<;n78<;|q566<72;q6:<95199>27b=0;1v;?n:1818068329708>1;40?xu1:80;6?u261g9<7=:>9319;5rs703>5<5s4<;j76=;<43e?033ty==k4?:3y>25e=0;16:=l5659~w37b2909w08?d;:1?807?3<?7p}91e83>7}:>8814?5261:921=z{<li6=4<{<7e=?>534?mm7;9;<7e<?>33ty>jn4?:3y>1cg=0;169k65579~w3642908w08?1;:1?807:3?=708?0;75?xu18=0;6?u26109<7=:>9:1495rs4ge>5<5s4?om78<;<7f2?>53ty>jh4?:3y>1`7==?169ij5789~w0`a2909w0;j2;75?83cm3=27p}:ec83>7}:=ml19;525ec93<=z{<oh6=4={<7f4?3134?on796;|q6b0<72;q69h;5579>1`2=?01v8h8:18183cj3<870;j7;:1?xu18?0;6?u25d:93<=:=l<1=85rs4dg>5<5s4?n576=;<7g`?043ty>ih4?:3y>1`?=91169k65839~w3622909w0;ja;:1?83cm3<87p}:f783>7}:=lk1=5526129<7=z{<oo6=4={<7f7?>534?n878<;|q6b1<72;q69h?5839>1ae==?1v8h<:18183b:32970;kd;47?xu2n;0;6?u25ed9<7=:=mo1:95rs4d2>5<5s4?n<76=;<7ge?033ty>j=4?:3y>1`3=0;169il5659~w0eb2908w0;lc;:1?83dl3?=70;lb;:7?xu2ko0;6?u25bf9<7=:=jh19;5rs4f5>5<4s4?o876=;<7g1?3134?o?7;9;|q6`2<72;q69i;5839>1a5=0=1v8m=:18183fl3<870;m9;:1?xu2l80;6?u25c6913=:=k:1;45rs4f1>5<5s4?i97;9;<7a5?1>3ty>nh4?:3y>1g4==?169lj5789~w0da2909w0;m3;75?83fm3=27p}:c983>7}:=k219;525c593<=z{<ij6=4={<7ba?0434?im76=;|q6`<<72;q69ol5789>1g?=9<1v8j?:18183ek32970;m0;40?xu2k80;6?u25ca95==:=jh14?5rs4f;>5<5s4?ih76=;<7a5?043ty>o44?:3y>1gb=91169i=5839~w0e72909w0;m6;:1?83e?3<87p}:c683>7}:=k>14?525`d913=z{<i=6=4={<7a1?>534?i<78;;|q6g0<72;q69o<5839>1g7=>=1v8m;:18183e;32970;nd;47?xu2k:0;6?u25c:9<7=:=ho1:95rs4c2>5<4s4?2j76=;<7b4?3134?2i76;;|q6e7<72;q69l>5839>1<c==?1v8o6:18083f?32970;n8;75?83f>3?=7p}:a`83>7}:=h214?525`49<1=z{<3>6=4={<7;4?0434?3o76=;|q6e1<72;q69595579>1=5=?01v8o::18183?03?=70;74;5:?xu2180;6?u2597913=:=1:1;45rs4;1>5<5s4?3:7;9;<7;5?1>3ty>5o4?:3y>1=d==?1695o5789~w0?c2909w0;71;40?83?l3297p}:ab83>7}:=1o1;45259a950=z{<k86=4={<7;b?>534?3?78<;|q6=1<72;q695h5199>1<c=0;1v8om:18183>832970;74;40?xu21j0;6?u258295==:=h<14?5rs4;0>5<5s4?3576=;<7;e?043ty>5l4?:3y>1=1=0;1695<5579~w0?>2909w0;78;:1?83?;3<?7p}:9983>7}:=1?14?52596921=z{<3<6=4={<7;2?>534?3<78;;|q6=3<72;q695l5839>1=7=>=1v89;:180830:32970;83;75?830932?7p}:7483>7}:=>914?52563913=z{<=h6=4<{<74e?>534?<n7;9;<74=?313ty>;i4?:3y>12d=0;169:75859~w00?2909w0;:3;40?832n3297p}:7683>7}:=<k19;5254493<=z{<=36=4={<76f?3134?>;796;|q621<72;q69865579>105=?01v88::18183213?=70;:4;5:?xu2>l0;6?u254g913=:=<n1;45rs453>5<5s4?>878<;<754?>53ty>;k4?:3y>137=?01698h5149~w0112909w0;92;:1?832>3<87p}:6683>7}:=?81=5525639<7=z{<=n6=4={<757?>534?>;78<;|q62c<72;q69;=5199>12?=0;1v889:181832k32970;:d;40?xu2>m0;6?u254c9<7=:=<?19;5rs44`>5<5s4?>n76=;<762?033ty>:o4?:3y>10>=0;169895659~w00f2909w0;:9;:1?832;3<?7p}:6883>7}:=<o14?52546921=z{<><6=4<{<771?>534??:7;9;<770?>33ty>854?:3y>110=0;1699:5579~w02a2908w0;;d;:1?833m3?=70;;c;75?xu2=90;6?u255g9<7=:==i1495rs41a>5<5s4?9:78<;<706?>53ty>8l4?:3y>17b==?169?75789~w02e2909w0;=e;75?835i3=27p}:3683>7}:=;h19;5253493<=z{<936=4={<71g?3134?9;796;|q604<72;q69>?5579>166=?01v8:<:181835?3<870;<3;:1?xu2=;0;6?u252693<=:=:81=85rs46:>5<5s4?8976=;<71=?043ty>?l4?:3y>163=911699:5839~w0362909w0;<6;:1?835i3<87p}:4383>7}:=:<1=55255a9<7=z{<926=4={<71b?>534?8<78<;|q605<72;q69?j5839>17>==?1v8=i:181835m32970;=9;47?xu2;l0;6?u253`9<7=:=;k1:95rs41g>5<5s4?9o76=;<712?033ty>?n4?:3y>167=0;169?95659~w07f2908w0;>8;:1?83613?=70;>7;:7?xu29k0;6?u250;9<7=:=8=19;5rs401>5<4s4?9<76=;<715?3134?:j7;9;|q666<72;q69??5839>14`=0=1v8>j:18182a13<870;?5;:1?xu29m0;6?u2512913=:<oi1;45rs43f>5<5s4?;=7;9;<6e`?1>3ty><l4?:3y>0cc==?168k75789~w06e2909w0:if;75?82ai3=27p}:1583>7}:=9>19;5251193<=z{<;=6=4={<6ee?0434?;:76=;|q660<72;q69=95789>153=9<1v8?l:181837032970:ic;40?xu28m0;6?u251:95==:=8=14?5rs407>5<5s4?;576=;<6e`?043ty>=84?:3y>15?=91169<h5839~w06d2909w0;?2;:1?837;3<87p}:1283>7}:=9:14?524g`913=z{<;96=4={<735?>534>mo78;;|q654<72;q68kk5839>0cb=>=1v8??:18182an32970:i9;47?xu28o0;6?u25169<7=:<ok1:95rs5a3>5<4s4>ii76=;<6ab?3134>ih76;;|q7g4<72;q68oh5839>0gb==?1v9m7:18082d>32970:l7;75?82d=3?=7p};c883>7}:<j=14?524b79<1=z{=h?6=4={<6:b?0434>jn76=;|q7g6<72;q68l85579>0d4=?01v9m;:18182f?3?=70:n3;5:?xu3j90;6?u24`6913=:<0l1;45rs5`2>5<5s4>j97;9;<6b4?1>3ty?nl4?:3y>0dg==?168l75789~w1dd2909w0:n0;40?82fk3297p};cc83>7}:<hn1;4524``950=z{=i96=4={<6ba?>534>j>78<;|q7f6<72;q68lk5199>0gb=0;1v9mn:18182fn32970:n3;40?xu3jk0;6?u24`d95==:<j?14?5rs5`1>5<5s4>j476=;<6b=?043ty?n44?:3y>0d0=0;168l?5579~w1d?2909w0:n7;:1?82f:3<?7p};b683>7}:<h>14?524`1921=z{=h=6=4={<6b1?>534>2j78;;|q7f0<72;q68lo5839>0d6=>=1v97<:18082>932970:62;75?82>832?7p};9583>7}:<0814?52482913=z{=3i6=4<{<6:=?>534>2m7;9;<6:<?313ty?5n4?:3y>0<g=0;168465859~w1>02909w0:82;40?820m3297p};9783>7}:<>319;5246793<=z{=3<6=4={<64e?3134><:796;|q7<6<72;q68:95579>024=?01v96;:18182003?=70:83;5:?xu30m0;6?u246f913=:<>i1;45rs5:e>5<5s4><?78<;<64b?>53ty?5h4?:3y>0=6=?0168:k5149~w1?22909w0:71;:1?820=3<87p};8783>7}:<1;1=5524829<7=z{=3o6=4={<6;6?>534><:78<;|q7<`<72;q685<5199>0<>=0;1v96::181820j32970:8c;40?xu30j0;6?u246;9<7=:<>>19;5rs5:a>5<5s4><m76=;<641?033ty?4l4?:3y>021=0;168:85659~w1>>2909w0:88;:1?820:3<?7p};8983>7}:<>n14?52461921=z{=<=6=4<{<650?>534>=97;9;<657?>33ty?::4?:3y>033=0;168;=5579~w10b2908w0:9c;:1?821l3?=70:9b;75?xu3>o0;6?u247f9<7=:<?h1495rs57b>5<5s4>?978<;<665?>53ty?:44?:3y>01e==?168965789~w10f2909w0:;d;75?82313=27p};5783>7}:<=k19;5245793<=z{=?<6=4={<67f?3134>?:796;|q725<72;q688>5579>01`=?01v98=:181823>3<870::2;:1?xu3?80;6?u244193<=:<<;1=85rs54;>5<5s4>>876=;<67<?043ty?944?:3y>002=91168;=5839~w1172909w0::5;:1?82313<87p};6083>7}:<<?1=55247`9<7=z{=?36=4={<67a?>534>?j78<;|q71c<72;q689m5839>011==?1v9;j:181823l32970:;8;47?xu3=m0;6?u245c9<7=:<=31:95rs57`>5<5s4>?n76=;<671?033ty?9o4?:3y>006=0;168985659~w15>2908w0:<7;:1?82403?=70:<6;:7?xu3;h0;6?u242:9<7=:<:<19;5rs562>5<4s4>8j76=;<674?3134>8i7;9;|q707<72;q689>5839>06c=0=1v9<k:18182603<870:=4;:1?xu3;j0;6?u240d913=:<8h1;45rs51g>5<5s4>9<7;9;<62g?1>3ty?>44?:3y>04b==?168<65789~w14f2909w0:>e;75?82613=27p};3283>7}:<;919;5243093<=z{=9>6=4={<62=?0434>9976=;|q701<72;q68?85789>072=9<1v9=m:181825?32970:>b;40?xu3:j0;6?u243595==:<:<14?5rs560>5<5s4>9476=;<62g?043ty??94?:3y>07>=91168>k5839~w14e2909w0:=1;:1?825:3<87p};3383>7}:<8l14?5240c913=z{=9:6=4={<614?>534>:n78;;|q775<72;q68<j5839>04e=>=1v9<i:181826m32970:>8;47?xu3:l0;6?u24319<7=:<831:95rs52`>5<4s4>;m76=;<63f?3134>;576;;|q74a<72;q68=l5839>05?==?1v9?;:180826:32970:>3;75?82693?=7p};1483>7}:<8914?524039<1=z{=:;6=4={<1ff?04349m;76=;|q74c<72;q6?k<5579>7`c=?01v9??:18185a;3?=70=jf;5:?xu4nj0;6?u23g2913=:;lh1;45rs2dg>5<5s49m=7;9;<1fg?1>3ty?<;4?:3y>7c0==?16?k;5789~w16?2909w0=jc;40?85a03297p};1683>7}:;o31;4523g5950=z{=:n6=4={<1ee?>5349ni78<;|q0bc<72;q6?ko5199>05?=0;1v9?9:18185aj32970=jf;40?xu38>0;6?u23g`95==:<8;14?5rs2df>5<5s49m876=;<1e1?043ty?<84?:3y>7c4=0;16?hj5579~w1632909w0=i3;:1?85bm3<?7p};0283>7}:;o:14?523dd921=z{=:96=4={<1e5?>5349nn78;;|q744<72;q6?k85839>7`e=>=1v>ji:18085cl32970=ke;75?85ck32?7p}<e183>7}:;mo14?523ea913=z{:o<6=4<{<1f1?>5349n:7;9;<1f0?313ty8i54?:3y>7`0=0;16?h:5859~w6b42909w0=me;40?85di3297p}<e383>7}:;j?19;523b393<=z{:o86=4={<1`2?31349h>796;|q0gc<72;q6?n=5579>7gc=?01v>j?:18185d<3?=70=mf;5:?xu4l00;6?u23b;913=:;j21;45rs2fa>5<5s49ij78<;<1`f?>53ty8il4?:3y>7fe=?016?no5149~w6c62909w0=ld;:1?85d93<87p}<d383>7}:;jn1=5523ea9<7=z{:o26=4={<1`a?>5349h>78<;|q0`d<72;q6?nk5199>7`2=0;1v>j>:18185d?32970=l8;40?xu4l10;6?u23b79<7=:;j:19;5rs2f4>5<5s49h:76=;<1`5?033ty8h;4?:3y>7f5=0;16?n<5659~w6b22909w0=l4;:1?85em3<?7p}<d583>7}:;j314?523cd921=z{:h96=4<{<1a4?>5349i=7;9;<1bb?>33ty8n>4?:3y>7g7=0;16?lh5579~w6df2908w0=m8;:1?85e13?=70=m7;75?xu4jk0;6?u23c;9<7=:;k=1495rs2c5>5<5s492=78<;<1:`?>53ty8n84?:3y>7<>==?16?4:5789~w6d12909w0=69;75?85>=3=27p}<a383>7}:;0<19;5238393<=z{:k86=4={<1:3?313492>796;|q0ef<72;q6?4m5579>7<d=?01v>oj:18185>:3<870=6e;:1?xu4jm0;6?u238d93<=:;0n1=85rs2`7>5<5s49j<76=;<1:0?043ty8m84?:3y>7d6=9116?lh5839~w6dd2909w0=n1;:1?85>=3<87p}<ae83>7}:;h;1=5523c59<7=z{:k?6=4={<1:e?>53492n78<;|q0eg<72;q6?465839>7<5==?1v>on:18185>132970=64;47?xu4i00;6?u23849<7=:;0?1:95rs2c;>5<5s492;76=;<1:5?033ty8m:4?:3y>7<e=0;16?4<5659~w6>22908w0=73;:1?85?<3?=70=72;:7?xu40?0;6?u23969<7=:;1819;5rs2:g>5<4s493n76=;<1;g?313493m7;9;|q0<`<72;q6?5m5839>7=g=0=1v>96:181851<3<870=80;:1?xu4010;6?u237`913=:;?=1;45rs2::>5<5s49=o7;9;<15<?1>3ty8;84?:3y>73?==?16?;:5789~w6112909w0=9a;75?851=3=27p}<7g83>7}:;?l19;5237g93<=z{:2:6=4={<151?04349<=76=;|q0=5<72;q6?:<5789>726=9<1v>68:181850;32970=97;40?xu4?10;6?u236195==:;1814?5rs2:e>5<5s49<876=;<15<?043ty84=4?:3y>722=9116?5o5839~w6102909w0=9d;:1?851m3<87p}<7d83>7}:;?h14?52374913=z{:=o6=4={<15g?>5349=;78;;|q03f<72;q6?;75839>73>=>=1v>9m:181851i32970=94;47?xu4?h0;6?u237d9<7=:;??1:95rs27;>5<4s49>:76=;<163?31349>976;;|q01<<72;q6?895839>703==?1v>8?:180852m32970=:f;75?852l3?=7p}<6083>7}:;<l14?5234f9<1=z{:>h6=4={<103?04349??76=;|q01g<72;q6?>k5579>76g=?01v>;l:181854n3?=70=<b;5:?xu4<10;6?u232a913=:;:=1;45rs26:>5<5s498h7;9;<10<?1>3ty89?4?:3y>714==?16?9?5789~w6332909w0=<8;40?853<3297p}<6283>7}:;=?1;452351950=z{:?j6=4={<172?>53498m78<;|q00g<72;q6?985199>703=0;1v>8=:181853?32970=<b;40?xu4=:0;6?u235595==:;<n14?5rs26b>5<5s49?<76=;<175?043ty89<4?:3y>76c=0;16?>75579~w6372909w0=<f;:1?854i3<?7p}<4g83>7}:;:i14?5232`921=z{:>n6=4={<10`?>53498;78;;|q00a<72;q6?9<5839>76>=>=1v><m:180855132970==a;75?855032?7p}<2b83>7}:;;k14?5233:913=z{:986=4<{<105?>53498>7;9;<104?313ty8?94?:3y>764=0;16?>>5859~w67a2909w0=?a;40?856>3297p}<2d83>7}:;8;19;5231f93<=z{:8m6=4={<126?31349;i796;|q05g<72;q6?=h5579>75g=?01v>?l:18185683?=70=?b;5:?xu4:<0;6?u2307913=:;8>1;45rs204>5<5s49;n78<;<123?>53ty8?;4?:3y>74>=?016?<85149~w64c2909w0=>9;:1?857l3<87p}<1d83>7}:;831=55233:9<7=z{:9>6=4={<12e?>5349;i78<;|q063<72;q6?<o5199>766=0;1v>?k:181856;32970=>4;40?xu4:=0;6?u23039<7=:;9i19;5rs200>5<5s49:>76=;<13`?033ty8>?4?:3y>75`=0;16?=k5659~w6462909w0=>0;:1?857i3<?7p}<2183>7}:;8?14?5231`921=z{;n:6=4<{<0`b?>5348o<7;9;<0`a?>33ty9h?4?:3y>6a6=0;16>nk5579~w7b>2908w0<k7;:1?84c03?=70<k6;75?xu5lh0;6?u22e:9<7=::m<1495rs3a6>5<5s48i<78<;<0ag?>53ty9h94?:3y>6g1==?16>o=5789~w7b22909w0<m8;75?84e<3=27p}=c083>7}::k?19;522c293<=z{;i96=4={<0a2?31348i=796;|q1gg<72;q6>ol5579>6gg=?01v?mk:18184e93<870<md;:1?xu5lj0;6?u22cg93<=::ki1=85rs3f0>5<5s48ij76=;<0a7?043ty9o94?:3y>6g`=9116>nk5839~w7be2909w0<l0;:1?84e<3<87p}=cb83>7}::j:1=5522e49<7=z{;i86=4={<0a=?>5348im78<;|q1gd<72;q6>o95839>6g4==?1v?m6:18184e032970<m3;47?xu5k10;6?u22c79<7=::k>1:95rs3a4>5<5s48i:76=;<0a4?033ty9o;4?:3y>6gd=0;16>o?5659~w7g32908w0<n2;:1?84f;3?=70<n1;:7?xu5i<0;6?u22`19<7=::h;19;5rs3c`>5<4s48jm76=;<0bf?31348j57;9;|q1ea<72;q6>ll5839>6d?=0=1v?77:18184?;3<870<7f;:1?xu5i>0;6?u229c913=::1<1;45rs3c;>5<5s483n7;9;<0;3?1>3ty9594?:3y>6=>==?16>5=5789~w7?22909w0<79;75?84?<3=27p}=9d83>7}::1o19;5229f93<=z{;k;6=4={<0;0?043482<76=;|q1ec<72;q6>4?5789>6=`=9<1v?o9:18184>:32970<76;40?xu51>0;6?u228095==::h;14?5rs3cf>5<5s482?76=;<0;3?043ty95k4?:3y>6<5=9116>l75839~w7?12909w0<7c;:1?84?l3<87p}=9e83>7}::1k14?52297913=z{;3h6=4={<0;f?>53483:78;;|q1=g<72;q6>565839>6=1=>=1v?7n:18184?132970<73;47?xu5100;6?u229g9<7=::1>1:95rs354>5<4s48<976=;<042?31348<876;;|q13=<72;q6>:85839>622==?1v?9i:180840l32970<8e;75?840k3?=7p}=8183>7}::>o14?5226a9<1=z{;<i6=4={<062?04348=>76=;|q13d<72;q6>8j5579>60?=?01v?9m:181842m3?=70<:a;5:?xu5>>0;6?u224`913=::<<1;45rs34;>5<5s48>o7;9;<063?1>3ty9;<4?:3y>637==?16>;>5789~w7142909w0<:7;40?841;3297p}=8383>7}::?>1;452270950=z{;=26=4={<051?>5348>578<;|q12d<72;q6>;;5199>622=0;1v?6>:181841>32970<:a;40?xu5?;0;6?u227495==::>i14?5rs34:>5<5s48>j76=;<054?043ty9;=4?:3y>60b=0;16>865579~w70a2909w0<:e;:1?84213<?7p}=6d83>7}::<h14?5224c921=z{;<o6=4={<06g?>5348>:78;;|q12f<72;q6>;?5839>601=>=1v?:n:180843032970<;9;75?843?32?7p}=4c83>7}::=314?52255913=z{;?96=4<{<064?>5348>=7;9;<07b?313ty99>4?:3y>607=0;16>9h5859~w75b2909w0<=9;40?844=3297p}=4e83>7}::::19;5223a93<=z{;>n6=4={<005?313489h796;|q17d<72;q6>?k5579>67?=?01v?=m:181845n3?=70<=a;5:?xu5<=0;6?u2226913=:::91;45rs365>5<5s489m78<;<002?>53ty9984?:3y>661=?016>>;5149~w72d2909w0<<8;:1?845k3<87p}=3e83>7}:::21=5522559<7=z{;??6=4={<00=?>53489h78<;|q100<72;q6>>75199>61`=0;1v?=l:181844:32970<<3;40?xu5<:0;6?u22229<7=::;h19;5rs361>5<5s488=76=;<01g?033ty98<4?:3y>67c=0;16>?j5659~w7272909w0<=f;:1?84513<?7p}=3g83>7}:::>14?5223c921=z{;;o6=4<{<02f?>5348:o7;9;<02e?>33ty9=h4?:3y>64e=0;16><o5579~w7422908w0<=3;:1?845<3?=70<=2;75?xu5:?0;6?u22369<7=::;81495rs332>5<5s4;mo78<;<03<?>53ty9>=4?:3y>655==?16=kh5789~w7462909w0<?4;75?84783=27p}=0e83>7}::9;19;521ga93<=z{;:n6=4={<036?3134;mh796;|q152<72;q6>=95579>650=?01v??6:18187al3<870<?9;:1?xu5:10;6?u221c93<=::921=85rs33e>5<5s48;n76=;<3eb?043ty9==4?:3y>65d=9116><o5839~w7402909w0<?c;:1?84783<87p}=1983>7}::9i1=5522309<7=z{;:m6=4={<031?>5348;:78<;|q153<72;q6>==5839>5cc==?1v??::181847<32970?if;47?xu59=0;6?u22139<7=::9:1:95rs330>5<5s48;>76=;<3eg?033ty9=?4?:3y>651=0;16=kj5659~w4`72908w0?je;:1?87bn3?=70?jd;:7?xu6n80;6?u21dd9<7=:9ln19;5rs0d;>5<4s4;m:76=;<3e3?3134;m97;9;|q2b<<72;q6=k95839>5c3=0=1v<k;:18187dn3<870?kb;:1?xu6n:0;6?u21e4913=:9m81;45rs0d7>5<5s4;o;7;9;<3g7?1>3ty:i=4?:3y>5a2==?16=nh5789~w4c62909w0?k5;75?87c83=27p}>e`83>7}:9mk19;521e;93<=z{8oh6=4={<3g4?0434;oo76=;|q2bg<72;q6=ij5789>5ad=9<1v<h=:18187cm32970?k2;40?xu6m:0;6?u21eg95==:9ln14?5rs0db>5<5s4;oj76=;<3g7?043ty:io4?:3y>5a`=9116=k;5839~w4c52909w0?k8;:1?87c13<87p}>e883>7}:9m<14?521e3913=z{8o36=4={<3g3?>534;o>78;;|q2a2<72;q6=i:5839>5a5=>=1v<k9:18187c=32970?lf;47?xu6m<0;6?u21ec9<7=:9m:1:95rs0a0>5<4s4;h=76=;<3`6?3134;h<76;;|q2g1<72;q6=n<5839>5f6==?1v<mm:18087d132970?la;75?87d03?=7p}>cb83>7}:9jk14?521b:9<1=z{8h<6=4={<3b6?0434;ji76=;|q2g3<72;q6=l75579>5d3=?01v<m8:18187fi3?=70?n6;5:?xu6j:0;6?u21`5913=:9h81;45rs0`7>5<5s4;j47;9;<3b7?1>3ty:ni4?:3y>5db==?16=lm5789~w4da2909w0?n3;40?87fn3297p}>cd83>7}:9k:1;4521`g950=z{8i>6=4={<3a5?>534;j978<;|q2f3<72;q6=o?5199>5f6=0;1v<mk:18187e:32970?n6;40?xu6jl0;6?u21c095==:9j214?5rs0`6>5<5s4;jn76=;<3bg?043ty:nn4?:3y>5d?=0;16=l:5579~w4de2909w0?na;:1?87f=3<?7p}>b`83>7}:9h=14?521`4921=z{8h26=4={<3b<?>534;j>78;;|q2f=<72;q6=lj5839>5d5=>=1v<79:18087><32970?65;75?87>;32?7p}>9683>7}:90?14?52181913=z{83n6=4<{<3:g?>534;2h7;9;<3:f?313ty:5k4?:3y>5<b=0;16=4l5859~w4>f2909w0?85;40?87?93297p}>9883>7}:9>i19;5216:93<=z{83j6=4={<34`?3134;<5796;|q2<3<72;q6=:o5579>523=?01v<68:181870j3?=70?86;5:?xu6190;6?u2192913=:9>l1;45rs0;1>5<5s4;<:78<;<3;6?>53ty:m<4?:3y>5=5=?016=5?5149~w4??2909w0?74;:1?87003<87p}>8883>7}:91>1=5521819<7=z{8k;6=4={<3;1?>534;<578<;|q2=4<72;q6=5;5199>5<d=0;1v<67:181870m32970?8f;40?xu60o0;6?u216a9<7=:9>=19;5rs0:f>5<5s4;<h76=;<34<?033ty:4i4?:3y>52g=0;16=:75659~w4>d2909w0?8b;:1?870=3<?7p}>8c83>7}:91:14?52164921=z{8<26=4<{<353?>534;=47;9;<352?>33ty::l4?:3y>53>=0;16=;85579~w4162908w0?9f;:1?87083?=70?9e;75?xu6?;0;6?u21629<7=:9?o1495rs07g>5<5s4;?478<;<360?>53ty::n4?:3y>51`==?16=9l5789~w40c2909w0?:0;75?873k3=27p}>5883>7}:9=n19;5215:93<=z{8?j6=4={<37a?3134;?5796;|q226<72;q6=8=5579>504=?01v<8::18187313<870?:5;:1?xu6?=0;6?u214493<=:9<>1=85rs04a>5<5s4;>;76=;<37f?043ty:9n4?:3y>501=9116=;85839~w4142909w0?:8;:1?873k3<87p}>6583>7}:9<21=55217g9<7=z{8?i6=4={<365?>534;>>78<;|q227<72;q6=9h5839>51g==?1v<8>:181872832970?;b;47?xu6>90;6?u215f9<7=:9=i1:95rs07e>5<5s4;?i76=;<37<?033ty:9h4?:3y>505=0;16=975659~w45d2908w0?<a;:1?874j3?=70?<9;:7?xu6;m0;6?u212`9<7=:9:319;5rs067>5<4s4;?>76=;<377?3134;?=7;9;|q200<72;q6=9=5839>517=0=1v<=?:181876j3<870?=7;:1?xu6;o0;6?u2130913=:98o1;45rs063>5<5s4;9?7;9;<32b?1>3ty:>n4?:3y>576==?16=<l5789~w44c2909w0?=1;75?876k3=27p}>3783>7}:9;<19;5213793<=z{8936=4={<32g?0434;9476=;|q202<72;q6=?75789>571=9<1v<=j:181875i32970?>e;40?xu6:o0;6?u213c95==:9:314?5rs065>5<5s4;9n76=;<32b?043ty:?:4?:3y>57d=9116=9?5839~w44b2909w0?=4;:1?875=3<87p}>3483>7}:9;814?5210f913=z{89?6=4={<317?>534;:i78;;|q276<72;q6=?>5839>54`=>=1v<==:181875932970?>b;47?xu6;80;6?u21349<7=:98i1:95rs033a?6=;r7:==m5839>546c2<<01<??b;:7?xu699l1<7<t=033`?>534;:<o4:6:p54712908w0?>158;6>;698?19;521030>00<uz;:=:4?:3y>547221801<?>3;:7?xu69981<7<t=02f`?0434;;j4472:p54762909w0??f5862>;68o:1;45rs0326?6=:r7:<k;5579>55`62>30q~??fd83>7}:99l96884=02f`?1>3ty:<kh50;0x946a;3?=70??ed84=>{t98:36=4={<33b=<2>27:<k95789~w477i3:1>v3>0dg926=:99lj65<4}r325<<72;q6==hm:6;8946a13;>7p}>10294?4|58:mo76=;<33b5<1;2wx=<>>:181877nj0:463>11`9<7=z{8;:47>52z?24cb=0;16==h>:718yv76800;6?u211dg>4><58;:?76=;|q2556=838p1<>i6;:1?877n>0=?6s|1024>5<5s4;;j9472:?24``==?1v<??6;296~;68o?14?5211d3>32<uz;:<84?:3y>55`521801<>i1;47?xu699>1<7<t=02e7?>534;;ii494:p54642909w0??f98;6>;68lo1:95rs02;3?6=;r7:<5;5839>55>12<<01<>74;:7?xu68121<7<t=02;2?>534;;494:6:p55>a2908w0??8e8;6>;681o19;5211:`>00<uz;;5=4?:3y>55>b21801<>7c;:7?xu68>h1<7<t=0252?0434;;;?472:p55>f2909w0??6e862>;68?31;45rs02;f?6=:r7:<;k5579>550f2>30q~??7683>7}:99<i6884=0252?1>3ty:<:650;0x9461k3?=70??6684=>{t992:6=4={<3334<2>27:<:>5789~w46?;3:1>v3>075926=:99=865<4}r33=7<72;q6==9;:6;89460:3;>7p}>09;94?4|58:<976=;<332<<1;2wx==9n:181877?<0:463>0969<7=z{8:2=7>52z?2420=0;16==8n:718yv770;0;6?u21155>4><58:3o76=;|q242?=838p1<>9f;:1?877?90=?6s|11:3>5<5s4;;:i472:?243>==?1v<>8f;296~;68?o14?52114:>32<uz;;;h4?:3y>550e21801<>9a;47?xu68>n1<7<t=025g?>534;;:;494:p551d2909w0??708;6>;68?=1:95rsd;;>5<4s4o2:76=;<g:3?3134o2976;;|qf=<<72;q6i495839>a<3==?1vho?:1808c>m32970k6f;75?8c>l3?=7p}ja083>7}:m0l14?52e8f9<1=z{l2h6=4={<g43?0434o3?76=;|qf=g<72;q6i:k5579>a2g=?01vh7l:1818c0n3?=70k8b;5:?xub010;6?u2e6a913=:m>=1;45rsd::>5<5s4o<h7;9;<g4<?1>3tyn5?4?:3y>a=4==?16i5?5789~w`?32909w0k88;40?8c?<3297p}ja283>7}:m1?1;452e91950=z{l3j6=4={<g;2?>534o<m78<;|qf<g<72;q6i585199>a<3=0;1vho=:1818c??32970k8b;40?xub1:0;6?u2e9595==:m0n14?5rsd:b>5<5s4o3<76=;<g;5?043tyn5<4?:3y>a2c=0;16i:75579~w`?72909w0k8f;:1?8c0i3<?7p}j8g83>7}:m>i14?52e6`921=z{l2n6=4={<g4`?>534o<;78;;|qf<a<72;q6i5<5839>a2>=>=1vno6:1808ef?32970mn8;75?8ef>32?7p}la`83>7}:kh214?52c`4913=z{jh:6=4<{<abb?>534ii<7;9;<aba?313tyhn?4?:3y>gg6=0;16olk5859~wf?c2909w0m78;40?8e><3297p}lab83>7}:k1l19;52c9`93<=z{jko6=4={<a:4?3134i3o796;|q`=<<72;q6o5j5579>g=>=?01vn7n:1818e?m3?=70m79;5:?xudi:0;6?u2c81913=:k081;45rsbc6>5<5s4i3578<;<a:1?>53tyhn94?:3y>g<0=?016o4:5149~wfge2909w0m67;:1?8e?j3<87p}l9b83>7}:k0=1=552c`49<7=z{jh86=4={<a:<?>534i3o78<;|q`e1<72;q6o465199>gdc=0;1vn7m:1818e>932970m62;40?xudi;0;6?u2c9d9<7=:k1k19;5rsbc2>5<5s4i2<76=;<a;f?033tyhm=4?:3y>g=b=0;16o5m5659~wf?a2909w0m7e;:1?8e?03<?7p}l9d83>7}:k0914?52c9;921=z{hhj6=4<{<ca<?>534ki57;9;<ca3?>33tyjno4?:3y>eg?=0;16mo95579~wde52908w0ol0;:1?8gd93?=70omf;75?xufk:0;6?u2ab39<7=:ikl1495rs`cf>5<5s4k2578<;<cb1?>53tyjni4?:3y>ed6==?16m4m5789~wddb2909w0on1;75?8g>l3=27p}na`83>7}:i0o19;52a8;93<=z{hki6=4={<c:b?3134k2m796;|qbf1<72;q6ml:5579>ed5=?01vll9:1818g>i3<870on6;:1?xufk<0;6?u2a`593<=:ih?1=85rs```>5<5s4kj476=;<c:g?043tyjmi4?:3y>ed>=9116mo95839~wde32909w0on9;:1?8g>l3<87p}nb483>7}:ih31=552acd9<7=z{hkh6=4={<cb6?>534kj?78<;|qbf6<72;q6ml>5839>e<d==?1vll=:1818gf932970o6c;47?xufj80;6?u2a8g9<7=:i0n1:95rs``3>5<5s4k2j76=;<c:=?033tyjmk4?:3y>ed2=0;16m4o5659~w=ee2908w06l9;:1?8>di3?=706l8;:7?xu?kj0;6?u28bc9<7=:0j219;5rs9f0>5<4s42o=76=;<:g6?31342o<7;9;|q;`1<72;q64i<5839><a6=0=1v5li:1818>fi3<8706m6;:1?xu?kl0;6?u28c3913=:0hn1;45rs9ae>5<5s42i>7;9;<:ba?1>3ty3no4?:3y><d`==?164lo5789~w=dd2909w06m0;75?8>fj3=27p}7c483>7}:0k?19;528c693<=z{1i<6=4={<:bf?04342i;76=;|q;`3<72;q64o65789><g0=9<1v5mk:1818>e1329706nd;40?xu?jl0;6?u28c;95==:0j214?5rs9f6>5<5s42im76=;<:ba?043ty3o;4?:3y><gg=91164i>5839~w=dc2909w06m3;:1?8>e<3<87p}7c583>7}:0k;14?528`a913=z{1i86=4={<:a6?>5342jh78;;|q;g7<72;q64lh5839><dc=>=1v5m>:1818>e8329706na;47?xu?k90;6?u28c79<7=:0hh1:95rs7f`>5<4s4<om76=;<4gf?3134<o576;;|q5`a<72;q6:il5839>2a?==?1v;k;:18080b:329708j3;75?80b93?=7p}9e483>7}:>l914?526d39<1=z{?n;6=4={<4af?0434<h;76=;|q5`c<72;q6:n<5579>2gc=?01v;k?:18180d;3?=708mf;5:?xu1kj0;6?u26b2913=:>kh1;45rs7ag>5<5s4<h=7;9;<4ag?1>3ty=h;4?:3y>2f0==?16:n;5789~w3b?2909w08mc;40?80d03297p}9e683>7}:>j31;4526b5950=z{?nn6=4={<4`e?>534<ii78<;|q5gc<72;q6:no5199>2a?=0;1v;k9:18180dj329708mf;40?xu1l>0;6?u26b`95==:>l;14?5rs7af>5<5s4<h876=;<4`1?043ty=h84?:3y>2f4=0;16:oj5579~w3b32909w08l3;:1?80em3<?7p}9d283>7}:>j:14?526cd921=z{?n96=4={<4`5?>534<in78;;|q5`4<72;q6:n85839>2ge=>=1v9kk:18082bj32970:jc;75?82bi32?7p};ed83>7}:<li14?524dc913=z{=l>6=4<{<6e7?>534>m87;9;<6e6?313ty?j;4?:3y>0c2=0;168k<5859~w1c62909w0:lc;40?82c03297p};f183>7}:<m919;524bd93<=z{=l:6=4={<6g0?3134>o<796;|q7`a<72;q68i?5579>0fe=?01v9jj:18182c:3?=70:ld;5:?xu3m>0;6?u24e5913=:<m<1;45rs5g:>5<5s4>hh78<;<6g=?>53ty?j54?:3y>0ag=?0168i65149~w1ca2909w0:kb;:1?82dn3<87p};e183>7}:<mh1=5524dc9<7=z{=l<6=4={<6gg?>534>o<78<;|q7a=<72;q68im5199>0c4=0;1v9ji:18182c=32970:k6;40?xu3m?0;6?u24e19<7=:<jo19;5rs5g6>5<5s4>o876=;<6`b?033ty?i94?:3y>0a7=0;168i>5659~w1c42909w0:k2;:1?82dk3<?7p};e383>7}:<m=14?524bf921=z{;ln6=4<{<0eg?>5348mh7;9;<0ef?>33ty9jk4?:3y>6cb=0;16>kl5579~w6612908w0=?4;:1?857=3?=70=?3;75?xu48>0;6?u23179<7=:;991495rs3d1>5<5s48oh78<;<0f=?>53ty8<<4?:3y>6`2==?16>h>5789~w6652909w0<j5;75?84b93=27p}=ed83>7}::l819;522ef93<=z{;om6=4={<0f7?31348oi796;|q1b=<72;q6>h65579>6`1=?01v?hn:18184cm3<870<ja;:1?xu4800;6?u22d`93<=::l31=85rs223>5<5s48no76=;<0f4?043ty9j<4?:3y>6`e=9116>kl5839~w66?2909w0<jd;:1?84b93<87p}=f883>7}::ln1=5523119<7=z{;l;6=4={<0f2?>5348n;78<;|q1b2<72;q6>h:5839>6a`==?1v?h9:18184b=32970<j0;47?xu5n<0;6?u22d09<7=::l;1:95rs3d7>5<5s48n?76=;<0g`?033ty9j>4?:3y>6`>=0;16>ik5659~w46a2908w0??d;:1?877m3?=70??c;:7?xu6990;6?u211g9<7=:99i19;5rs034>5<4s4;:976=;<322?3134;:87;9;|q25=<72;q6=<85839>542=0=1v<><:1818cb2?901ko5839~w4752909w0h::4489c7=?01v<?<:1818`12<<01k<5789~wc`=838p1k=5579>a`<012wx==>50;0x9c2==?16ik489:p55?=838p1k75579>b=<012wx==l50;0x9``=>:16jo472:p54g=838p1km5789>bd<6=2wx=<?50;0x9cb=0;16j<493:p554=838p1kj5199>55e=0;1v<?6:1818`b21801k<5629~w46f2909w0hj:0:894732180q~??1;296~;a?32970h7:718yv7703:1>v3i5;:1?8`72<<0q~??7;296~;a>32970h>:768yv77>3:1>v3i3;:1?8`52?>0q~??5;296~;a<32970kj:768yv77<3:1>v3i9;:1?8ca2?>0q~?>c983>7}:98i26:74=03`e?003ty:=n750;0x947dj3=270?>c`852>{t98ii6=4={<32gf<0127:=i<5629~w47dk3:1>v3>1bf93<=:98n96;:4}r32ga<72;q6=<mj:6;8947c:3<>7p}>1bg94?4|58;hj796;<32`7<1>2wx=<mi:181876l90<563>1e0922=z{8;o<7>52z?25a7=?016=<j=:7:8yv76l80;6?u210f1>2?<58;hm78<;|m6ge4290:wE?=229~j7dd<3:1=vF>2318yk4ek<0;6<uG1300?xh5jj<1<7?tH0017>{i:ki<6=4>{I3166=zf;hh47>51zJ2675<ug8io44?:0yK57443td9nno50;3xL445;2we>omm:182M75::1vb?llc;295~N6:;90qc<mce83>4}O9;887p`=bbg94?7|@889?6sa2cae>5<6sA;9>>5rn3`g4?6=9rB:>?=4}o0a`4<728qC=?<<;|l1fa4=83;pD<<=3:m6gb4290:wE?=229~j7dc<3:1=vF>2318yk4el<0;6<uG1300?xh5jm<1<7?tH0017>{i:kn<6=4>{I3166=zf;ho47>51zJ2675<ug8ih44?:0yK57443td9nio50;3xL445;2we>ojm:182M75::1vb?lkc;295~N6:;90qc<mde83>4}O9;887p`=beg94?7|@889?6sa2cfe>5<6sA;9>>5rn3`f4?6=9rB:>?=4}o0aa4<728qC=?<<;|l1f`4=83;pD<<=3:m6gc4290:wE?=229~j7db<3:1=vF>2318yk4em<0;6<uG1300?xh5jl<1<7?tH0017>{i:ko<6=4>{I3166=zf;hn47>51zJ2675<ug8ii44?:0yK57443td9nho50;3xL445;2we>okm:182M75::1vb?ljc;295~N6:;90qc<mee83>4}O9;887p`=bdg94?7|@889?6sa2cge>5<6sA;9>>5rn3`e4?6=9rB:>?=4}o0ab4<728qC=?<<;|l1fc4=83;pD<<=3:m6g`4290:wE?=229~j7da<3:1=vF>2318yk4en<0;6<uG1300?xh5jo<1<7?tH0017>{i:kl<6=4>{I3166=zf;hm47>51zJ2675<ug8ij44?:0yK57443td9nko50;3xL445;2we>ohm:182M75::1vb?lic;295~N6:;90qc<mfe83>4}O9;887p`=bgg94?7|@889?6sa2cde>5<6sA;9>>5rn3a34?6=9rB:>?=4}o0`44<728qC=?<<;|l1g54=83;pD<<=3:m6f64290:wE?=229~j7e7<3:1=vF>2318yk4d8<0;6<uG1300?xh5k9<1<7?tH0017>{i:j:<6=4>{I3166=zf;i;47>51zJ2675<ug8h<44?:0yK57443td9o=o50;3xL445;2we>n>m:182M75::1vb?m?c;295~N6:;90qc<l0e83>4}O9;887p`=c1g94?7|@889?6sa2b2e>5<6sA;9>>5rn3a24?6=9rB:>?=4}o0`54<728qC=?<<;|l1g44=83;pD<<=3:m6f74290:wE?=229~j7e6<3:1=vF>2318yk4d9<0;6<uG1300?xh5k8<1<7?tH0017>{i:j;<6=4>{I3166=zf;i:47>51zJ2675<ug8h=44?:0yK57443td9o<o50;3xL445;2we>n?m:182M75::1vb?m>c;295~N6:;90qc<l1e83>4}O9;887p`=c0g94?7|@889?6sa2b3e>5<6sA;9>>5rn3a14?6=9rB:>?=4}o0`64<728qC=?<<;|l1g74=83;pD<<=3:m6f44290:wE?=229~j7e5<3:1=vF>2318yk4d:<0;6<uG1300?xh5k;<1<7?tH0017>{i:j8<6=4>{I3166=zf;i947>51zJ2675<ug8h>44?:0yK57443td9o?o50;3xL445;2we>n<m:182M75::1vb?m=c;295~N6:;90qc<l2e83>4}O9;887p`=c3g94?7|@889?6sa2b0e>5<6sA;9>>5rn3a04?6=9rB:>?=4}o0`74<728qC=?<<;|l1g64=83;pD<<=3:m6f54290:wE?=229~j7e4<3:1=vF>2318yk4d;<0;6<uG1300?xh5k:<1<7?tH0017>{i:j9<6=4>{I3166=zf;i847>51zJ2675<ug8h?44?:0yK57443td9o>o50;3xL445;2we>n=m:182M75::1vb?m<c;295~N6:;90qc<l3e83>4}O9;887p`=c2g94?7|@889?6sa2b1e>5<6sA;9>>5rn3a74?6=9rB:>?=4}o0`04<728qC=?<<;|l1g14=83;pD<<=3:m6f24290:wE?=229~j7e3<3:1=vF>2318yk4d<<0;6<uG1300?xh5k=<1<7?tH0017>{i:j><6=4>{I3166=zf;i?47>51zJ2675<ug8h844?:0yK57443td9o9o50;3xL445;2we>n:m:182M75::1vb?m;c;295~N6:;90qc<l4e83>4}O9;887p`=c5g94?7|@889?6sa2b6e>5<6sA;9>>5rn3a64?6=9rB:>?=4}o0`14<728qC=?<<;|l1g04=83;pD<<=3:m6f34290:wE?=229~j7e2<3:1=vF>2318yk4d=<0;6<uG1300?xh5k<<1<7?tH0017>{i:j?<6=4>{I3166=zf;i>47>51zJ2675<ug8h944?:0yK57443td9o8o50;3xL445;2we>n;m:182M75::1vb?m:c;295~N6:;90qc<l5e83>4}O9;887p`=c4g94?7|@889?6sa2b7e>5<6sA;9>>5rn3a54?6=9rB:>?=4}o0`24<728qC=?<<;|l1g34=83;pD<<=3:m6f04290:wE?=229~j7e1<3:1=vF>2318yk4d><0;6<uG1300?xh5k?<1<7?tH0017>{i:j<<6=4>{I3166=zf;i=47>51zJ2675<ug8h:44?:0yK57443td9o;o50;3xL445;2we>n8m:182M75::1vb?m9c;295~N6:;90qc<l6e83>4}O9;887p`=c7g94?7|@889?6sa2b4e>5<6sA;9>>5rn3a44?6=9rB:>?=4}o0`34<728qC=?<<;|l1g24=83;pD<<=3:m6f14290:wE?=229~j7e0<3:1=vF>2318yk4d?<0;6<uG1300?xh5k><1<7?tH0017>{i:j=<6=4>{I3166=zf;i<47>51zJ2675<ug8h;44?:0yK57443td9o:o50;3xL445;2we>n9m:182M75::1vb?m8c;295~N6:;90qc<l7e83>4}O9;887p`=c6g94?7|@889?6sa2b5e>5<6sA;9>>5rn3a;4?6=9rB:>?=4}o0`<4<728qC=?<<;|l1g=4=83;pD<<=3:m6f>4290:wE?=229~j7e?<3:1=vF>2318yk4d0<0;6<uG1300?xh5k1<1<7?tH0017>{i:j2<6=4>{I3166=zf;i347>51zJ2675<ug8h444?:0yK57443td9o5o50;3xL445;2we>n6m:182M75::1vb?m7c;295~N6:;90qc<l8e83>4}O9;887p`=c9g94?7|@889?6sa2b:e>5<6sA;9>>5rn3a:4?6=9rB:>?=4}o0`=4<728qC=?<<;|l1g<4=83;pD<<=3:m6f?4290:wE?=229~j7e><3:1=vF>2318yk4d1<0;6<uG1300?xh5k0<1<7?tH0017>{i:j3<6=4>{I3166=zf;i247>51zJ2675<ug8h544?:0yK57443td9o4o50;3xL445;2we>n7m:182M75::1vb?m6c;295~N6:;90qc<l9e83>4}O9;887p`=c8g94?7|@889?6sa2b;e>5<6sA;9>>5rn3ab4?6=9rB:>?=4}o0`e4<728qC=?<<;|l1gd4=83;pD<<=3:m6fg4290:wE?=229~j7ef<3:1=vF>2318yk4di<0;6<uG1300?xh5kh<1<7?tH0017>{i:jk<6=4>{I3166=zf;ij47>51zJ2675<ug8hm44?:0yK57443td9olo50;3xL445;2we>nom:182M75::1vb?mnc;295~N6:;90qc<lae83>4}O9;887p`=c`g94?7|@889?6sa2bce>5<6sA;9>>5rn3aa4?6=9rB:>?=4}o0`f4<728qC=?<<;|l1gg4=83;pD<<=3:m6fd4290:wE?=229~j7ee<3:1=vF>2318yk4dj<0;6<uG1300?xh5kk<1<7?tH0017>{i:jh<6=4>{I3166=zf;ii47>51zJ2675<ug8hn44?:0yK57443td9ooo50;3xL445;2we>nlm:182M75::1vb?mmc;295~N6:;90qc<lbe83>4}O9;887p`=ccg94?7|@889?6sa2b`e>5<6sA;9>>5rn3a`4?6=9rB:>?=4}o0`g4<728qC=?<<;|l1gf4=83;pD<<=3:m6fe4290:wE?=229~j7ed<3:1=vF>2318yk4dk<0;6<uG1300?xh5kj<1<7?tH0017>{i:ji<6=4>{I3166=zf;ih47>51zJ2675<ug8ho44?:0yK57443td9ono50;3xL445;2we>nmm:182M75::1vb?mlc;295~N6:;90qc<lce83>4}O9;887p`=cbg94?7|@889?6sa2bae>5<6sA;9>>5rn3ag4?6=9rB:>?=4}o0``4<728qC=?<<;|l1ga4=83;pD<<=3:m6fb4290:wE?=229~j7ec<3:1=vF>2318yk4dl<0;6<uG1300?xh5km<1<7?tH0017>{i:jn<6=4>{I3166=zf;io47>51zJ2675<ug8hh44?:0yK57443td9oio50;3xL445;2we>njm:182M75::1vb?mkc;295~N6:;90qc<lde83>4}O9;887p`=ceg94?7|@889?6sa2bfe>5<6sA;9>>5rn3af4?6=9rB:>?=4}o0`a4<728qC=?<<;|l1g`4=83;pD<<=3:m6fc4290:wE?=229~j7eb<3:1=vF>2318yk4dm<0;6<uG1300?xh5kl<1<7?tH0017>{i:jo<6=4>{I3166=zf;in47>51zJ2675<ug8hi44?:0yK57443td9oho50;3xL445;2we>nkm:182M75::1vb?mjc;295~N6:;90qc<lee83>4}O9;887p`=cdg94?7|@889?6sa2bge>5<6sA;9>>5rn3ae4?6=9rB:>?=4}o0`b4<728qC=?<<;|l1gc4=83;pD<<=3:m6f`4290:wE?=229~j7ea<3:1=vF>2318yk4dn<0;6<uG1300?xh5ko<1<7?tH0017>{i:jl<6=4>{I3166=zf;im47>51zJ2675<ug8hj44?:0yK57443td9oko50;3xL445;2we>nhm:182M75::1vb?mic;295~N6:;90qc<lfe83>4}O9;887p`=cgg94?7|@889?6sa2bde>5<6sA;9>>5rn3f34?6=9rB:>?=4}o0g44<728qC=?<<;|l1`54=83;pD<<=3:m6a64290:wE?=229~j7b7<3:1=vF>2318yk4c8<0;6<uG1300?xh5l9<1<7?tH0017>{i:m:<6=4>{I3166=zf;n;47>51zJ2675<ug8o<44?:0yK57443td9h=o50;3xL445;2we>i>m:182M75::1vb?j?c;295~N6:;90qc<k0e83>4}O9;887p`=d1g94?7|@889?6sa2e2e>5<6sA;9>>5rn3f24?6=9rB:>?=4}o0g54<728qC=?<<;|l1`44=83;pD<<=3:m6a74290:wE?=229~j7b6<3:1=vF>2318yk4c9<0;6<uG1300?xh5l8<1<7?tH0017>{i:m;<6=4>{I3166=zf;n:47>51zJ2675<ug8o=44?:0yK57443td9h<o50;3xL445;2we>i?m:182M75::1vb?j>c;295~N6:;90qc<k1e83>4}O9;887p`=d0g94?7|@889?6sa2e3e>5<6sA;9>>5rn3f14?6=9rB:>?=4}o0g64<728qC=?<<;|l1`74=83;pD<<=3:m6a44290:wE?=229~j7b5<3:1=vF>2318yk4c:<0;6<uG1300?xh5l;<1<7?tH0017>{i:m8<6=4>{I3166=zf;n947>51zJ2675<ug8o>44?:0yK57443td9h?o50;3xL445;2we>i<m:182M75::1vb?j=c;295~N6:;90qc<k2e83>4}O9;887p`=d3g94?7|@889?6sa2e0e>5<6sA;9>>5rn3f04?6=9rB:>?=4}o0g74<728qC=?<<;|l1`64=83;pD<<=3:m6a54290:wE?=229~j7b4<3:1=vF>2318yk4c;<0;6<uG1300?xh5l:<1<7?tH0017>{i:m9<6=4>{I3166=zf;n847>51zJ2675<ug8o?44?:0yK57443td9h>o50;3xL445;2we>i=m:182M75::1vb?j<c;295~N6:;90qc<k3e83>4}O9;887p`=d2g94?7|@889?6sa2e1e>5<6sA;9>>5rn3f74?6=9rB:>?=4}o0g04<728qC=?<<;|l1`14=83;pD<<=3:m6a24290:wE?=229~j7b3<3:1=vF>2318yk4c<<0;6<uG1300?xh5l=<1<7?tH0017>{i:m><6=4>{I3166=zf;n?47>51zJ2675<ug8o844?:0yK57443td9h9o50;3xL445;2we>i:m:182M75::1vb?j;c;295~N6:;90qc<k4e83>4}O9;887p`=d5g94?7|@889?6sa2e6e>5<6sA;9>>5rn3f64?6=9rB:>?=4}o0g14<728qC=?<<;|l1`04=83;pD<<=3:m6a34290:wE?=229~j7b2<3:1=vF>2318yk4c=<0;6<uG1300?xh5l<<1<7?tH0017>{i:m?<6=4>{I3166=zf;n>47>51zJ2675<ug8o944?:0yK57443td9h8o50;3xL445;2we>i;m:182M75::1vb?j:c;295~N6:;90qc<k5e83>4}O9;887p`=d4g94?7|@889?6sa2e7e>5<6sA;9>>5rn3f54?6=9rB:>?=4}o0g24<728qC=?<<;|l1`34=83;pD<<=3:m6a04290:wE?=229~j7b1<3:1=vF>2318yk4c><0;6<uG1300?xh5l?<1<7?tH0017>{i:m<<6=4>{I3166=zf;n=47>51zJ2675<ug8o:44?:0yK57443td9h;o50;3xL445;2we>i8m:182M75::1vb?j9c;295~N6:;90qc<k6e83>4}O9;887p`=d7g94?7|@889?6sa2e4e>5<6sA;9>>5rn3f44?6=9rB:>?=4}o0g34<728qC=?<<;|l1`24=83;pD<<=3:m6a14290:wE?=229~j7b0<3:1=vF>2318yk4c?<0;6<uG1300?xh5l><1<7?tH0017>{i:m=<6=4>{I3166=zf;n<47>51zJ2675<ug8o;44?:0yK57443td9h:o50;3xL445;2we>i9m:182M75::1vb?j8c;295~N6:;90qc<k7e83>4}O9;887p`=d6g94?7|@889?6sa2e5e>5<6sA;9>>5rn3f;4?6=9rB:>?=4}o0g<4<728qC=?<<;|l1`=4=83;pD<<=3:m6a>4290:wE?=229~j7b?<3:1=vF>2318yk4c0<0;6<uG1300?xh5l1<1<7?tH0017>{i:m2<6=4>{I3166=zf;n347>51zJ2675<ug8o444?:0yK57443td9h5o50;3xL445;2we>i6m:182M75::1vb?j7c;295~N6:;90qc<k8e83>4}O9;887p`=d9g94?7|@889?6sa2e:e>5<6sA;9>>5rn3f:4?6=9rB:>?=4}o0g=4<728qC=?<<;|l1`<4=83;pD<<=3:m6a?4290:wE?=229~j7b><3:1=vF>2318yk4c1<0;6<uG1300?xh5l0<1<7?tH0017>{i:m3<6=4>{I3166=zf;n247>51zJ2675<ug8o544?:0yK57443td9h4o50;3xL445;2we>i7m:182M75::1vb?j6c;295~N6:;90qc<k9e83>4}O9;887p`=d8g94?7|@889?6sa2e;e>5<6sA;9>>5rn3fb4?6=9rB:>?=4}o0ge4<728qC=?<<;|l1`d4=83;pD<<=3:m6ag4290:wE?=229~j7bf<3:1=vF>2318yk4ci<0;6<uG1300?xh5lh<1<7?tH0017>{i:mk<6=4>{I3166=zf;nj47>51zJ2675<ug8om44?:0yK57443td9hlo50;3xL445;2we>iom:182M75::1vb?jnc;295~N6:;90qc<kae83>4}O9;887p`=d`g94?7|@889?6sa2ece>5<6sA;9>>5rn3fa4?6=9rB:>?=4}o0gf4<728qC=?<<;|l1`g4=83;pD<<=3:m6ad4290:wE?=229~j7be<3:1=vF>2318yk4cj<0;6<uG1300?xh5lk<1<7?tH0017>{i:mh<6=4>{I3166=zf;ni47>51zJ2675<ug8on44?:0yK57443td9hoo50;3xL445;2we>ilm:182M75::1vb?jmc;295~N6:;90qc<kbe83>4}O9;887p`=dcg94?7|@889?6sa2e`e>5<6sA;9>>5rn3f`4?6=9rB:>?=4}o0gg4<728qC=?<<;|l1`f4=83;pD<<=3:m6ae4290:wE?=229~j7bd<3:1=vF>2318yk4ck<0;6<uG1300?xh5lj<1<7?tH0017>{i:mi<6=4>{I3166=zf;nh47>51zJ2675<ug8oo44?:0yK57443td9hno50;3xL445;2we>imm:182M75::1vb?jlc;295~N6:;90qc<kce83>4}O9;887p`=dbg94?7|@889?6sa2eae>5<6sA;9>>5rn3fg4?6=9rB:>?=4}o0g`4<728qC=?<<;|l1`a4=83;pD<<=3:m6ab4290:wE?=229~j7bc<3:1=vF>2318yk4cl<0;6<uG1300?xh5lm<1<7?tH0017>{i:mn<6=4>{I3166=zf;no47>51zJ2675<ug8oh44?:0yK57443td9hio50;3xL445;2we>ijm:182M75::1vb?jkc;295~N6:;90qc<kde83>4}O9;887p`=deg94?7|@889?6sa2efe>5<6sA;9>>5rn3ff4?6=9rB:>?=4}o0ga4<728qC=?<<;|l1``4=83;pD<<=3:m6ac4290:wE?=229~j7bb<3:1=vF>2318yk4cm<0;6<uG1300?xh5ll<1<7?tH0017>{i:mo<6=4>{I3166=zf;nn47>51zJ2675<ug8oi44?:0yK57443td9hho50;3xL445;2we>ikm:182M75::1vb?jjc;295~N6:;90qc<kee83>4}O9;887p`=ddg94?7|@889?6sa2ege>5<6sA;9>>5rn3fe4?6=9rB:>?=4}o0gb4<728qC=?<<;|l1`c4=83;pD<<=3:m6a`4290:wE?=229~j7ba<3:1=vF>2318yk4cn<0;6<uG1300?xh5lo<1<7?tH0017>{i:ml<6=4>{I3166=zf;nm47>51zJ2675<ug8oj44?:0yK57443td9hko50;3xL445;2we>ihm:182M75::1vb?jic;295~N6:;90qc<kfe83>4}O9;887p`=dgg94?7|@889?6sa2ede>5<6sA;9>>5rn3g34?6=9rB:>?=4}o0f44<728qC=?<<;|l1a54=83;pD<<=3:m6`64290:wE?=229~j7c7<3:1=vF>2318yk4b8<0;6<uG1300?xh5m9<1<7?tH0017>{i:l:<6=4>{I3166=zf;o;47>51zJ2675<ug8n<44?:0yK57443td9i=o50;3xL445;2we>h>m:182M75::1vb?k?c;295~N6:;90qc<j0e83>4}O9;887p`=e1g94?7|@889?6sa2d2e>5<6sA;9>>5rn3g24?6=9rB:>?=4}o0f54<728qC=?<<;|l1a44=83;pD<<=3:m6`74290:wE?=229~j7c6<3:1=vF>2318yk4b9<0;6<uG1300?xh5m8<1<7?tH0017>{i:l;<6=4>{I3166=zf;o:47>51zJ2675<ug8n=44?:0yK57443td9i<o50;3xL445;2we>h?m:182M75::1vb?k>c;295~N6:;90qc<j1e83>4}O9;887p`=e0g94?7|@889?6sa2d3e>5<6sA;9>>5rn3g14?6=9rB:>?=4}o0f64<728qC=?<<;|l1a74=83;pD<<=3:m6`44290:wE?=229~j7c5<3:1=vF>2318yk4b:<0;6<uG1300?xh5m;<1<7?tH0017>{i:l8<6=4>{I3166=zf;o947>51zJ2675<ug8n>44?:0yK57443td9i?o50;3xL445;2we>h<m:182M75::1vb?k=c;295~N6:;90qc<j2e83>4}O9;887p`=e3g94?7|@889?6sa2d0e>5<6sA;9>>5rn3g04?6=9rB:>?=4}o0f74<728qC=?<<;|l1a64=83;pD<<=3:m6`54290:wE?=229~j7c4<3:1=vF>2318yk4b;<0;6<uG1300?xh5m:<1<7?tH0017>{i:l9<6=4>{I3166=zf;o847>51zJ2675<ug8n?44?:0yK57443td9i>o50;3xL445;2we>h=m:182M75::1vb?k<c;295~N6:;90qc<j3e83>4}O9;887p`=e2g94?7|@889?6sa2d1e>5<6sA;9>>5rn3g74?6=9rB:>?=4}o0f04<728qC=?<<;|l1a14=83;pD<<=3:m6`24290:wE?=229~j7c3<3:1=vF>2318yk4b<<0;6<uG1300?xh5m=<1<7?tH0017>{i:l><6=4>{I3166=zf;o?47>51zJ2675<ug8n844?:0yK57443td9i9o50;3xL445;2we>h:m:182M75::1vb?k;c;295~N6:;90qc<j4e83>4}O9;887p`=e5g94?7|@889?6sa2d6e>5<6sA;9>>5rn3g64?6=9rB:>?=4}o0f14<728qC=?<<;|l1a04=83;pD<<=3:m6`34290:wE?=229~j7c2<3:1=vF>2318yk4b=<0;6<uG1300?xh5m<<1<7?tH0017>{i:l?<6=4>{I3166=zf;o>47>51zJ2675<ug8n944?:0yK57443td9i8o50;3xL445;2we>h;m:182M75::1vb?k:c;295~N6:;90qc<j5e83>4}O9;887p`=e4g94?7|@889?6sa2d7e>5<6sA;9>>5rn3g54?6=9rB:>?=4}o0f24<728qC=?<<;|l1a34=83;pD<<=3:m6`04290:wE?=229~j7c1<3:1=vF>2318yk4b><0;6<uG1300?xh5m?<1<7?tH0017>{i:l<<6=4>{I3166=zf;o=47>51zJ2675<ug8n:44?:0yK57443td9i;o50;3xL445;2we>h8m:182M75::1vb?k9c;295~N6:;90qc<j6e83>4}O9;887p`=e7g94?7|@889?6sa2d4e>5<6sA;9>>5rn3g44?6=9rB:>?=4}o0f34<728qC=?<<;|l1a24=83;pD<<=3:m6`14290:wE?=229~j7c0<3:1=vF>2318yk4b?<0;6<uG1300?xh5m><1<7?tH0017>{i:l=<6=4>{I3166=zf;o<47>51zJ2675<ug8n;44?:0yK57443td9i:o50;3xL445;2we>h9m:182M75::1vb?k8c;295~N6:;90qc<j7e83>4}O9;887p`=e6g94?7|@889?6sa2d5e>5<6sA;9>>5rn3g;4?6=9rB:>?=4}o0f<4<728qC=?<<;|l1a=4=83;pD<<=3:m6`>4290:wE?=229~j7c?<3:1=vF>2318yk4b0<0;6<uG1300?xh5m1<1<7?tH0017>{i:l2<6=4>{I3166=zf;o347>51zJ2675<ug8n444?:0yK57443td9i5o50;3xL445;2we>h6m:182M75::1vb?k7c;295~N6:;90qc<j8e83>4}O9;887p`=e9g94?7|@889?6sa2d:e>5<6sA;9>>5rn3g:4?6=9rB:>?=4}o0f=4<728qC=?<<;|l1a<4=83;pD<<=3:m6`?4290:wE?=229~j7c><3:1=vF>2318yk4b1<0;6<uG1300?xh5m0<1<7?tH0017>{i:l3<6=4>{I3166=zf;o247>51zJ2675<ug8n544?:0yK57443td9i4o50;3xL445;2we>h7m:182M75::1vb?k6c;295~N6:;90qc<j9e83>4}O9;887p`=e8g94?7|@889?6sa2d;e>5<6sA;9>>5rn3gb4?6=9rB:>?=4}o0fe4<728qC=?<<;|l1ad4=83;pD<<=3:m6`g4290:wE?=229~j7cf<3:1=vF>2318yk4bi<0;6<uG1300?xh5mh<1<7?tH0017>{i:lk<6=4>{I3166=zf;oj47>51zJ2675<ug8nm44?:0yK57443td9ilo50;3xL445;2we>hom:182M75::1vb?knc;295~N6:;90qc<jae83>4}O9;887p`=e`g94?7|@889?6sa2dce>5<6sA;9>>5rn3ga4?6=9rB:>?=4}o0ff4<728qC=?<<;|l1ag4=83;pD<<=3:m6`d4290:wE?=229~j7ce<3:1=vF>2318yk4bj<0;6<uG1300?xh5mk<1<7?tH0017>{i:lh<6=4>{I3166=zf;oi47>51zJ2675<ug8nn44?:0yK57443td9ioo50;3xL445;2we>hlm:182M75::1vb?kmc;295~N6:;90qc<jbe83>4}O9;887p`=ecg94?7|@889?6sa2d`e>5<6sA;9>>5rn3g`4?6=9rB:>?=4}o0fg4<728qC=?<<;|l1af4=83;pD<<=3:m6`e4290:wE?=229~j7cd<3:1=vF>2318yk4bk<0;6<uG1300?xh5mj<1<7?tH0017>{i:li<6=4>{I3166=zf;oh47>51zJ2675<ug8no44?:0yK57443td9ino50;3xL445;2we>hmm:182M75::1vb?klc;295~N6:;90qc<jce83>4}O9;887p`=ebg94?7|@889?6sa2dae>5<6sA;9>>5rn3gg4?6=9rB:>?=4}o0f`4<728qC=?<<;|l1aa4=83;pD<<=3:m6`b4290:wE?=229~j7cc<3:1=vF>2318yk4bl<0;6<uG1300?xh5mm<1<7?tH0017>{i:ln<6=4>{I3166=zf;oo47>51zJ2675<ug8nh44?:0yK57443td9iio50;3xL445;2we>hjm:182M75::1vb?kkc;295~N6:;90qc<jde83>4}O9;887p`=eeg94?7|@889?6sa2dfe>5<6sA;9>>5rn3gf4?6=9rB:>?=4}o0fa4<728qC=?<<;|l1a`4=83;pD<<=3:m6`c4290:wE?=229~j7cb<3:1=vF>2318yk4bm<0;6<uG1300?xh5ml<1<7?tH0017>{i:lo<6=4>{I3166=zf;on47>51zJ2675<ug8ni44?:0yK57443td9iho50;3xL445;2we>hkm:182M75::1vb?kjc;295~N6:;90qc<jee83>4}O9;887p`=edg94?7|@889?6sa2dge>5<6sA;9>>5rn3ge4?6=9rB:>?=4}o0fb4<728qC=?<<;|l1ac4=83;pD<<=3:m6``4290:wE?=229~j7ca<3:1=vF>2318yk4bn<0;6<uG1300?xh5mo<1<7?tH0017>{i:ll<6=4>{I3166=zf;om47>51zJ2675<ug8nj44?:0yK57443td9iko50;3xL445;2we>hhm:182M75::1vb?kic;295~N6:;90qc<jfe83>4}O9;887p`=egg94?7|@889?6sa2dde>5<6sA;9>>5rn3d34?6=9rB:>?=4}o0e44<728qC=?<<;|l1b54=83;pD<<=3:m6c64290:wE?=229~j7`7<3:1=vF>2318yk4a8<0;6<uG1300?xh5n9<1<7?tH0017>{i:o:<6=4>{I3166=zf;l;47>51zJ2675<ug8m<44?:0yK57443td9j=o50;3xL445;2we>k>m:182M75::1vb?h?c;295~N6:;90qc<i0e83>4}O9;887p`=f1g94?7|@889?6sa2g2e>5<6sA;9>>5rn3d24?6=9rB:>?=4}o0e54<728qC=?<<;|l1b44=83;pD<<=3:m6c74290:wE?=229~j7`6<3:1=vF>2318yk4a9<0;6<uG1300?xh5n8<1<7?tH0017>{i:o;<6=4>{I3166=zf;l:47>51zJ2675<ug8m=44?:0yK57443td9j<o50;3xL445;2we>k?m:182M75::1vb?h>c;295~N6:;90qc<i1e83>4}O9;887p`=f0g94?7|@889?6sa2g3e>5<6sA;9>>5rn3d14?6=9rB:>?=4}o0e64<728qC=?<<;|l1b74=83;pD<<=3:m6c44290:wE?=229~j7`5<3:1=vF>2318yk4a:<0;6<uG1300?xh5n;<1<7?tH0017>{i:o8<6=4>{I3166=zf;l947>51zJ2675<ug8m>44?:0yK57443td9j?o50;3xL445;2we>k<m:182M75::1vb?h=c;295~N6:;90qc<i2e83>4}O9;887p`=f3g94?7|@889?6sa2g0e>5<6sA;9>>5rn3d04?6=9rB:>?=4}o0e74<728qC=?<<;|l1b64=83;pD<<=3:m6c54290:wE?=229~j7`4<3:1=vF>2318yk4a;<0;6<uG1300?xh5n:<1<7?tH0017>{i:o9<6=4>{I3166=zf;l847>51zJ2675<ug8m?44?:0yK57443td9j>o50;3xL445;2we>k=m:182M75::1vb?h<c;295~N6:;90qc<i3e83>4}O9;887p`=f2g94?7|@889?6sa2g1e>5<6sA;9>>5rn3d74?6=9rB:>?=4}o0e04<728qC=?<<;|l1b14=83;pD<<=3:m6c24290:wE?=229~j7`3<3:1=vF>2318yk4a<<0;6<uG1300?xh5n=<1<7?tH0017>{i:o><6=4>{I3166=zf;l?47>51zJ2675<ug8m844?:0yK57443td9j9o50;3xL445;2we>k:m:182M75::1vb?h;c;295~N6:;90qc<i4e83>4}O9;887p`=f5g94?7|@889?6sa2g6e>5<6sA;9>>5rn3d64?6=9rB:>?=4}o0e14<728qC=?<<;|l1b04=83;pD<<=3:m6c34290:wE?=229~j7`2<3:1=vF>2318yk4a=<0;6<uG1300?xh5n<<1<7?tH0017>{i:o?<6=4>{I3166=zf;l>47>51zJ2675<ug8m944?:0yK57443td9j8o50;3xL445;2we>k;m:182M75::1vb?h:c;295~N6:;90qc<i5e83>4}O9;887p`=f4g94?7|@889?6sa2g7e>5<6sA;9>>5rn3d54?6=9rB:>?=4}o0e24<728qC=?<<;|l1b34=83;pD<<=3:m6c04290:wE?=229~j7`1<3:1=vF>2318yk4a><0;6<uG1300?xh5n?<1<7?tH0017>{i:o<<6=4>{I3166=zf;l=47>51zJ2675<ug8m:44?:0yK57443td9j;o50;3xL445;2we>k8m:182M75::1vb?h9c;295~N6:;90qc<i6e83>4}O9;887p`=f7g94?7|@889?6sa2g4e>5<6sA;9>>5rn3d44?6=9rB:>?=4}o0e34<728qC=?<<;|l1b24=83;pD<<=3:m6c14290:wE?=229~j7`0<3:1=vF>2318yk4a?<0;6<uG1300?xh5n><1<7?tH0017>{i:o=<6=4>{I3166=zf;l<47>51zJ2675<ug8m;44?:0yK57443td9j:o50;3xL445;2we>k9m:182M75::1vb?h8c;295~N6:;90qc<i7e83>4}O9;887p`=f6g94?7|@889?6sa2g5e>5<6sA;9>>5rn3d;4?6=9rB:>?=4}o0e<4<728qC=?<<;|l1b=4=83;pD<<=3:m6c>4290:wE?=229~j7`?<3:1=vF>2318yk4a0<0;6<uG1300?xh5n1<1<7?tH0017>{i:o2<6=4>{I3166=zf;l347>51zJ2675<ug8m444?:0yK57443td9j5o50;3xL445;2we>k6m:182M75::1vb?h7c;295~N6:;90qc<i8e83>4}O9;887p`=f9g94?7|@889?6sa2g:e>5<6sA;9>>5rn3d:4?6=9rB:>?=4}o0e=4<728qC=?<<;|l1b<4=83;pD<<=3:m6c?4290:wE?=229~j7`><3:1=vF>2318yk4a1<0;6<uG1300?xh5n0<1<7?tH0017>{i:o3<6=4>{I3166=zf;l247>51zJ2675<ug8m544?:0yK57443td9j4o50;3xL445;2we>k7m:182M75::1vb?h6c;295~N6:;90qc<i9e83>4}O9;887p`=f8g94?7|@889?6sa2g;e>5<6sA;9>>5rn3db4?6=9rB:>?=4}o0ee4<728qC=?<<;|l1bd4=83;pD<<=3:m6cg4290:wE?=229~j7`f<3:1=vF>2318yk4ai<0;6<uG1300?xh5nh<1<7?tH0017>{i:ok<6=4>{I3166=zf;lj47>51zJ2675<ug8mm44?:0yK57443td9jlo50;3xL445;2we>kom:182M75::1vb?hnc;295~N6:;90qc<iae83>4}O9;887p`=f`g94?7|@889?6sa2gce>5<6sA;9>>5rn3da4?6=9rB:>?=4}o0ef4<728qC=?<<;|l1bg4=83;pD<<=3:m6cd4290:wE?=229~j7`e<3:1=vF>2318yk4aj<0;6<uG1300?xh5nk<1<7?tH0017>{i:oh<6=4>{I3166=zf;li47>51zJ2675<ug8mn44?:0yK57443td9joo50;3xL445;2we>klm:182M75::1vb?hmc;295~N6:;90qc<ibe83>4}O9;887p`=fcg94?7|@889?6sa2g`e>5<6sA;9>>5rn3d`4?6=9rB:>?=4}o0eg4<728qC=?<<;|l1bf4=83;pD<<=3:m6ce4290:wE?=229~j7`d<3:1=vF>2318yk4ak<0;6<uG1300?xh5nj<1<7?tH0017>{i:oi<6=4>{I3166=zf;lh47>51zJ2675<ug8mo44?:0yK57443td9jno50;3xL445;2we>kmm:182M75::1vb?hlc;295~N6:;90qc<ice83>4}O9;887p`=fbg94?7|@889?6sa2gae>5<6sA;9>>5rn3dg4?6=9rB:>?=4}o0e`4<728qC=?<<;|l1ba4=83;pD<<=3:m6cb4290:wE?=229~j7`c<3:1=vF>2318yk4al<0;6<uG1300?xh5nm<1<7?tH0017>{i:on<6=4>{I3166=zf;lo47>51zJ2675<ug8mh44?:0yK57443td9jio50;3xL445;2we>kjm:182M75::1vb?hkc;295~N6:;90qc<ide83>4}O9;887p`=feg94?7|@889?6sa2gfe>5<6sA;9>>5rn3df4?6=9rB:>?=4}o0ea4<728qC=?<<;|l1b`4=83;pD<<=3:m6cc4290:wE?=229~j7`b<3:1=vF>2318yk4am<0;6<uG1300?xh5nl<1<7?tH0017>{i:oo<6=4>{I3166=zf;ln47>51zJ2675<ug8mi44?:0yK57443td9jho50;3xL445;2we>kkm:182M75::1vb?hjc;295~N6:;90qc<iee83>4}O9;887p`=fdg94?7|@889?6sa2gge>5<6sA;9>>5rn3de4?6=9rB:>?=4}o0eb4<728qC=?<<;|l1bc4=83;pD<<=3:m6c`4290:wE?=229~j7`a<3:1=vF>2318yk4an<0;6<uG1300?xh5no<1<7?tH0017>{i:ol<6=4>{I3166=zf;lm47>51zJ2675<ug8mj44?:0yK57443td9jko50;3xL445;2we>khm:182M75::1vb?hic;295~N6:;90qc<ife83>4}O9;887p`=fgg94?7|@889?6sa2gde>5<6sA;9>>5rn2234?6=9rB:>?=4}o1344<728qC=?<<;|l0454=83;pD<<=3:m7564290:wE?=229~j667<3:1=vF>2318yk578<0;6<uG1300?xh489<1<7?tH0017>{i;9:<6=4>{I3166=zf::;47>51zJ2675<ug9;<44?:0yK57443td8<=o50;3xL445;2we?=>m:182M75::1vb>>?c;295~N6:;90qc=?0e83>4}O9;887p`<01g94?7|@889?6sa312e>5<6sA;9>>5rn2224?6=9rB:>?=4}o1354<728qC=?<<;|l0444=83;pD<<=3:m7574290:wE?=229~j666<3:1=vF>2318yk579<0;6<uG1300?xh488<1<7?tH0017>{i;9;<6=4>{I3166=zf:::47>51zJ2675<ug9;=44?:0yK57443td8<<o50;3xL445;2we?=?m:182M75::1vb>>>c;295~N6:;90qc=?1e83>4}O9;887p`<00g94?7|@889?6sa313e>5<6sA;9>>5rn2214?6=9rB:>?=4}o1364<728qC=?<<;|l0474=83;pD<<=3:m7544290:wE?=229~j665<3:1=vF>2318yk57:<0;6<uG1300?xh48;<1<7?tH0017>{i;98<6=4>{I3166=zf::947>51zJ2675<ug9;>44?:0yK57443td8<?o50;3xL445;2we?=<m:182M75::1vb>>=c;295~N6:;90qc=?2e83>4}O9;887p`<03g94?7|@889?6sa310e>5<6sA;9>>5rn2204?6=9rB:>?=4}o1374<728qC=?<<;|l0464=83;pD<<=3:m7554290:wE?=229~j664<3:1=vF>2318yk57;<0;6<uG1300?xh48:<1<7?tH0017>{i;99<6=4>{I3166=zf::847>51zJ2675<ug9;?44?:0yK57443td8<>o50;3xL445;2we?==m:182M75::1vb>><c;295~N6:;90qc=?3e83>4}O9;887p`<02g94?7|@889?6sa311e>5<6sA;9>>5rn2274?6=9rB:>?=4}o1304<728qC=?<<;|l0414=83;pD<<=3:m7524290:wE?=229~j663<3:1=vF>2318yk57<<0;6<uG1300?xh48=<1<7?tH0017>{i;9><6=4>{I3166=zf::?47>51zJ2675<ug9;844?:0yK57443td8<9o50;3xL445;2we?=:m:182M75::1vb>>;c;295~N6:;90qc=?4e83>4}O9;887p`<05g94?7|@889?6sa316e>5<6sA;9>>5rn2264?6=9rB:>?=4}o1314<728qC=?<<;|l0404=83;pD<<=3:m7534290:wE?=229~j662<3:1=vF>2318yk57=<0;6<uG1300?xh48<<1<7?tH0017>{i;9?<6=4>{I3166=zf::>47>51zJ2675<ug9;944?:0yK57443td8<8o50;3xL445;2we?=;m:182M75::1vb>>:c;295~N6:;90qc=?5e83>4}O9;887p`<04g94?7|@889?6sa317e>5<6sA;9>>5rn2254?6=9rB:>?=4}o1324<728qC=?<<;|l0434=83;pD<<=3:m7504290:wE?=229~j661<3:1=vF>2318yk57><0;6<uG1300?xh48?<1<7?tH0017>{i;9<<6=4>{I3166=zf::=47>51zJ2675<ug9;:44?:0yK57443td8<;o50;3xL445;2we?=8m:182M75::1vb>>9c;295~N6:;90qc=?6e83>4}O9;887p`<07g94?7|@889?6sa314e>5<6sA;9>>5rn2244?6=9rB:>?=4}o1334<728qC=?<<;|l0424=83;pD<<=3:m7514290:wE?=229~j660<3:1=vF>2318yk57?<0;6<uG1300?xh48><1<7?tH0017>{i;9=<6=4>{I3166=zf::<47>51zJ2675<ug9;;44?:0yK57443td8<:o50;3xL445;2we?=9m:182M75::1vb>>8c;295~N6:;90qc=?7e83>4}O9;887p`<06g94?7|@889?6sa315e>5<6sA;9>>5rn22;4?6=9rB:>?=4}o13<4<728qC=?<<;|l04=4=83;pD<<=3:m75>4290:wE?=229~j66?<3:1=vF>2318yk570<0;6<uG1300?xh481<1<7?tH0017>{i;92<6=4>{I3166=zf::347>51zJ2675<ug9;444?:0yK57443td8<5o50;3xL445;2we?=6m:182M75::1vb>>7c;295~N6:;90qc=?8e83>4}O9;887p`<09g94?7|@889?6sa31:e>5<6sA;9>>5rn22:4?6=9rB:>?=4}o13=4<728qC=?<<;|l04<4=83;pD<<=3:m75?4290:wE?=229~j66><3:1=vF>2318yk571<0;6<uG1300?xh480<1<7?tH0017>{i;93<6=4>{I3166=zf::247>51zJ2675<ug9;544?:0yK57443td8<4o50;3xL445;2we?=7m:182M75::1vb>>6c;295~N6:;90qc=?9e83>4}O9;887p`<08g94?7|@889?6sa31;e>5<6sA;9>>5rn22b4?6=9rB:>?=4}o13e4<728qC=?<<;|l04d4=83;pD<<=3:m75g4290:wE?=229~j66f<3:1=vF>2318yk57i<0;6<uG1300?xh48h<1<7?tH0017>{i;9k<6=4>{I3166=zf::j47>51zJ2675<ug9;m44?:0yK57443td8<lo50;3xL445;2we?=om:182M75::1vb>>nc;295~N6:;90qc=?ae83>4}O9;887p`<0`g94?7|@889?6sa31ce>5<6sA;9>>5rn22a4?6=9rB:>?=4}o13f4<728qC=?<<;|l04g4=83;pD<<=3:m75d4290:wE?=229~j66e<3:1=vF>2318yk57j<0;6<uG1300?xh48k<1<7?tH0017>{i;9h<6=4>{I3166=zf::i47>51zJ2675<ug9;n44?:0yK57443td8<oo50;3xL445;2we?=lm:182M75::1vb>>mc;295~N6:;90qc=?be83>4}O9;887p`<0cg94?7|@889?6sa31`e>5<6sA;9>>5rn22`4?6=9rB:>?=4}o13g4<728qC=?<<;|l04f4=83;pD<<=3:m75e4290:wE?=229~j66d<3:1=vF>2318yk57k<0;6<uG1300?xh48j<1<7?tH0017>{i;9i<6=4>{I3166=zf::h47>51zJ2675<ug9;o44?:0yK57443td8<no50;3xL445;2we?=mm:182M75::1vb>>lc;295~N6:;90qc=?ce83>4}O9;887p`<0bg94?7|@889?6sa31ae>5<6sA;9>>5rn22g4?6=9rB:>?=4}o13`4<728qC=?<<;|l04a4=83;pD<<=3:m75b4290:wE?=229~j66c<3:1=vF>2318yk57l<0;6<uG1300?xh48m<1<7?tH0017>{i;9n<6=4>{I3166=zf::o47>51zJ2675<ug9;h44?:0yK57443td8<io50;3xL445;2we?=jm:182M75::1vb>>kc;295~N6:;90qc=?de83>4}O9;887p`<0eg94?7|@889?6sa31fe>5<6sA;9>>5rn22f4?6=9rB:>?=4}o13a4<728qC=?<<;|l04`4=83;pD<<=3:m75c4290:wE?=229~j66b<3:1=vF>2318yk57m<0;6<uG1300?xh48l<1<7?tH0017>{i;9o<6=4>{I3166=zf::n47>51zJ2675<ug9;i44?:0yK57443td8<ho50;3xL445;2we?=km:182M75::1vb>>jc;295~N6:;90qc=?ee83>4}O9;887p`<0dg94?7|@889?6sa31ge>5<6sA;9>>5rn22e4?6=9rB:>?=4}o13b4<728qC=?<<;|l04c4=83;pD<<=3:m75`4290:wE?=229~j66a<3:1=vF>2318yk57n<0;6<uG1300?xh48o<1<7?tH0017>{i;9l<6=4>{I3166=zf::m47>51zJ2675<ug9;j44?:0yK57443td8<ko50;3xL445;2we?=hm:182M75::1vb>>ic;295~N6:;90qc=?fe83>4}O9;887p`<0gg94?7|@889?6sa31de>5<6sA;9>>5rn2334?6=9rB:>?=4}o1244<728qC=?<<;|l0554=83;pD<<=3:m7464290:wE?=229~j677<3:1=vF>2318yk568<0;6<uG1300?xh499<1<7?tH0017>{i;8:<6=4>{I3166=zf:;;47>51zJ2675<ug9:<44?:0yK57443td8==o50;3xL445;2we?<>m:182M75::1vb>??c;295~N6:;90qc=>0e83>4}O9;887p`<11g94?7|@889?6sa302e>5<6sA;9>>5rn2324?6=9rB:>?=4}o1254<728qC=?<<;|l0544=83;pD<<=3:m7474290:wE?=229~j676<3:1=vF>2318yk569<0;6<uG1300?xh498<1<7?tH0017>{i;8;<6=4>{I3166=zf:;:47>51zJ2675<ug9:=44?:0yK57443td8=<o50;3xL445;2we?<?m:182M75::1vb>?>c;295~N6:;90qc=>1e83>4}O9;887p`<10g94?7|@889?6sa303e>5<6sA;9>>5rn2314?6=9rB:>?=4}o1264<728qC=?<<;|l0574=83;pD<<=3:m7444290:wE?=229~j675<3:1=vF>2318yk56:<0;6<uG1300?xh49;<1<7?tH0017>{i;88<6=4>{I3166=zf:;947>51zJ2675<ug9:>44?:0yK57443td8=?o50;3xL445;2we?<<m:182M75::1vb>?=c;295~N6:;90qc=>2e83>4}O9;887p`<13g94?7|@889?6sa300e>5<6sA;9>>5rn2304?6=9rB:>?=4}o1274<728qC=?<<;|l0564=83;pD<<=3:m7454290:wE?=229~j674<3:1=vF>2318yk56;<0;6<uG1300?xh49:<1<7?tH0017>{i;89<6=4>{I3166=zf:;847>51zJ2675<ug9:?44?:0yK57443td8=>o50;3xL445;2we?<=m:182M75::1vb>?<c;295~N6:;90qc=>3e83>4}O9;887p`<12g94?7|@889?6sa301e>5<6sA;9>>5rn2374?6=9rB:>?=4}o1204<728qC=?<<;|l0514=83;pD<<=3:m7424290:wE?=229~j673<3:1=vF>2318yk56<<0;6<uG1300?xh49=<1<7?tH0017>{i;8><6=4>{I3166=zf:;?47>51zJ2675<ug9:844?:0yK57443td8=9o50;3xL445;2we?<:m:182M75::1vb>?;c;295~N6:;90qc=>4e83>4}O9;887p`<15g94?7|@889?6sa306e>5<6sA;9>>5rn2364?6=9rB:>?=4}o1214<728qC=?<<;|l0504=83;pD<<=3:m7434290:wE?=229~j672<3:1=vF>2318yk56=<0;6<uG1300?xh49<<1<7?tH0017>{i;8?<6=4>{I3166=zf:;>47>51zJ2675<ug9:944?:0yK57443td8=8o50;3xL445;2we?<;m:182M75::1vb>?:c;295~N6:;90qc=>5e83>4}O9;887p`<14g94?7|@889?6sa307e>5<6sA;9>>5rn2354?6=9rB:>?=4}o1224<728qC=?<<;|l0534=83;pD<<=3:m7404290:wE?=229~j671<3:1=vF>2318yk56><0;6<uG1300?xh49?<1<7?tH0017>{i;8<<6=4>{I3166=zf:;=47>51zJ2675<ug9::44?:0yK57443td8=;o50;3xL445;2we?<8m:182M75::1vb>?9c;295~N6:;90qc=>6e83>4}O9;887p`<17g94?7|@889?6sa304e>5<6sA;9>>5rn2344?6=9rB:>?=4}o1234<728qC=?<<;|l0524=83;pD<<=3:m7414290:wE?=229~j670<3:1=vF>2318yk56?<0;6<uG1300?xh49><1<7?tH0017>{i;8=<6=4>{I3166=zf:;<47>51zJ2675<ug9:;44?:0yK57443td8=:o50;3xL445;2we?<9m:182M75::1vb>?8c;295~N6:;90qc=>7e83>4}O9;887p`<16g94?7|@889?6sa305e>5<6sA;9>>5rn23;4?6=9rB:>?=4}o12<4<728qC=?<<;|l05=4=83;pD<<=3:m74>4290:wE?=229~j67?<3:1=vF>2318yk560<0;6<uG1300?xh491<1<7?tH0017>{i;82<6=4>{I3166=zf:;347>51zJ2675<ug9:444?:0yK57443td8=5o50;3xL445;2we?<6m:182M75::1vb>?7c;295~N6:;90qc=>8e83>4}O9;887p`<19g94?7|@889?6sa30:e>5<6sA;9>>5rn23:4?6=9rB:>?=4}o12=4<728qC=?<<;|l05<4=83;pD<<=3:m74?4290:wE?=229~j67><3:1=vF>2318yk561<0;6<uG1300?xh490<1<7?tH0017>{i;83<6=4>{I3166=zf:;247>51zJ2675<ug9:544?:0yK57443td8=4o50;3xL445;2we?<7m:182M75::1vb>?6c;295~N6:;90qc=>9e83>4}O9;887p`<18g94?7|@889?6sa30;e>5<6sA;9>>5rn23b4?6=9rB:>?=4}o12e4<728qC=?<<;|l05d4=83;pD<<=3:m74g4290:wE?=229~j67f<3:1=vF>2318yk56i<0;6<uG1300?xh49h<1<7?tH0017>{i;8k<6=4>{I3166=zf:;j47>51zJ2675<ug9:m44?:0yK57443td8=lo50;3xL445;2we?<om:182M75::1vb>?nc;295~N6:;90qc=>ae83>4}O9;887p`<1`g94?7|@889?6sa30ce>5<6sA;9>>5rn23a4?6=9rB:>?=4}o12f4<728qC=?<<;|l05g4=83;pD<<=3:m74d4290:wE?=229~j67e<3:1=vF>2318yk56j<0;6<uG1300?xh49k<1<7?tH0017>{i;8h<6=4>{I3166=zf:;i47>51zJ2675<ug9:n44?:0yK57443td8=oo50;3xL445;2we?<lm:182M75::1vb>?mc;295~N6:;90qc=>be83>4}O9;887p`<1cg94?7|@889?6sa30`e>5<6sA;9>>5rn23`4?6=9rB:>?=4}o12g4<728qC=?<<;|l05f4=83;pD<<=3:m74e4290:wE?=229~j67d<3:1=vF>2318yk56k<0;6<uG1300?xh49j<1<7?tH0017>{i;8i<6=4>{I3166=zf:;h47>51zJ2675<ug9:o44?:0yK57443td8=no50;3xL445;2we?<mm:182M75::1vb>?lc;295~N6:;90qc=>ce83>4}O9;887p`<1bg94?7|@889?6sa30ae>5<6sA;9>>5rn23g4?6=9rB:>?=4}o12`4<728qC=?<<;|l05a4=83;pD<<=3:m74b4290:wE?=229~j67c<3:1=vF>2318yk56l<0;6<uG1300?xh49m<1<7?tH0017>{i;8n<6=4>{I3166=zf:;o47>51zJ2675<ug9:h44?:0yK57443td8=io50;3xL445;2we?<jm:182M75::1vb>?kc;295~N6:;90qc=>de83>4}O9;887p`<1eg94?7|@889?6sa30fe>5<6sA;9>>5rn23f4?6=9rB:>?=4}o12a4<728qC=?<<;|l05`4=83;pD<<=3:m74c4290:wE?=229~j67b<3:1=vF>2318yk56m<0;6<uG1300?xh49l<1<7?tH0017>{i;8o<6=4>{I3166=zf:;n47>51zJ2675<ug9:i44?:0yK57443td8=ho50;3xL445;2we?<km:182M75::1vb>?jc;295~N6:;90qc=>ee83>4}O9;887p`<1dg94?7|@889?6sa30ge>5<6sA;9>>5rn23e4?6=9rB:>?=4}o12b4<728qC=?<<;|l05c4=83;pD<<=3:m74`4290:wE?=229~j67a<3:1=vF>2318yk56n<0;6<uG1300?xh49o<1<7?tH0017>{i;8l<6=4>{I3166=zf:;m47>51zJ2675<ug9:j44?:0yK57443td8=ko50;3xL445;2we?<hm:182M75::1vb>?ic;295~N6:;90qc=>fe83>4}O9;887p`<1gg94?7|@889?6sa30de>5<6sA;9>>5rn2034?6=9rB:>?=4}o1144<728qC=?<<;|l0654=83;pD<<=3:m7764290:wE?=229~j647<3:1=vF>2318yk558<0;6<uG1300?xh4:9<1<7?tH0017>{i;;:<6=4>{I3166=zf:8;47>51zJ2675<ug99<44?:0yK57443td8>=o50;3xL445;2we??>m:182M75::1vb><?c;295~N6:;90qc==0e83>4}O9;887p`<21g94?7|@889?6sa332e>5<6sA;9>>5rn2024?6=9rB:>?=4}o1154<728qC=?<<;|l0644=83;pD<<=3:m7774290:wE?=229~j646<3:1=vF>2318yk559<0;6<uG1300?xh4:8<1<7?tH0017>{i;;;<6=4>{I3166=zf:8:47>51zJ2675<ug99=44?:0yK57443td8><o50;3xL445;2we???m:182M75::1vb><>c;295~N6:;90qc==1e83>4}O9;887p`<20g94?7|@889?6sa333e>5<6sA;9>>5rn2014?6=9rB:>?=4}o1164<728qC=?<<;|l0674=83;pD<<=3:m7744290:wE?=229~j645<3:1=vF>2318yk55:<0;6<uG1300?xh4:;<1<7?tH0017>{i;;8<6=4>{I3166=zf:8947>51zJ2675<ug99>44?:0yK57443td8>?o50;3xL445;2we??<m:182M75::1vb><=c;295~N6:;90qc==2e83>4}O9;887p`<23g94?7|@889?6sa330e>5<6sA;9>>5rn2004?6=9rB:>?=4}o1174<728qC=?<<;|l0664=83;pD<<=3:m7754290:wE?=229~j644<3:1=vF>2318yk55;<0;6<uG1300?xh4::<1<7?tH0017>{i;;9<6=4>{I3166=zf:8847>51zJ2675<ug99?44?:0yK57443td8>>o50;3xL445;2we??=m:182M75::1vb><<c;295~N6:;90qc==3e83>4}O9;887p`<22g94?7|@889?6sa331e>5<6sA;9>>5rn2074?6=9rB:>?=4}o1104<728qC=?<<;|l0614=83;pD<<=3:m7724290:wE?=229~j643<3:1=vF>2318yk55<<0;6<uG1300?xh4:=<1<7?tH0017>{i;;><6=4>{I3166=zf:8?47>51zJ2675<ug99844?:0yK57443td8>9o50;3xL445;2we??:m:182M75::1vb><;c;295~N6:;90qc==4e83>4}O9;887p`<25g94?7|@889?6sa336e>5<6sA;9>>5rn2064?6=9rB:>?=4}o1114<728qC=?<<;|l0604=83;pD<<=3:m7734290:wE?=229~j642<3:1=vF>2318yk55=<0;6<uG1300?xh4:<<1<7?tH0017>{i;;?<6=4>{I3166=zf:8>47>51zJ2675<ug99944?:0yK57443td8>8o50;3xL445;2we??;m:182M75::1vb><:c;295~N6:;90qc==5e83>4}O9;887p`<24g94?7|@889?6sa337e>5<6sA;9>>5rn2054?6=9rB:>?=4}o1124<728qC=?<<;|l0634=83;pD<<=3:m7704290:wE?=229~j641<3:1=vF>2318yk55><0;6<uG1300?xh4:?<1<7?tH0017>{i;;<<6=4>{I3166=zf:8=47>51zJ2675<ug99:44?:0yK57443td8>;o50;3xL445;2we??8m:182M75::1vb><9c;295~N6:;90qc==6e83>4}O9;887p`<27g94?7|@889?6sa334e>5<6sA;9>>5rn2044?6=9rB:>?=4}o1134<728qC=?<<;|l0624=83;pD<<=3:m7714290:wE?=229~j640<3:1=vF>2318yk55?<0;6<uG1300?xh4:><1<7?tH0017>{i;;=<6=4>{I3166=zf:8<47>51zJ2675<ug99;44?:0yK57443td8>:o50;3xL445;2we??9m:182M75::1vb><8c;295~N6:;90qc==7e83>4}O9;887p`<26g94?7|@889?6sa335e>5<6sA;9>>5rn20;4?6=9rB:>?=4}o11<4<728qC=?<<;|l06=4=83;pD<<=3:m77>4290:wE?=229~j64?<3:1=vF>2318yk550<0;6<uG1300?xh4:1<1<7?tH0017>{i;;2<6=4>{I3166=zf:8347>51zJ2675<ug99444?:0yK57443td8>5o50;3xL445;2we??6m:182M75::1vb><7c;295~N6:;90qc==8e83>4}O9;887p`<29g94?7|@889?6sa33:e>5<6sA;9>>5rn20:4?6=9rB:>?=4}o11=4<728qC=?<<;|l06<4=83;pD<<=3:m77?4290:wE?=229~j64><3:1=vF>2318yk551<0;6<uG1300?xh4:0<1<7?tH0017>{i;;3<6=4>{I3166=zf:8247>51zJ2675<ug99544?:0yK57443td8>4o50;3xL445;2we??7m:182M75::1vb><6c;295~N6:;90qc==9e83>4}O9;887p`<28g94?7|@889?6sa33;e>5<6sA;9>>5rn20b4?6=9rB:>?=4}o11e4<728qC=?<<;|l06d4=83;pD<<=3:m77g4290:wE?=229~j64f<3:1=vF>2318yk55i<0;6<uG1300?xh4:h<1<7?tH0017>{i;;k<6=4>{I3166=zf:8j47>51zJ2675<ug99m44?:0yK57443td8>lo50;3xL445;2we??om:182M75::1vb><nc;295~N6:;90qc==ae83>4}O9;887p`<2`g94?7|@889?6sa33ce>5<6sA;9>>5rn20a4?6=9rB:>?=4}o11f4<728qC=?<<;|l06g4=83;pD<<=3:m77d4290:wE?=229~j64e<3:1=vF>2318yk55j<0;6<uG1300?xh4:k<1<7?tH0017>{i;;h<6=4>{I3166=zf:8i47>51zJ2675<ug99n44?:0yK57443td8>oo50;3xL445;2we??lm:182M75::1vb><mc;295~N6:;90qc==be83>4}O9;887p`<2cg94?7|@889?6sa33`e>5<6sA;9>>5rn20`4?6=9rB:>?=4}o11g4<728qC=?<<;|l06f4=83;pD<<=3:m77e4290:wE?=229~j64d<3:1=vF>2318yk55k<0;6<uG1300?xh4:j<1<7?tH0017>{i;;i<6=4>{I3166=zf:8h47>51zJ2675<ug99o44?:0yK57443td8>no50;3xL445;2we??mm:182M75::1vb><lc;295~N6:;90qc==ce83>4}O9;887p`<2bg94?7|@889?6sa33ae>5<6sA;9>>5rn20g4?6=9rB:>?=4}o11`4<728qC=?<<;|l06a4=83;pD<<=3:m77b4290:wE?=229~j64c<3:1=vF>2318yk55l<0;6<uG1300?xh4:m<1<7?tH0017>{i;;n<6=4>{I3166=zf:8o47>51zJ2675<ug99h44?:0yK57443td8>io50;3xL445;2we??jm:182M75::1vb><kc;295~N6:;90qc==de83>4}O9;887p`<2eg94?7|@889?6sa33fe>5<6sA;9>>5rn20f4?6=9rB:>?=4}o11a4<728qC=?<<;|l06`4=83;pD<<=3:m77c4290:wE?=229~j64b<3:1=vF>2318yk55m<0;6<uG1300?xh4:l<1<7?tH0017>{i;;o<6=4>{I3166=zf:8n47>51zJ2675<ug99i44?:0yK57443td8>ho50;3xL445;2we??km:182M75::1vb><jc;295~N6:;90qc==ee83>4}O9;887p`<2dg94?7|@889?6sa33ge>5<6sA;9>>5rn20e4?6=9rB:>?=4}o11b4<728qC=?<<;|l06c4=83;pD<<=3:m77`4290:wE?=229~j64a<3:1=vF>2318yk55n<0;6<uG1300?xh4:o<1<7?tH0017>{i;;l<6=4>{I3166=zf:8m47>51zJ2675<ug99j44?:0yK57443td8>ko50;3xL445;2we??hm:182M75::1vb><ic;295~N6:;90qc==fe83>4}O9;887p`<2gg94?7|@889?6sa33de>5<6sA;9>>5rn2134?6=9rB:>?=4}o1044<728qC=?<<;|l0754=83;pD<<=3:m7664290:wE?=229~j657<3:1=vF>2318yk548<0;6<uG1300?xh4;9<1<7?tH0017>{i;::<6=4>{I3166=zf:9;47>51zJ2675<ug98<44?:0yK57443td8?=o50;3xL445;2we?>>m:182M75::1vb>=?c;295~N6:;90qc=<0e83>4}O9;887p`<31g94?7|@889?6sa322e>5<6sA;9>>5rn2124?6=9rB:>?=4}o1054<728qC=?<<;|l0744=83;pD<<=3:m7674290:wE?=229~j656<3:1=vF>2318yk549<0;6<uG1300?xh4;8<1<7?tH0017>{i;:;<6=4>{I3166=zf:9:47>51zJ2675<ug98=44?:0yK57443td8?<o50;3xL445;2we?>?m:182M75::1vb>=>c;295~N6:;90qc=<1e83>4}O9;887p`<30g94?7|@889?6sa323e>5<6sA;9>>5rn2114?6=9rB:>?=4}o1064<728qC=?<<;|l0774=83;pD<<=3:m7644290:wE?=229~j655<3:1=vF>2318yk54:<0;6<uG1300?xh4;;<1<7?tH0017>{i;:8<6=4>{I3166=zf:9947>51zJ2675<ug98>44?:0yK57443td8??o50;3xL445;2we?><m:182M75::1vb>==c;295~N6:;90qc=<2e83>4}O9;887p`<33g94?7|@889?6sa320e>5<6sA;9>>5rn2104?6=9rB:>?=4}o1074<728qC=?<<;|l0764=83;pD<<=3:m7654290:wE?=229~j654<3:1=vF>2318yk54;<0;6<uG1300?xh4;:<1<7?tH0017>{i;:9<6=4>{I3166=zf:9847>51zJ2675<ug98?44?:0yK57443td8?>o50;3xL445;2we?>=m:182M75::1vb>=<c;295~N6:;90qc=<3e83>4}O9;887p`<32g94?7|@889?6sa321e>5<6sA;9>>5rn2174?6=9rB:>?=4}o1004<728qC=?<<;|l0714=83;pD<<=3:m7624290:wE?=229~j653<3:1=vF>2318yk54<<0;6<uG1300?xh4;=<1<7?tH0017>{i;:><6=4>{I3166=zf:9?47>51zJ2675<ug98844?:0yK57443td8?9o50;3xL445;2we?>:m:182M75::1vb>=;c;295~N6:;90qc=<4e83>4}O9;887p`<35g94?7|@889?6sa326e>5<6sA;9>>5rn2164?6=9rB:>?=4}o1014<728qC=?<<;|l0704=83;pD<<=3:m7634290:wE?=229~j652<3:1=vF>2318yk54=<0;6<uG1300?xh4;<<1<7?tH0017>{i;:?<6=4>{I3166=zf:9>47>51zJ2675<ug98944?:0yK57443td8?8o50;3xL445;2we?>;m:182M75::1vb>=:c;295~N6:;90qc=<5e83>4}O9;887p`<34g94?7|@889?6sa327e>5<6sA;9>>5rn2154?6=9rB:>?=4}o1024<728qC=?<<;|l0734=83;pD<<=3:m7604290:wE?=229~j651<3:1=vF>2318yk54><0;6<uG1300?xh4;?<1<7?tH0017>{i;:<<6=4>{I3166=zf:9=47>51zJ2675<ug98:44?:0yK57443td8?;o50;3xL445;2we?>8m:182M75::1vb>=9c;295~N6:;90qc=<6e83>4}O9;887p`<37g94?7|@889?6sa324e>5<6sA;9>>5rn2144?6=9rB:>?=4}o1034<728qC=?<<;|l0724=83;pD<<=3:m7614290:wE?=229~j650<3:1=vF>2318yk54?<0;6<uG1300?xh4;><1<7?tH0017>{i;:=<6=4>{I3166=zf:9<47>51zJ2675<ug98;44?:0yK57443td8?:o50;3xL445;2we?>9m:182M75::1vb>=8c;295~N6:;90qc=<7e83>4}O9;887p`<36g94?7|@889?6sa325e>5<6sA;9>>5rn21;4?6=9rB:>?=4}o10<4<728qC=?<<;|l07=4=83;pD<<=3:m76>4290:wE?=229~j65?<3:1=vF>2318yk540<0;6<uG1300?xh4;1<1<7?tH0017>{i;:2<6=4>{I3166=zf:9347>51zJ2675<ug98444?:0yK57443td8?5o50;3xL445;2we?>6m:182M75::1vb>=7c;295~N6:;90qc=<8e83>4}O9;887p`<39g94?7|@889?6sa32:e>5<6sA;9>>5rn21:4?6=9rB:>?=4}o10=4<728qC=?<<;|l07<4=83;pD<<=3:m76?4290:wE?=229~j65><3:1=vF>2318yk541<0;6<uG1300?xh4;0<1<7?tH0017>{i;:3<6=4>{I3166=zf:9247>51zJ2675<ug98544?:0yK57443td8?4o50;3xL445;2we?>7m:182M75::1vb>=6c;295~N6:;90qc=<9e83>4}O9;887p`<38g94?7|@889?6sa32;e>5<6sA;9>>5rn21b4?6=9rB:>?=4}o10e4<728qC=?<<;|l07d4=83;pD<<=3:m76g4290:wE?=229~j65f<3:1=vF>2318yk54i<0;6<uG1300?xh4;h<1<7?tH0017>{i;:k<6=4>{I3166=zf:9j47>51zJ2675<ug98m44?:0yK57443td8?lo50;3xL445;2we?>om:182M75::1vb>=nc;295~N6:;90qc=<ae83>4}O9;887p`<3`g94?7|@889?6sa32ce>5<6sA;9>>5rn21a4?6=9rB:>?=4}o10f4<728qC=?<<;|l07g4=83;pD<<=3:m76d4290:wE?=229~j65e<3:1=vF>2318yk54j<0;6<uG1300?xh4;k<1<7?tH0017>{i;:h<6=4>{I3166=zf:9i47>51zJ2675<ug98n44?:0yK57443td8?oo50;3xL445;2we?>lm:182M75::1vb>=mc;295~N6:;90qc=<be83>4}O9;887p`<3cg94?7|@889?6sa32`e>5<6sA;9>>5rn21`4?6=9rB:>?=4}o10g4<728qC=?<<;|l07f4=83;pD<<=3:m76e4290:wE?=229~j65d<3:1=vF>2318yk54k<0;6<uG1300?xh4;j<1<7?tH0017>{i;:i<6=4>{I3166=zf:9h47>51zJ2675<ug98o44?:0yK57443td8?no50;3xL445;2we?>mm:182M75::1vb>=lc;295~N6:;90qc=<ce83>4}O9;887p`<3bg94?7|@889?6sa32ae>5<6sA;9>>5rn21g4?6=9rB:>?=4}o10`4<728qC=?<<;|l07a4=83;pD<<=3:m76b4290:wE?=229~j65c<3:1=vF>2318yk54l<0;6<uG1300?xh4;m<1<7?tH0017>{i;:n<6=4>{I3166=zf:9o47>51zJ2675<ug98h44?:0yK57443td8?io50;3xL445;2we?>jm:182M75::1vb>=kc;295~N6:;90qc=<de83>4}O9;887p`<3eg94?7|@889?6sa32fe>5<6sA;9>>5rn21f4?6=9rB:>?=4}o10a4<728qC=?<<;|l07`4=83;pD<<=3:m76c4290:wE?=229~j65b<3:1=vF>2318yk54m<0;6<uG1300?xh4;l<1<7?tH0017>{i;:o<6=4>{I3166=zf:9n47>51zJ2675<ug98i44?:0yK57443td8?ho50;3xL445;2we?>km:182M75::1vb>=jc;295~N6:;90qc=<ee83>4}O9;887p`<3dg94?7|@889?6sa32ge>5<6sA;9>>5rn21e4?6=9rB:>?=4}o10b4<728qC=?<<;|l07c4=83;pD<<=3:m76`4290:wE?=229~j65a<3:1=vF>2318yk54n<0;6<uG1300?xh4;o<1<7?tH0017>{i;:l<6=4>{I3166=zf:9m47>51zJ2675<ug98j44?:0yK57443td8?ko50;3xL445;2we?>hm:182M75::1vb>=ic;295~N6:;90qc=<fe83>4}O9;887p`<3gg94?7|@889?6sa32de>5<6sA;9>>5rn2634?6=9rB:>?=4}o1744<728qC=?<<;|l0054=83;pD<<=3:m7164290:wE?=229~j627<3:1=vF>2318yk538<0;6<uG1300?xh4<9<1<7?tH0017>{i;=:<6=4>{I3166=zf:>;47>51zJ2675<ug9?<44?:0yK57443td88=o50;3xL445;2we?9>m:182M75::1vb>:?c;295~N6:;90qc=;0e83>4}O9;887p`<41g94?7|@889?6sa352e>5<6sA;9>>5rn2624?6=9rB:>?=4}o1754<728qC=?<<;|l0044=83;pD<<=3:m7174290:wE?=229~j626<3:1=vF>2318yk539<0;6<uG1300?xh4<8<1<7?tH0017>{i;=;<6=4>{I3166=zf:>:47>51zJ2675<ug9?=44?:0yK57443td88<o50;3xL445;2we?9?m:182M75::1vb>:>c;295~N6:;90qc=;1e83>4}O9;887p`<40g94?7|@889?6sa353e>5<6sA;9>>5rn2614?6=9rB:>?=4}o1764<728qC=?<<;|l0074=83;pD<<=3:m7144290:wE?=229~j625<3:1=vF>2318yk53:<0;6<uG1300?xh4<;<1<7?tH0017>{i;=8<6=4>{I3166=zf:>947>51zJ2675<ug9?>44?:0yK57443td88?o50;3xL445;2we?9<m:182M75::1vb>:=c;295~N6:;90qc=;2e83>4}O9;887p`<43g94?7|@889?6sa350e>5<6sA;9>>5rn2604?6=9rB:>?=4}o1774<728qC=?<<;|l0064=83;pD<<=3:m7154290:wE?=229~j624<3:1=vF>2318yk53;<0;6<uG1300?xh4<:<1<7?tH0017>{i;=9<6=4>{I3166=zf:>847>51zJ2675<ug9??44?:0yK57443td88>o50;3xL445;2we?9=m:182M75::1vb>:<c;295~N6:;90qc=;3e83>4}O9;887p`<42g94?7|@889?6sa351e>5<6sA;9>>5rn2674?6=9rB:>?=4}o1704<728qC=?<<;|l0014=83;pD<<=3:m7124290:wE?=229~j623<3:1=vF>2318yk53<<0;6<uG1300?xh4<=<1<7?tH0017>{i;=><6=4>{I3166=zf:>?47>51zJ2675<ug9?844?:0yK57443td889o50;3xL445;2we?9:m:182M75::1vb>:;c;295~N6:;90qc=;4e83>4}O9;887p`<45g94?7|@889?6sa356e>5<6sA;9>>5rn2664?6=9rB:>?=4}o1714<728qC=?<<;|l0004=83;pD<<=3:m7134290:wE?=229~j622<3:1=vF>2318yk53=<0;6<uG1300?xh4<<<1<7?tH0017>{i;=?<6=4>{I3166=zf:>>47>51zJ2675<ug9?944?:0yK57443td888o50;3xL445;2we?9;m:182M75::1vb>::c;295~N6:;90qc=;5e83>4}O9;887p`<44g94?7|@889?6sa357e>5<6sA;9>>5rn2654?6=9rB:>?=4}o1724<728qC=?<<;|l0034=83;pD<<=3:m7104290:wE?=229~j621<3:1=vF>2318yk53><0;6<uG1300?xh4<?<1<7?tH0017>{i;=<<6=4>{I3166=zf:>=47>51zJ2675<ug9?:44?:0yK57443td88;o50;3xL445;2we?98m:182M75::1vb>:9c;295~N6:;90qc=;6e83>4}O9;887p`<47g94?7|@889?6sa354e>5<6sA;9>>5rn2644?6=9rB:>?=4}o1734<728qC=?<<;|l0024=83;pD<<=3:m7114290:wE?=229~j620<3:1=vF>2318yk53?<0;6<uG1300?xh4<><1<7?tH0017>{i;==<6=4>{I3166=zf:><47>51zJ2675<ug9?;44?:0yK57443td88:o50;3xL445;2we?99m:182M75::1vb>:8c;295~N6:;90qc=;7e83>4}O9;887p`<46g94?7|@889?6sa355e>5<6sA;9>>5rn26;4?6=9rB:>?=4}o17<4<728qC=?<<;|l00=4=83;pD<<=3:m71>4290:wE?=229~j62?<3:1=vF>2318yk530<0;6<uG1300?xh4<1<1<7?tH0017>{i;=2<6=4>{I3166=zf:>347>51zJ2675<ug9?444?:0yK57443td885o50;3xL445;2we?96m:182M75::1vb>:7c;295~N6:;90qc=;8e83>4}O9;887p`<49g94?7|@889?6sa35:e>5<6sA;9>>5rn26:4?6=9rB:>?=4}o17=4<728qC=?<<;|l00<4=83;pD<<=3:m71?4290:wE?=229~j62><3:1=vF>2318yk531<0;6<uG1300?xh4<0<1<7?tH0017>{i;=3<6=4>{I3166=zf:>247>51zJ2675<ug9?544?:0yK57443td884o50;3xL445;2we?97m:182M75::1vb>:6c;295~N6:;90qc=;9e83>4}O9;887p`<48g94?7|@889?6sa35;e>5<6sA;9>>5rn26b4?6=9rB:>?=4}o17e4<728qC=?<<;|l00d4=83;pD<<=3:m71g4290:wE?=229~j62f<3:1=vF>2318yk53i<0;6<uG1300?xh4<h<1<7?tH0017>{i;=k<6=4>{I3166=zf:>j47>51zJ2675<ug9?m44?:0yK57443td88lo50;3xL445;2we?9om:182M75::1vb>:nc;295~N6:;90qc=;ae83>4}O9;887p`<4`g94?7|@889?6sa35ce>5<6sA;9>>5rn26a4?6=9rB:>?=4}o17f4<728qC=?<<;|l00g4=83;pD<<=3:m71d4290:wE?=229~j62e<3:1=vF>2318yk53j<0;6<uG1300?xh4<k<1<7?tH0017>{i;=h<6=4>{I3166=zf:>i47>51zJ2675<ug9?n44?:0yK57443td88oo50;3xL445;2we?9lm:182M75::1vb>:mc;295~N6:;90qc=;be83>4}O9;887p`<4cg94?7|@889?6sa35`e>5<6sA;9>>5rn26`4?6=9rB:>?=4}o17g4<728qC=?<<;|l00f4=83;pD<<=3:m71e4290:wE?=229~j62d<3:1=vF>2318yk53k<0;6<uG1300?xh4<j<1<7?tH0017>{i;=i<6=4>{I3166=zf:>h47>51zJ2675<ug9?o44?:0yK57443td88no50;3xL445;2we?9mm:182M75::1vb>:lc;295~N6:;90qc=;ce83>4}O9;887p`<4bg94?7|@889?6sa35ae>5<6sA;9>>5rn26g4?6=9rB:>?=4}o17`4<728qC=?<<;|l00a4=83;pD<<=3:m71b4290:wE?=229~j62c<3:1=vF>2318yk53l<0;6<uG1300?xh4<m<1<7?tH0017>{i;=n<6=4>{I3166=zf:>o47>51zJ2675<ug9?h44?:0yK57443td88io50;3xL445;2we?9jm:182M75::1vb>:kc;295~N6:;90qc=;de83>4}O9;887p`<4eg94?7|@889?6sa35fe>5<6sA;9>>5rn26f4?6=9rB:>?=4}o17a4<728qC=?<<;|l00`4=83;pD<<=3:m71c4290:wE?=229~j62b<3:1=vF>2318yk53m<0;6<uG1300?xh4<l<1<7?tH0017>{zutJKOv=j0c863fc>?k>vLMLt0|BCT~{GH
/trunk/rtl/xilinx/coregen/chipscope_vio_trig.xco
0,0 → 1,59
##############################################################
#
# Xilinx Core Generator version 14.2
# Date: Tue Nov 20 02:37:14 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_vio:1.05.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT VIO_(ChipScope_Pro_-_Virtual_Input/Output) family Xilinx,_Inc. 1.05.a
# END Select
# BEGIN Parameters
CSET asynchronous_input_port_width=8
CSET asynchronous_output_port_width=8
CSET component_name=chipscope_vio_trig
CSET constraint_type=external
CSET enable_asynchronous_input_port=false
CSET enable_asynchronous_output_port=false
CSET enable_synchronous_input_port=false
CSET enable_synchronous_output_port=true
CSET example_design=true
CSET invert_clock_input=false
CSET synchronous_input_port_width=8
CSET synchronous_output_port_width=66
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-07-21T03:12:17Z
# END Extra information
GENERATE
# CRC: fa7ecb23
/trunk/rtl/xilinx/coregen/chipscope_vio_mask.v
0,0 → 1,31
///////////////////////////////////////////////////////////////////////////////
// Copyright (c) 2012 Xilinx, Inc.
// All Rights Reserved
///////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 14.2
// \ \ Application: Xilinx CORE Generator
// / / Filename : chipscope_vio_mask.v
 
// \ \ / \
// \___\/\___\
//
// Design Name: Verilog Synthesis Wrapper
///////////////////////////////////////////////////////////////////////////////
// This wrapper is used to integrate with Project Navigator and PlanAhead
 
`timescale 1ns/1ps
 
module chipscope_vio_mask(
CONTROL,
CLK,
SYNC_OUT);
 
 
inout [35 : 0] CONTROL;
input CLK;
output [39 : 0] SYNC_OUT;
 
endmodule
/trunk/rtl/xilinx/coregen/chipscope_vio_mask.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2237=*981;86>?01684565<2::<<:407335>433;;;<<84378JJJSS2JEEX_AC<2794;7?3=:1CXZ_UU8P]KEXG\^7?44?>594BC@682=MJKHIFGDEBC@ANO;049570121?D653H;97L<=;@1;?D@FKH9J<55MUR]JJCI63J80OL<4CD31?FNBKBUGENKASD]W]UC53JC87NBD4:AOO50<KEA:4=84CMI1353<KEA9T55LLJ0[5=623JF@5=;4CMIB52=DDBK:H?94CMIB5A203JF@M<J75:AOOG723JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:87NB]9:ALIHOS\LNj7NAATSMO858e3JEEX_AC<02=f>EHF]XD@1?>>c9@KKRUGE6:>3l4CNLWVJJ;9:4i7NAATSMO8429j2IDBY\@L=36:g=DGG^YCA2>6?`8GJHSZFF7=:0m;BMMPWIK4825n6M@NUPLH97>6h1HCCZ]OM>2:g=DGG^YCA2=0?`8GJHSZFF7><0m;BMMPWIK4;85n6M@NUPLH9446k1HCCZ]OM>10;d<KFD_^BB324<a?FII\[EG0?81b:ALJQTHD58<2o5LOOVQKI:507h0OB@[RNN?6<8f3JEEX_AC<3<a?FII\[EG0>>1b:ALJQTHD59:2o5LOOVQKI:4:7h0OB@[RNN?768e3JEEX_AC<26=`>EHF]XD@1=::1<a?FII\[EG0>;1a:ALJQTHD595m6M@NUPLH929i2IDBY\@L=7=e>EHF]XD@181a:ALJQTHD5=5m6M@NUPLH9>9i2IDBY\@L=;=51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG5>B53MC?7H<?9028BA12J09:IN8;A9037>@CK=1MHNK<;GFF7>@CZ=1MH_K>0:DEBC@ANOLMJKHIFD38C6=@FM;0E?5F039J57=N:;1B??5F439J1<=NF@^XXLZJ3:KMR7=KA;1GC;5COBIF@2=KGNCHMA:4LTV20>JR\;>0@XZ<4:NVP12<D\^>86BZT758IP^DQVF97C>=;O34?KCS_FX@=6A>7:MSPLKNRLU[^DCFTHTFWZH@K81[46^!29zg[I2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA<=WCVBGYY@B6:RP@JHB92[87\?:1:P2?V763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL<0_B[]CD58WWJD9?O=7^ZNTTQa?V_IKVE^X1>1c:QZJFYH]]6:<3m4SXL@[JSS48;5o6]VNB]LQQ:6:7i0_T@L_NWW8459k2YRBNQ@UU>20;e<[PDHSB[[<07=g>U^FJUDYY2>6?a8W\HDWF__0<91c:QZJFYH]]6:43m4SXL@[JSS4835n6]VNB]LQQ:66j1XUCMPOTV?658d3ZSEORAZT=02:f=TQGITCXZ323<`?V_IKVE^X1<<>b9P]KEXG\^7>90l;R[MGZIR\58>2n5\YOA\KPR;:?4h7^WAC^MVP9406j1XUCMPOTV?6=8d3ZSEORAZT=0::g=TQGITCXZ32?a8W\HDWF__0>>1c:QZJFYH]]68=3m4SXL@[JSS4:85o6]VNB]LQQ:4;7i0_T@L_NWW8629k2YRBNQ@UU>01;e<[PDHSB[[<24=g>U^FJUDYY2<7?a8W\HDWF__0>61e:QZJFYH]]6857>1c:QZJFYH]]6853l4SXL@[JSS4:4i7^WAC^MVP929j2YRBNQ@UU>6:g=TQGITCXZ36?`8W\HDWF__0:0m;R[MGZIR\525n6]VNB]LQQ:>6=1_U]K>2:W3+LYQAF%J^@Qumqf57=R8&CTZDA D@VB[AIR\:?0Y=!F_WKL+BCIWZSEORAZTZ2^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3g8Q5)NW_CD#JKA_R[MGZIR\R:V"^WAC^MVPZEBDE%GYYQ@UU16?P6(AV\BC"IJN^QZJFYH]]Q;Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey8m7X> I^TJK*ABFVYRBNQ@UUY3Y+U^FJUDYYQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_NWW_5[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]68T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT11_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ33Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q:<P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV??]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[03^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R;:Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P=<S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_47Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\98W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q:>P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX57[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^75U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU>2\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ31Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_45Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV?<]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT12_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS89V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P=>S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU>4\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\9=W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R;?Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY20X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^73U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS8?V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ36Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX50[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W<;R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT14_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY22X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P=;S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV?9]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]6>T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R;=Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W<9R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^70U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\9>W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[05^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX52[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]60T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT19_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ3;Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q:4P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV?7]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[0;^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R;2Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P=4S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_4?Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\90W%_T@L_NWW[FCKD&e~xRzjmq16?P6(AV\BC"IJN^QZJFYH]]Q:Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8n7X> I^TJK*ABFVYRBNQ@UUY2Y+U^FJUDYYQLEMN,HPRXG\^896[?/H]UMJ)@MGUXUCMPOTVX5X(TQGITCXZPCDNO+VNNN]UDYYQ{elr1b>S7'@U]EB!HEO]P]KEXG\^P=P \YOA\KPRXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVE^XV?R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT21_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS;:V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q9<P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX65[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]58T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R8:Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY15X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_77Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV<>]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[33^*V_IKVE^XRMJLM-lqqYsmdz8:6[?/H]UMJ)@MGUXUCMPOTVX67[)[PDHSB[[_BGOH*OX[[FTX? Z_RPO6c=R8&CTZDA GDL\W\HDWF__W?<R.R[MGZIR\VIN@A!CUU]LQQ513\:$ERXFO.EFJZU^FJUDYYU=2\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~<0:W3+LYQAF%LICQ\YOA\KPR\:;W%_T@L_NWW[FCKD&_XI_Q]EF13?P6(AV\BC"IJN^QZJFYH]]Q9>P \YOA\KPRXKLFG#b{{_ugnt60<]9%BS[G@/FGM[V_IKVE^XV<<]/QZJFYH]]UHIAB I^QQHZR5&\UX^A<i;T2,MZPNG&MNBR]VNB]LQQ]5;T$XUCMPOTV\G@JK'E__SB[[379V4*OX^@E$KH@PSXL@[JSSS;9V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx::0Y=!F_WKL+BCIWZSEORAZTZ00Y+U^FJUDYYQLEMN,QVCUW[OL?=5Z0.K\RLI(OLDT_T@L_NWW_75Z&ZSEORAZT^AFHI)h}}Ui`~<6:W3+LYQAF%LICQ\YOA\KPR\:=W%_T@L_NWW[FCKD&CT__BPT3,V[VTK:o1^<"GPVHM,C@HX[PDHSB[[[36^*V_IKVE^XRMJLM-OQQYH]]9=7X> I^TJK*ABFVYRBNQ@UUY10X(TQGITCXZPCDNO+VNNN]UDYYQ{elr04>S7'@U]EB!HEO]P]KEXG\^P>9S!SXL@[JSSWJOG@"[\ES]QAB573\:$ERXFO.EFJZU^FJUDYYU=4\,P]KEXG\^TOHBC/nww[qcjx:<0Y=!F_WKL+BCIWZSEORAZTZ06Y+U^FJUDYYQLEMN,MZUUDV^9"XQ\RM0e?P6(AV\BC"IJN^QZJFYH]]Q99P \YOA\KPRXKLFG#A[[_NWW73=R8&CTZDA GDL\W\HDWF__W?;R.R[MGZIR\VIN@A!\HHDW[JSSW}of|>>4U1-J[SOH'NOES^WAC^MVP^42U'YRBNQ@UU]@AIJ(]ZOYS_KH319V4*OX^@E$KH@PSXL@[JSSS;?V"^WAC^MVPZEBDE%dyyQ{elr02>S7'@U]EB!HEO]P]KEXG\^P>;S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>k5Z0.K\RLI(OLDT_T@L_NWW_70Z&ZSEORAZT^AFHI)K]]UDYY=9;T2,MZPNG&MNBR]VNB]LQQ]5>T$XUCMPOTV\G@JK'ZBBJYQ@UU]wahv482_;#DQYIN-DAKYTQGITCXZT27_-W\HDWF__SNKCL.WPAWYUMN9;7X> I^TJK*ABFVYRBNQ@UUY12X(TQGITCXZPCDNO+jssW}of|>84U1-J[SOH'NOES^WAC^MVP^40U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4a3\:$ERXFO.EFJZU^FJUDYYU=7\,P]KEXG\^TOHBC/MWW[JSS;?1^<"GPVHM,C@HX[PDHSB[[[35^*V_IKVE^XRMJLM-PLL@SWF__Sykbp228Q5)NW_CD#JKA_R[MGZIR\R8<Q#]VNB]LQQYDMEF$Y^K]_SGD75=R8&CTZDA GDL\W\HDWF__W?9R.R[MGZIR\VIN@A!`uu]wahv4>2_;#DQYIN-DAKYTQGITCXZT29_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2g9V4*OX^@E$KH@PSXL@[JSSS;2V"^WAC^MVPZEBDE%GYYQ@UU15?P6(AV\BC"IJN^QZJFYH]]Q94P \YOA\KPRXKLFG#^FFFU]LQQYsmdz8<6[?/H]UMJ)@MGUXUCMPOTVX6=[)[PDHSB[[_BGOH*STM[UYIJ=?;T2,MZPNG&MNBR]VNB]LQQ]50T$XUCMPOTV\G@JK'fSykbp248Q5)NW_CD#JKA_R[MGZIR\R82Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8m7X> I^TJK*ABFVYRBNQ@UUY1=X(TQGITCXZPCDNO+ISSWF__?;5Z0.K\RLI(OLDT_T@L_NWW_7?Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt66<]9%BS[G@/FGM[V_IKVE^XV<6]/QZJFYH]]UHIAB URGQ[WC@;91^<"GPVHM,C@HX[PDHSB[[[3;^*V_IKVE^XRMJLM-lqqYsmdz896[?/H]UMJ)@MGUXUCMPOTVX6X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1a>S7'@U]EB!HEO]P]KEXG\^P>P \YOA\KPRXKLFG#A[[_NWW70=R8&CTZDA GDL\W\HDWF__W?S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{>k5Z0.K\RLI(OLDT_T@L_NWW_7[)[PDHSB[[_BGOH*STM[UYIJ<i;T2,MZPNG&MNBR]VNB]LQQ]5U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS::V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ13Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX75[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W>>R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT31_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY05X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P?<S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV=>]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]49T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R9:Q#]VNB]LQQYDMEF$cxzPtdos73=R8&CTZDA GDL\W\HDWF__W><R.R[MGZIR\VIN@A!F_RPO[Q4)]VYY@?h4U1-J[SOH'NOES^WAC^MVP^55U'YRBNQ@UU]@AIJ(D\^TCXZ<6:W3+LYQAF%LICQ\YOA\KPR\;;W%_T@L_NWW[FCKD&YCEKZPOTV\p`kw;91^<"GPVHM,C@HX[PDHSB[[[20^*V_IKVE^XRMJLM-VW@TXZLM8<6[?/H]UMJ)@MGUXUCMPOTVX77[)[PDHSB[[_BGOH*ir|V~na}=9;T2,MZPNG&MNBR]VNB]LQQ]4;T$XUCMPOTV\G@JK'@UX^AQ[2/W\WWJ5n2_;#DQYIN-DAKYTQGITCXZT32_-W\HDWF__SNKCL.NVPZIR\:<0Y=!F_WKL+BCIWZSEORAZTZ10Y+U^FJUDYYQLEMN,WMOA\VE^XRzjmq13?P6(AV\BC"IJN^QZJFYH]]Q8?P \YOA\KPRXKLFG#X]JR^PFC66<]9%BS[G@/FGM[V_IKVE^XV=<]/QZJFYH]]UHIAB otv\p`kw;?1^<"GPVHM,C@HX[PDHSB[[[26^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3d8Q5)NW_CD#JKA_R[MGZIR\R9?Q#]VNB]LQQYDMEF$@XZPOTV02>S7'@U]EB!HEO]P]KEXG\^P?9S!SXL@[JSSWJOG@"]GIGV\KPRX|lg{?=5Z0.K\RLI(OLDT_T@L_NWW_62Z&ZSEORAZT^AFHI)R[LXT^HI<0:W3+LYQAF%LICQ\YOA\KPR\;=W%_T@L_NWW[FCKD&e~xRzjmq15?P6(AV\BC"IJN^QZJFYH]]Q89P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9j6[?/H]UMJ)@MGUXUCMPOTVX70[)[PDHSB[[_BGOH*JR\VE^X>84U1-J[SOH'NOES^WAC^MVP^52U'YRBNQ@UU]@AIJ([ACMXRAZT^vfiu573\:$ERXFO.EFJZU^FJUDYYU<5\,P]KEXG\^TOHBC/TQFVZTBO::0Y=!F_WKL+BCIWZSEORAZTZ16Y+U^FJUDYYQLEMN,kprX|lg{?;5Z0.K\RLI(OLDT_T@L_NWW_60Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7`<]9%BS[G@/FGM[V_IKVE^XV=9]/QZJFYH]]UHIAB LTV\KPR4>2_;#DQYIN-DAKYTQGITCXZT37_-W\HDWF__SNKCL.QKMCRXG\^Txhc319V4*OX^@E$KH@PSXL@[JSSS:<V"^WAC^MVPZEBDE%^_H\PRDE04>S7'@U]EB!HEO]P]KEXG\^P?;S!SXL@[JSSWJOG@"azt^vfiu513\:$ERXFO.EFJZU^FJUDYYU<7\,P]KEXG\^TOHBC/H]PVIYS:'_T__B=f:W3+LYQAF%LICQ\YOA\KPR\;>W%_T@L_NWW[FCKD&F^XRAZT248Q5)NW_CD#JKA_R[MGZIR\R9<Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey9;7X> I^TJK*ABFVYRBNQ@UUY03X(TQGITCXZPCDNO+PUBZVXNK>>4U1-J[SOH'NOES^WAC^MVP^50U'YRBNQ@UU]@AIJ(g|~Txhc379V4*OX^@E$KH@PSXL@[JSSS:2V"^WAC^MVPZEBDE%BS^\C_U0-QZUUD;l0Y=!F_WKL+BCIWZSEORAZTZ1;Y+U^FJUDYYQLEMN,HPRXG\^8:6[?/H]UMJ)@MGUXUCMPOTVX7=[)[PDHSB[[_BGOH*UOAO^TCXZPtdos75=R8&CTZDA GDL\W\HDWF__W>6R.R[MGZIR\VIN@A!ZSDP\V@A482_;#DQYIN-DAKYTQGITCXZT39_-W\HDWF__SNKCL.mvpZrbey9=7X> I^TJK*ABFVYRBNQ@UUY0=X(TQGITCXZPCDNO+LYTZEU_>#[PSSN1b>S7'@U]EB!HEO]P]KEXG\^P?4S!SXL@[JSSWJOG@"BZT^MVP60<]9%BS[G@/FGM[V_IKVE^XV=6]/QZJFYH]]UHIAB SIKEPZIR\V~na}=?;T2,MZPNG&MNBR]VNB]LQQ]41T$XUCMPOTV\G@JK'\YN^R\JG228Q5)NW_CD#JKA_R[MGZIR\R92Q#]VNB]LQQYDMEF$cxzPtdos70=R8&CTZDA GDL\W\HDWF__W>S!SXL@[JSSWJOG@"GPSSN\P7(RWZXG>h5Z0.K\RLI(OLDT_T@L_NWW_6[)[PDHSB[[_BGOH*JR\VE^X>;4U1-J[SOH'NOES^WAC^MVP^5Z&ZSEORAZT^AFHI)T@@L_SB[[_ugnt7`<]9%BS[G@/FGM[V_IKVE^XV=R.R[MGZIR\VIN@A!ZSDP\V@A5n2_;#DQYIN-DAKYTQGITCXZT3\,P]KEXG\^TOHBC/nww[qcjx:?0Y=!F_WKL+BCIWZSEORAZTZ6^*V_IKVE^XRMJLM-J[VTKW]8%YR]]L3g8Q5)NW_CD#JKA_R[MGZIR\R>V"^WAC^MVPZEBDE%GYYQ@UU16?P6(AV\BC"IJN^QZJFYH]]Q?Q#]VNB]LQQYDMEF$_EGIT^MVPZrbey8m7X> I^TJK*ABFVYRBNQ@UUY7Y+U^FJUDYYQLEMN,QVCUW[OL>k5Z0.K\RLI(OLDT_T@L_NWW_1[)[PDHSB[[_BGOH*ir|V~na}=:;T2,MZPNG&MNBR]VNB]LQQ]2U'YRBNQ@UU]@AIJ(AVYY@RZ=.T]PVI4b3\:$ERXFO.EFJZU^FJUDYYU:]/QZJFYH]]UHIAB LTV\KPR4=2_;#DQYIN-DAKYTQGITCXZT5\,P]KEXG\^TOHBC/RJJBQYH]]Ui`~=f:W3+LYQAF%LICQ\YOA\KPR\=T$XUCMPOTV\G@JK'\YN^R\JG3d8Q5)NW_CD#JKA_R[MGZIR\R?V"^WAC^MVPZEBDE%dyyQ{elr01>S7'@U]EB!HEO]P]KEXG\^P:P \YOA\KPRXKLFG#DQ\RM]W6+SX[[F9i6[?/H]UMJ)@MGUXUCMPOTVX2X(TQGITCXZPCDNO+ISSWF__?85Z0.K\RLI(OLDT_T@L_NWW_3[)[PDHSB[[_BGOH*UOAO^TCXZPtdos6c=R8&CTZDA GDL\W\HDWF__W;S!SXL@[JSSWJOG@"[\ES]QAB4a3\:$ERXFO.EFJZU^FJUDYYU9]/QZJFYH]]UHIAB otv\p`kw;<1^<"GPVHM,C@HX[PDHSB[[[6_-W\HDWF__SNKCL.K\WWJX\;$^S^\C2d9V4*OX^@E$KH@PSXL@[JSSS>W%_T@L_NWW[FCKD&F^XRAZT278Q5)NW_CD#JKA_R[MGZIR\R=V"^WAC^MVPZEBDE%XDDH[_NWW[qcjx;l0Y=!F_WKL+BCIWZSEORAZTZ5^*V_IKVE^XRMJLM-VW@TXZLM9j6[?/H]UMJ)@MGUXUCMPOTVX3X(TQGITCXZPCDNO+jssW}of|>;4U1-J[SOH'NOES^WAC^MVP^>Z&ZSEORAZT^AFHI)NWZXGSY<!U^QQH7c<]9%BS[G@/FGM[V_IKVE^XV6R.R[MGZIR\VIN@A!CUU]LQQ523\:$ERXFO.EFJZU^FJUDYYU7]/QZJFYH]]UHIAB SIKEPZIR\V~na}<i;T2,MZPNG&MNBR]VNB]LQQ]?U'YRBNQ@UU]@AIJ(]ZOYS_KH2g9V4*OX^@E$KH@PSXL@[JSSS1W%_T@L_NWW[FCKD&e~xRzjmq16?P6(AV\BC"IJN^QZJFYH]]Q2Q#]VNB]LQQYDMEF$ER]]L^V1*PYTZE8n7X> I^TJK*ABFVYRBNQ@UUY:Y+U^FJUDYYQLEMN,HPRXG\^896[?/H]UMJ)@MGUXUCMPOTVX=X(TQGITCXZPCDNO+VNNN]UDYYQ{elr1b>S7'@U]EB!HEO]P]KEXG\^P5P \YOA\KPRXKLFG#X]JR^PFC7`<]9%BS[G@/FGM[V_IKVE^XV7R.R[MGZIR\VIN@A!`uu]wahv4<2_;#DQYIN-DAKYTQGITCXZPAEFQ*V_IKVE^XROKDS-@KPHS'JC7=3=;;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ CH>1:62<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)DA595?>5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"J30?10?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"M@UOV,@979;:1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&N7>3=<;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&IDYCZ D=1=7c=R8&CTZDA GDL\W\HDWF__SLJKR/QZJFYH]]UJHI\ CNWMP*A\8T$LbE Z_LW[G\5f3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(OR:V"XQIDSG0=>S7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!LOTLW+B]7U'_T@XZ<b:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY3Y+SXPFXHU>h4U1-J[SOH'NOES^WAC^MVPZGCL[$XUCMPOTV\EABU'JE^BY!H[0_-CkN)]VG^TNW<a:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY2Y+SXNMXN?45Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT1\,V[ISS;k1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP=P Z_YMQG\5a3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR(OR8V"J`G.T]NQ]E^;h1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP>P Z_GFQA6?<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/BMVJQ)@S;W%YRBZT2`8Q5)NW_CD#JKA_R[MGZIR\VKOH_ \YOA\KPRXIMNY#NAZNU-D_7[)]VRD^NW<a:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%HCX@[/FY0Y+SXNMXN?45Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"IT3\,V[ISS;k1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&MP?P Z_YMQG\543\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.ALQKR([5:5?>5Z0.K\RLI(OLDT_T@L_NWW[DBCZ'YRBNQ@UU]B@AT(KF_EX"]31?10?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"M@UOV,W949;:1^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,GJSI\&Y7?3=>;T2,MZPNG&MNBR]VNB]LQQYFLMX%_T@L_NWW[DBCZ&NGU<Q]EF12?P6(AV\BC"IJN^QZJFYH]]UJHI\!SXL@[JSSWHNO^"JCY3]QAB4a3\:$ERXFO.EFJZU^FJUDYYQNDEP-W\HDWF__SLJKR.NVPZEB;91^<"GPVHM,C@HX[PDHSB[[_@FGV+U^FJUDYYQNDEP,HPRXG\^8=6[?/H]UMJ)@MGUXUCMPOTV\EABU&ZSEORAZT^CG@W)fzdUo`t?<1:W3+LYQAF%LICQ\YOA\KPRXIMNY"^WAC^MVPZGCL[%j~`Qklx01b>S7'@U]EB!HEO]P]KEXG\^TMIJ].R[MGZIR\VKOH_!lnu]`a64<]9%BS[G@/FGM[V_IKVE^XROKDS,P]KEXG\^TMIJ]/blw[wctm}8;7X> I^TJK*ABFV^YMC]!U^CQI*SXKEOJ^<h4U1-J[SOH'NOESY\NNR,V[DTJ'\UOCXZ=0:W3+LYQAF%LICQ[R@LP*PYFZD%^SIAZT103?P6(AV\BC"IJN^VQEKU)]VKYA"[PDNWW57e<]9%BS[G@/FGM[QTFFZ$^SL\B/T]DAKYCMEKRW<S!U^DG65=R8&CTZDA GDL\PWGI['_TM_C U^PE@WC582_;#DQYIN-DAKYSZHDX"XQNRL-V[Q@CZL8?7X> I^TJK*ABFV^YMC]!U^CQI*bnfVfjxnfjd0f8Q5)NW_CD#JKA_UPBJV(RWHXF#dMCR328Q5)NW_CD#JKA_UPBJV(RWHXF#dJFN=2=65=R8&CTZDA GDL\PWGI['_TM_C iEKM8485=2_;#DQYIN-DAKYSZHDX"XQNRL-j@JSSWmfr0=0=5:W3+LYQAF%LICQ[R@LP*PYFZD%bHB[[_enz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e~xRzjmq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h4U1-J[SOH'\UOCXZ>a:W3+LYQAF%^S^ZNTTQ,GCAXKLUe>45Z0.K\RLI(]VY_MY[\/G]PPDR\8T$BS^ZNT/W\WQGS:01^<"GPVHM,QZUSI]_X#KQ\T@VX5X(NWZ^JX#[PSUCW6<=R8&CTZDA U^QWEQST'OUXXLZT2\,J[VRF\'_T_YO[289V4*OX^@E$YR][AUWP+CYT\H^P?P F_RVBP+SX[]K_>45Z0.K\RLI(]VY_MY[\/G]PPDR\<T$BS^ZNT/W\WQGS:01^<"GPVHM,QZUSI]_X#KQ\T@VX1X(NWZ^JX#[PSUCW6<=R8&CTZDA U^QWEQST'OUXXLZT6\,J[VRF\'_T_YO[289V4*OX^@E$YR][AUWP+CYT\H^P;P F_RVBP+SX[]K_=l5Z0.K\RLI(]VY_MY[\/UFL[kc|820Y=!F_WKL+PYT\H^^_"[PCD]m71=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF8896[?/H]UMJ)RWZ^JXX] U^QNQ])RWJYTAXV I5,V[HS_9?%FaxvPO3302>S7'@U]EB!Z_RVBPPU(]VYFYU!Z_BQ\IP^(A=$^S@[W17-Nip~XG;;;?85Z0.K\RLI(]VY_MY[\/T]PIP^(]VIXS@[W/H6-QZKRP8<$A`{w_N0170=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF88?85Z0.K\RLI(]VY_MY[\/T]PIP^(]VIXS@[W/H6-QZKRP8<$A`{w_N0770=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF8>?85Z0.K\RLI(]VY_MY[\/T]PIP^(]VIXS@[W/H6-QZKRP8<$A`{w_N0570=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF8<?85Z0.K\RLI(]VY_MY[\/T]PIP^(]VIXS@[W/H6-QZKRP8<$A`{w_N0;70=R8&CTZDA U^QWEQST'\UXAXV U^AP[HS_'@>%YRCZX04,IhsWF82>?5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$OD2>>308Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/BK?6;453\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*EN4:49>6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%HE1:1239V4*OX^@E$YR][AUWP+PYT\H^TOCZ CH>6:74<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+FO;>7897X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&IB0:0=1:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!K<1<15>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-G848592_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)C4;49=6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%O0>0=1:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!K<5<15>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-G808592_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)C4?49=6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%O0:0=d:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[1_-CkN)]VG^TNW=8:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[1_-QZ@CZL8<7X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP<P Z_MWW6<=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^6Z&\USC_MV2e9V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ3^*BhO&\UFYUMV299V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ3^*PYAL[O9;6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW<S!U^NVP7?<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]6U'_TTB\LY3f8Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY1Y+Ai@'_TAXVLY3:8Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY1Y+SXNMXN>:5Z0.K\RLI(]VY_MY[\/T]PPDRXKG^$KV<R.T]OQQ4>3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\:T$^SUA]CX0g?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX7X(@fA$^S@[WCX0;?P6(AV\BC"[PSUCWQV)RWZ^JXRMAT.EX7X(RWONYI?94U1-J[SOH'\UXXLZZS.W\WQGSWJD_#JU<]/W\HPR512_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)@S:W%YRV@RB[1`>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_1[)OgB%YRCZXB[1<>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-D_1[)]VLO^H<8;T2,MZPNG&_T_YO[UR-V[VRF\VIEX"IT4\,V[ISS:01^<"GPVHM,QZUSI]_X#XQ\T@V\GKR(OR>V"XQWOSAZ6a=R8&CTZDA U^QWEQST'\UXXLZPCOV,C^3Z&NdC"XQBUYAZ6==R8&CTZDA U^QWEQST'\UXXLZPCOV,C^3Z&\UMH_K=7:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!H[4_-QZJR\;30Y=!F_WKL+PYT\H^^_"[PSUCW[FHS'NQ>Q#[PXNP@]7b<]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]1U'MeD#[PMTZ@]7><]9%BS[G@/T]PPDRR[&_T_YO[_BLW+B]1U'_TJI\J269V4*OX^@E$YR][AUWP+PYT\H^TOCZ GZ4^*PYK]]827X> I^TJK*SX[]K_Y^!Z_RVBPZEI\&MP:P Z_YMQG\4?3\:$ERXFO.W\WQGS]Z%^S^ZNT^AMP*A\?T$^SKJ]E358Q5)NW_CD#XQ\T@VVW*SX[]K_SN@[/FY4Y+SXD\^956[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%LW:S!U^ZLVF_592_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)T4949=6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%X0<0=1:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!\<3<15>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-P868592_;#DQYIN-V[VRF\\Y$YR][AU]@JQ)T4=49=6[?/H]UMJ)RWZ^JXX] U^QWEQYDF]%X080=1:W3+LYQAF%^S^ZNTTQ,QZUSI]UHBY!\<7<15>S7'@U]EB!Z_RVBPPU(]VY_MYQLNU-P8286?2_;#DQYIN-V[VRF\\Y$YRZKO0c8Q5)NW_CD#XQ\T@VVW*oT\H^7<3?n;T2,MZPNG&_T_YO[UR-jWQGS484:m6[?/H]UMJ)RWZ^JXX] iRVBP9499h1^<"GPVHM,QZUSI]_X#d][AU>0:4g<]9%BS[G@/T]PPDRR[&cXXLZ34?3b?P6(AV\BC"[PSUCWQV)n[]K_080>a:W3+LYQAF%^S^ZNTTQ,mVRF\5<5=l5Z0.K\RLI(]VY_MY[\/hQWEQ:068o0Y=!F_WKL+PYT\H^^_"g\T@V\GKR;87;n7X> I^TJK*SX[]K_Y^!fSUCW[FHS484:i6[?/H]UMJ)RWZ^JXX] iRVBPZEI\585=h5Z0.K\RLI(]VY_MY[\/hQWEQYDF]682<k4U1-J[SOH'\UXXLZZS.kPPDRXKG^783?j;T2,MZPNG&_T_YO[UR-jWQGSWJD_080>e:W3+LYQAF%^S^ZNTTQ,mVRF\VIEX1811d9V4*OX^@E$YR][AUWP+lUSI]UHBY28>028Q5)NW_CD#ljkr=2=55=R8&CTZDA aefq848682_;#DQYIN-b`at;:7;;7X> I^TJK*gcl{682?<4U1-J[SOH'{oxiyQi_dfda*OX@;F%YRJ@UU3g?P6(AV\BC"|jsdv\bZccol%^SIAZT13g?P6(AV\BC"|jsdv\bZccol%^SIAZT03f?P6(AV\BC"|jsdv\bZccol%bHB[[<1<2a>S7'@U]EB!}ergw[cYblno$eIAZT=3=g>STM[U]E^GMLD18RFE>3_CN[RZVPD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct9m1TSRVCNL]\[5YXW\:$ERXFO.W\@JSS12kxucmPmtzf?du~fjU~l`|fdpg?fnnxzid|hQyin31?fnnxzid|hQyin]nevm4:2ice}}loqg\rliXehy` nffpralt`YqafU}=R>:_`.MKKC+FFDN?=m4cikswfiwmV|bcRcnsj.`llvtkfznS{g`_w3\40Yf$riTtnaPlhqw9FijxfdnbyQAalg>gmow{je{iRxfo^obwn=BfhhgiR]vnbjqkkir{VCe|xzPPnpw9cgk{l1Nbllce^Qzjfnugge~RAztqww[Uiu|4~yyh5Jn``oaZGtqgic~b``ur]JjussWYeyx0hnlrg8AkgedlUJt`lhsmmkpuXG|~{yyQ_osv>bdjtm2Yrbnf}oomvwZOix|~T\b|{_Vkgpm;?3Zseoe|`nnwp[Jssx|~T\b|{_Vkgpm;382KxucmgrnllqvYNfyS]a}t^Uj`qn:02KxucmgrnllqvYH}}z~xR^`ru]Tmaro511Bb{k}t^AokfmXAgz~x0hnlrg/gZ~cmchikobim{>~|hv$jUhckPtxrf9<*dWdllSdj21-a\idlhzV|n~~g`n<37(fYjageyS{k}shmm97*dWkb`iQ}ewkpmjh:8%iTob|j_lcikwYqm{7: nQlosg\ilhhzV|n~0<#c^alv`YjageySlbh`]uaw;>?%iTb>6^vzt`;5$jU~hQfnw]`hn;7$jU~hQnsxl`[lh:8%iTy~kPar{mgZir|4:'oR{|e^qzjfYnf4:'oR{|e^qzjfYh}}7: nQnsxl`[lhX`nd06#c^cp}keXg|~T{dj{h<:/gZu~fjUbbRyfduj><)eX{pdhSb{{_vkgpm;38%iTob`|tscjjqYsqyo6iuzjroco(fYbphg{`hQkerkdj8ru}l&v?<5lhhrpgjvbWcdS{?P04]b(%^nd`ds,NA]E!Efj`tf|fx+=9 =9:alqkrbzV;27naznugq[77e3jyTob`{rnnaqvY{}}d~fo}otlg2>etWds46m|_lw{53b<mmmnSik{ebvlv7=ig11}ebQlosg22>pngVe~x}{{_trgeqcXklfgm6xfo^qweqstk2|bcR}vnb]lqq723cdS~wac^mvpZgcl{Ulic??;ya4~547%jfl8=>"2|BCt<1e3IJs>hh5F;095~U2;38n579n:01043472<i?jv`=e682?k4b03<0(?k9:3g3?xU5k38n579n:01043472<i>:6j=eg83>4<6sZ?86?k6:6c95657>;:19n;?;wV;2?6=93;1=;9tS4196`?=?h0:?>>92186g06<,;nm6;m4$6`96c`<j;om6=4;d;32g?71?rB9hi5+b381ac=]9:09w546:|&1a0<5n;1/=:4=f19'17<5n81/484?;%:7>7cf3">j6=4+7e87=>h0k3:07&:7:18'3a<312d<o7?4;*64>5<#?m0?56`8c;08?.21290/;i4;9:l4g?5<3">>6=4+7e87=>h0k3>07&:;:18'3a<312d<o7;4;*61>5<#?m0?56`8c;48?.26290/;i4;9:l4g?1<3">;6=4+7e87=>h0k3207&=i:18'3a<312d<o774;*1f>5<#?m0?56`8c;c8?.5c290/;i4;9:l4g?d<3"9h6=4+7e87=>h0k3i07&=m:18'3a<312d<o7j4;*1b>5<#?m0?56`8c;g8?.5>290/;i4;9:l4g?`<3"9<6=4+7e87=>h0k3;;76%<6;29 2b=<01e;n4>1:9(70<72-=o6974n6a957=<#:>1<7*8d;6:?k1d28907&=<:18'3a<312d<o7?;;:)06?6=,>n1845a7b821>=,;80;6)9k:5;8j2e=9?10'>>50;&4`?2>3g=h6<94;*0e>5<#?m0?56`8c;3;?>-5m3:1(:j5489m3f<6121 9<4?:%5g>1?<f>i1=l54+4294?"0l3>27c9l:0`8?.2a290/;i4;9:l4g?7d32!?i7>5$6f90<=i?j0:h65$4e83>!1c2=30b:m51d98/1e=83.<h7:6;o5`>4`<3">86=4+7e87=>h0k38:76%<8;29 2b=<01e;n4=2:9(6a<72-=o6974n6a966=<a831<75`a`83>!1c2h30b:m50:9le=<72-=o6l74n6a95>=hi>0;6)9k:`;8j2e=:21dm;4?:%5g>d?<f>i1?65`a483>!1c2h30b:m54:9le1<72-=o6l74n6a91>=hi:0;6)9k:`;8j2e=>21dm?4?:%5g>d?<f>i1;65`a083>!1c2h30b:m58:9le5<72-=o6l74n6a9=>=h1l0;6)9k:`;8j2e=i21d5i4?:%5g>d?<f>i1n65`9b83>!1c2h30b:m5c:9l=g<72-=o6l74n6a9`>=h1h0;6)9k:`;8j2e=m21d544?:%5g>d?<f>i1j65`9983>!1c2h30b:m51198k<1=83.<h7o6;o5`>47<3f3=6=4+7e8b=>h0k3;976a65;29 2b=i01e;n4>3:9l=6<72-=o6l74n6a951=<g081<7*8d;c:?k1d28?07b7>:18'3a<f12d<o7?9;:m:4?6=,>n1m45a7b823>=h0o0;6)9k:`;8j2e=9110c5k50;&4`?g>3g=h6<74;n:g>5<#?m0j56`8c;3b?>i?k3:1(:j5a89m3f<6j21d4o4?:%5g>d?<f>i1=n54o9c94?"0l3k27c9l:0f8?jd6290/;i4n9:l4g?7b32ei<7>5$6f9e<=i?j0:j65`ag83>!1c2h30b:m52198kdc=83.<h7o6;o5`>77<3fko6=4+7e8b=>h0k38976anc;29 2b=i01e;n4=3:9leg<72-=o6l74n6a961=<g0l1<7*8d;c:?k1d2;?07b7;:18'3a<f12d<o7<9;:m;=?6=,>n1m45a7b813>=,<k0;6)9k:5;8j2e=:910n?k;:182>5<7s-h96?jj;I0f6>N5lm1d;44?::a6`5=83;1<7>t$c091c=O:l80D?jk;n7f>5<<ukh>6=46:183!d521=0D?k=;I0g`>"0038m56*97;08m`<722cm6=44i0294?=n980;66g>6;29?l7>2900e8:50;9l<5<722e3=7>5;|`a2?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7)9j:3ga?l062900e;<50;9l32<722wiin4?:883>5}#j;03;6F=e39K6ab<,>21>k74$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~f`b=8391<7>t$c092`=O:l80D?jk;%5;>7`>3-<<6n5f6083>>o1:3:17b98:188yg75;3:157>50z&a6?>03A8n>6F=de9'3=<5n01/::4=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9294?=h080;66sm13694?5=83:p(o<56d9K6`4<@;no7)97:3d:?!002j1b:<4?::k56?6=3f=<6=44}c36e?6=13:1<v*m2;:4?M4b:2B9hi5+7981b<=#>>097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5>50;9l<4<722wi=8l50;194?6|,k81:h5G2d08L7bc3-=36?h6;%44>f=n>80;66g92;29?j102900qo?:f;29=?6=8r.i>768;I0f6>N5lm1/;54=f89'22<53`o1<75ff;29?l772900e<?50;9j53<722c:57>5;h77>5<<g1:1<75`8083>>{e9?:1<7=50;2x g4=>l1C>h<4H3fg?!1?2;l27)88:b9j24<722c=>7>5;n54>5<<uk;=87>59;294~"e:32<7E<j2:J1`a=#?109j45+6681?lc=831bj7>5;h33>5<<a8;1<75f1783>>o613:17d;;:188k=6=831d4<4?::a533=8391<7>t$c092`=O:l80D?jk;%5;>7`>3-<<6n5f6083>>o1:3:17b98:188yg7113:157>50z&a6?>03A8n>6F=de9'3=<5n01/::4=;hg94?=nn3:17d??:188m47=831b=;4?::k2=?6=3`??6=44o9294?=h080;66sm17c94?5=83:p(o<56d9K6`4<@;no7)97:3d:?!002j1b:<4?::k56?6=3f=<6=44}c35a?6=13:1<v*m2;:4?M4b:2B9hi5+7981b<=#>>097dk50;9jb?6=3`;;6=44i0394?=n9?0;66g>9;29?l332900c5>50;9l<4<722wi=;h50;194?6|,k81:h5G2d08L7bc3-=36?h6;%44>f=n>80;66g92;29?j102900qo?83;29=?6=8r.i>768;I0f6>N5lm1/;54=f89'22<53`o1<75ff;29?l772900e<?50;9j53<722c:57>5;h77>5<<g1:1<75`8083>>{e9>>1<7=50;2x g4=>l1C>h<4H3fg?!1?2;l27)88:b9j24<722c=>7>5;n54>5<<uk;<47>59;294~"e:32<7E<j2:J1`a=#?109j45+6681?lc=831bj7>5;h33>5<<a8;1<75f1783>>o613:17d;;:188k=6=831d4<4?::a52?=8391<7>t$c092`=O:l80D?jk;%5;>7`>3-<<6n5f6083>>o1:3:17b98:188ygdf29026=4?{%`1>=1<@;o97E<kd:&4<?4a12.=;7<4id83>>oa2900e<>50;9j54<722c::7>5;h3:>5<<a<>1<75`8183>>i?93:17plmb;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66smbg83><<729q/n?477:J1a7=O:mn0(:652g;8 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zjj:1<7=50;2x g4=>l1C>h<4H3fg?!1?2;l27)88:b9j24<722c=>7>5;n54>5<<uki?6=46:183!d521=0D?k=;I0g`>"0038m56*97;08m`<722cm6=44i0294?=n980;66g>6;29?l7>2900e8:50;9l<5<722e3=7>5;|``1?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::ag<<7200;6=u+b38;3>N5m;1C>ij4$6:96c?<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vnno50;194?6|,k81:h5G2d08L7bc3-=36?h6;%44>f=n>80;66g92;29?j102900qomj:18:>5<7s-h96594H3g1?M4cl2.<47<i9:&53?4<al0;66gi:188m46=831b=<4?::k22?6=3`;26=44i4694?=h090;66a71;29?xddn3:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{el:0;644?:1y'f7<??2B9i?5G2ef8 2>=:o30(;952:kf>5<<ao0;66g>0;29?l762900e<850;9j5<<722c>87>5;n:3>5<<g1;1<75rbe694?5=83:p(o<56d9K6`4<@;no7)97:3d:?!002j1b:<4?::k56?6=3f=<6=44}cf;>5<>290;w)l=:958L7c53A8oh6*88;0e=>"1?380eh4?::ke>5<<a8:1<75f1083>>o6>3:17d?6:188m02=831d4=4?::m;5?6=3tho57>53;294~"e:3<n7E<j2:J1`a=#?109j45+668`?l062900e;<50;9l32<722wihi4?:883>5}#j;03;6F=e39K6ab<,>21>k74$7596>ob2900ek4?::k24?6=3`;:6=44i0494?=n900;66g:4;29?j>72900c5?50;9~fac=8391<7>t$c092`=O:l80D?jk;%5;>7`>3-<<6n5f6083>>o1:3:17b98:188ygc529026=4?{%`1>=1<@;o97E<kd:&4<?4a12.=;7<4id83>>oa2900e<>50;9j54<722c::7>5;h3:>5<<a<>1<75`8183>>i?93:17plj3;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66sme683><<729q/n?477:J1a7=O:mn0(:652g;8 31=:2cn6=44ig83>>o683:17d?>:188m40=831b=44?::k60?6=3f2;6=44o9394?=zjl21<7=50;2x g4=>l1C>h<4H3fg?!1?2;l27)88:b9j24<722c=>7>5;n54>5<<ukl:6=46:183!d521=0D?k=;I0g`>"0038m56*97;08m`<722cm6=44i0294?=n980;66g>6;29?l7>2900e8:50;9l<5<722e3=7>5;|`e6?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::ab3<7200;6=u+b38;3>N5m;1C>ij4$6:96c?<,?=1>6gj:188mc<722c:<7>5;h32>5<<a8<1<75f1883>>o2<3:17b6?:188k=7=831vnk950;194?6|,k81:h5G2d08L7bc3-=36?h6;%44>f=n>80;66g92;29?j102900qohm:18:>5<7s-h96594H3g1?M4cl2.<47<i9:&53?4<al0;66gi:188m46=831b=<4?::k22?6=3`;26=44i4694?=h090;66a71;29?xdak3:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{e99:1<7750;2x g4=0>1C>h<4H3fg?!1?2;l27)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}c335?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::a553=8331<7>t$c09<2=O:l80D?jk;%5;>7`>3-<<6?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a70;29?j>62900qo??6;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66sm11c94??=83:p(o<5869K6`4<@;no7)97:3d:?!002;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e3<7>5;n:2>5<<uk;;n7>53;294~"e:3<n7E<j2:J1`a=#?109j45+668`?l062900e;<50;9l32<722wi==h50;;94?6|,k814:5G2d08L7bc3-=36?h6;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188yg7683:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{e98>1<7750;2x g4=0>1C>h<4H3fg?!1?2;l27)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}c321?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::a54?=8331<7>t$c09<2=O:l80D?jk;%5;>7`>3-<<6?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a70;29?j>62900qo?>a;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66sm10g94??=83:p(o<5869K6`4<@;no7)97:3d:?!002;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e3<7>5;n:2>5<<uk;:j7>53;294~"e:3<n7E<j2:J1`a=#?109j45+668`?l062900e;<50;9l32<722wi=?650;;94?6|,k814:5G2d08L7bc3-=36?h6;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188yg7513:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{e9;n1<7750;2x g4=0>1C>h<4H3fg?!1?2;l27)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}c31a?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::a564=8331<7>t$c09<2=O:l80D?jk;%5;>7`>3-<<6?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a70;29?j>62900qo?<3;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66sm12594??=83:p(o<5869K6`4<@;no7)97:3d:?!002;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e3<7>5;n:2>5<<uk;847>53;294~"e:3<n7E<j2:J1`a=#?109j45+668`?l062900e;<50;9l32<722wi=>m50;;94?6|,k814:5G2d08L7bc3-=36?h6;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188yg74l3:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{e9=;1<7750;2x g4=0>1C>h<4H3fg?!1?2;l27)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}c376?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::a510=8331<7>t$c09<2=O:l80D?jk;%5;>7`>3-<<6?5fe;29?l`=831b==4?::k25?6=3`;=6=44i0;94?=n==0;66a70;29?j>62900qo?;7;297?6=8r.i>78j;I0f6>N5lm1/;54=f89'22<d3`<:6=44i7094?=h?>0;66sm15`94??=83:p(o<5869K6`4<@;no7)97:3d:?!002;1bi7>5;hd94?=n990;66g>1;29?l712900e<750;9j11<722e3<7>5;n:2>5<<uk;?o7>53;294~"e:3<n7E<j2:J1`a=#?109j45+668`?l062900e;<50;9l32<722wi=8>50;;94?6|,k814:5G2d08L7bc3-=36?h6;%44>7=nm3:17dh50;9j55<722c:=7>5;h35>5<<a831<75f5583>>i?83:17b6>:188yg7293:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::4l;h42>5<<a?81<75`7683>>{e9<?1<7750;2x g4=0>1C>h<4H3fg?!1?2;l27)88:39ja?6=3`l1<75f1183>>o693:17d?9:188m4?=831b994?::m;4?6=3f2:6=44}c362?6=;3:1<v*m2;4f?M4b:2B9hi5+7981b<=#>>0h7d8>:188m34=831d;:4?::a5<g=8391<7>t$c092`=O:l80D?jk;%5;>7`>3-<<6;5f6083>>o1:3:17b98:188yg7>13:197>50z&a6?173A8n>6F=de9'3=<5n01/::4n;h42>5<<a?81<75f6283>>o1<3:17b98:188yg7>n3:1?7>50z&a6?0b3A8n>6F=de9'3=<5n01/::49;h42>5<<a?81<75`7683>>{e:><1<7:50;2x g4=>o1C>h<4H3fg?!1?2;l27)88:078 2c=:lh0e;?50;9j27<722c=?7>5;n54>5<<uk8o57>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`1`d<72=0;6=u+b386e>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9l<5<722wi>i650;694?6|,k819o5G2d08L7bc3-=36?h6;%44>4=n9=0;66g:4;29?l>52900c5>50;9~f4gc290?6=4?{%`1>0g<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?nc;291?6=8r.i>7;l;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo?nf;290?6=8r.i>7;n;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66a70;29?xd6il0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd6j80;694?:1y'f7<2i2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=h090;66sm1c294?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm1c194?2=83:p(o<55`9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44o9294?=zj8h96=4::183!d52<i0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj8h>6=4;:183!d52<k0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3f2;6=44}c3a0?6==3:1<v*m2;7`?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c3a3?6=<3:1<v*m2;7b?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::m;4?6=3th:n;4?:483>5}#j;0>o6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th:n44?:583>5}#j;0>m6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831d4=4?::a5g>=83?1<7>t$c091f=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a5gd=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<ln:186>5<7s-h968m4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn<lk:187>5<7s-h968o4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7ek3:197>50z&a6?3d3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg7en3:187>50z&a6?3f3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>bd83>0<729q/n?4:c:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl>c083>1<729q/n?4:a:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9j:1<7;50;2x g4==j1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e9j91<7:50;2x g4==h1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<g1:1<75rb0a1>5<2290;w)l=:4a8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb0a6>5<3290;w)l=:4c8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;h87>55;294~"e:3?h7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk;h;7>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`2g3<72<0;6=u+b386g>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`2g<<72=0;6=u+b386e>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=n650;794?6|,k819n5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi=nl50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~f4ef290>6=4?{%`1>0e<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f4ec290?6=4?{%`1>0g<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?lc;291?6=8r.i>7;l;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo?lf;290?6=8r.i>7;n;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66a70;29?xd6kl0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd6l80;694?:1y'f7<2i2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=h090;66sm1e294?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm1e194?2=83:p(o<55`9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44o9294?=zj8n96=4::183!d52<i0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj8n>6=4;:183!d52<k0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3f2;6=44}c3g0?6==3:1<v*m2;7`?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c3g3?6=<3:1<v*m2;7b?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::m;4?6=3th:h;4?:483>5}#j;0>o6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th:h44?:583>5}#j;0>m6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831d4=4?::a5a>=83?1<7>t$c091f=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a5ad=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<jn:186>5<7s-h968m4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vn<jk:187>5<7s-h968o4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7ck3:197>50z&a6?3d3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>o?:3:17b6?:188yg7cn3:187>50z&a6?3f3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>dd83>0<729q/n?4:c:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75f8383>>i?83:17pl>e083>1<729q/n?4:a:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9l:1<7;50;2x g4==j1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<a181<75`8183>>{e9l91<7:50;2x g4==h1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<g1:1<75rb0g1>5<2290;w)l=:4a8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;h:1>5<<g1:1<75rb0g6>5<3290;w)l=:4c8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;n87>55;294~"e:3?h7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722c3>7>5;n:3>5<<uk;n;7>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`2a3<72<0;6=u+b386g>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9j<7<722e3<7>5;|`2a<<72=0;6=u+b386e>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=h650;794?6|,k819n5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900e5<50;9l<5<722wi=hl50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~f4cf290>6=4?{%`1>0e<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?l>52900c5>50;9~f4cc290?6=4?{%`1>0g<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?jc;291?6=8r.i>7;l;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66g72;29?j>72900qo?jf;290?6=8r.i>7;n;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66a70;29?xd6ml0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd6n80;694?:1y'f7<2i2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=h090;66sm1g294?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm1g194?2=83:p(o<55`9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44o9294?=zj8l96=4::183!d52<i0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3`296=44o9294?=zj8l>6=4;:183!d52<k0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3f2;6=44}c3e0?6==3:1<v*m2;7`?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::k;6?6=3f2;6=44}c3e3?6=<3:1<v*m2;7b?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::m;4?6=3th:j;4?:483>5}#j;0>o6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831b4?4?::m;4?6=3th:j44?:583>5}#j;0>m6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831d4=4?::a5c>=83?1<7>t$c091f=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188m=4=831d4=4?::a5cd=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<hn:186>5<7s-h968m4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17d6=:188k=6=831vno650;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~f``=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<<9:187>5<7s-h968o4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg72l3:187>50z&a6?3f3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>6383>1<729q/n?4:a:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9?=1<7:50;2x g4==h1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<g1:1<75rb04`>5<3290;w)l=:4c8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;<=7>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`233<72=0;6=u+b386e>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=:l50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~fgb=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vnn<50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~ff1=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vnnm50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~fa7=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vni850;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~fad=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vnh>50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~f`3=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vnho50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~fc2=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vnk750;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~fcc=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<><:187>5<7s-h968o4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7703:187>50z&a6?3f3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>0e83>1<729q/n?4:a:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9881<7:50;2x g4==h1C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h77>5<<g1:1<75rb034>5<3290;w)l=:4c8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk;:o7>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`264<72=0;6=u+b386e>N5m;1C>ij4$6:96c?<,?=1=6g>4;29?l712900e8:50;9l<5<722wi=?l50;694?6|,k819l5G2d08L7bc3-=36?h6;%44>4=n9=0;66g>6;29?l332900c5>50;9~f457290?6=4?{%`1>0g<@;o97E<kd:&4<?4a12.=;7?4i0694?=n9?0;66g:4;29?j>72900qo?<5;290?6=8r.i>7;n;I0f6>N5lm1/;54=f89'22<63`;?6=44i0494?=n==0;66a70;29?xd6;h0;694?:1y'f7<2i2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=h090;66sm12d94?2=83:p(o<55`9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44o9294?=zj8>?6=4;:183!d52<k0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3f2;6=44}c37=?6=<3:1<v*m2;7b?M4b:2B9hi5+7981b<=#>>0:7d?;:188m40=831b994?::m;4?6=3th:8h4?:583>5}#j;0>m6F=e39K6ab<,>21>k74$7595>o6<3:17d?9:188m02=831d4=4?::a505=83>1<7>t$c091d=O:l80D?jk;%5;>7`>3-<<6<5f1583>>o6>3:17d;;:188k=6=831vn<;7:187>5<7s-h968o4H3g1?M4cl2.<47<i9:&53?7<a8>1<75f1783>>o2<3:17b6?:188yg7>?3:187>50z&a6?3f3A8n>6F=de9'3=<5n01/::4>;h37>5<<a8<1<75f5583>>i?83:17pl>9983>1<729q/n?4:a:J1a7=O:mn0(:652g;8 31=92c:87>5;h35>5<<a<>1<75`8183>>{e9h?1<7;50;2x g4==01C>h<4H3fg?!1?2;l27)88:09j51<722c::7>5;h0a>5<<a<>1<75`8183>>{e9h:1<7:50;2x g4==k1C>h<4H3fg?!1?2;l27)88:09j51<722c>87>5;h:1>5<<g1:1<75rb0c7>5<2290;w)l=:4;8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c9n7>5;h77>5<<g1:1<75rb0c0>5<3290;w)l=:4c8L7c53A8oh6*88;0e=>"1?3;0e<:50;9j53<722c>87>5;n:3>5<<uk8<97>54;294~"e:3?j7E<j2:J1`a=#?109j45+6682?l732900e<850;9j11<722e3<7>5;|`13=<72<0;6=u+b3844>N5m;1C>ij4$6:96c?<,?=186g91;29?l052900e;=50;9j21<722e<;7>5;|`13<<72<0;6=u+b3844>N5m;1C>ij4$6:96c?<,?=19;5f6083>>o1:3:17d8<:188m32=831d;:4?::a62g=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<6:5f6083>>o1:3:17d8<:188m32=831d;:4?::a62d=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<685f6083>>o1:3:17d8<:188m32=831d;:4?::a62e=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<6?5f6083>>o1:3:17d8<:188m32=831d;:4?::a62b=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<6?5f6083>>o1:3:17d8<:188m32=831d;:4?::a62c=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<6i5f6083>>o1:3:17d8<:188m32=831d;:4?::a62`=83?1<7>t$c0935=O:l80D?jk;%5;>7`>3-<<6>5f6083>>o1:3:17d8<:188m32=831d;:4?::a6=7=8381<7>t$c092==O:l80D?jk;%5;>7`>3`<;6=44o6594?=zj;i86=4;:183!d52<k0D?k=;I0g`>"0038m56*97;38m42=831b=;4?::k60?6=3f2;6=44}c0:b?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=9b83>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0b7?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=a183>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0b3?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=a583>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0bf?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=a983>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0bb?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=ab83>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0a7?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=b183>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0a3?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=b583>1<729q/n?483:J1a7=O:mn0(:652g;8m4>=831b984?::k;7?6=3f<j6=44}c0ae?6=;3:1<v*m2;0f5>N5m;1C>ij4$6:96c?<a821<75f6883>>i0?3:17pl=9d83>7<729q/n?49d:J1a7=O:mn0(:652g;8 31=>2c==7>5;n54>5<<uk8j>7>52;294~"e:3<o7E<j2:J1`a=#?109j45+6685?l062900c:950;9~f7g129096=4?{%`1>3b<@;o97E<kd:&4<?4a12.=;784i7394?=h?>0;66sm2`c94?4=83:p(o<56e9K6`4<@;no7)97:3d:?!002?1b:<4?::m43?6=3th9mh4?:383>5}#j;0=h6F=e39K6ab<,>21>k74$7592>o193:17b98:188yg4e:3:1>7>50z&a6?0c3A8n>6F=de9'3=<5n01/::49;h42>5<<g>=1<75rb3`5>5<5290;w)l=:7f8L7c53A8oh6*88;0e=>"1?3<0e;?50;9l32<722wi>o750;094?6|,k81:i5G2d08L7bc3-=36?h6;%44>3=n>80;66a87;29?xd51m0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5i80;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5i<0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5i00;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5im0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5j80;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5j<0;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd5j10;684?:1y'f7<2k2B9i?5G2ef8 2>=:o30(;951:k20?6=3`;=6=44i4694?=n0;0;66a70;29?xd60>0;6>4?:1y'f7<5m81C>h<4H3fg?!1?2;l27d?7:188m3?=831d;:4?::a5=2=83>1<7>t$c0936=O:l80D?jk;%5;>7`>3`;36=44i4794?=n0:0;66a9a;29?xd60k0;6>4?:1y'f7<5m81C>h<4H3fg?!1?2;l27d?7:188m3?=831d;:4?::a5=>=83>1<7>t$c0936=O:l80D?jk;%5;>7`>3`;36=44i4794?=n0:0;66a9a;29?xd60o0;6>4?:1y'f7<5m81C>h<4H3fg?!1?2;l27d?7:188m3?=831d;:4?::a5=e=83>1<7>t$c0936=O:l80D?jk;%5;>7`>3`;36=44i4794?=n0:0;66a9a;29?xd61;0;6>4?:1y'f7<5m81C>h<4H3fg?!1?2;l27d?7:188m3?=831d;:4?::a5=0=8381<7>t$c092a=O:l80D?jk;%5;>7`>3-<<6;5f6083>>i0?3:17pl>8`83>7<729q/n?49d:J1a7=O:mn0(:652g;8 31=>2c==7>5;n54>5<<uk;3i7>52;294~"e:3<o7E<j2:J1`a=#?109j45+6685?l062900c:950;9~f4?629096=4?{%`1>3b<@;o97E<kd:&4<?4a12.=;784i7394?=h?>0;66sm19794?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm19;94?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm19f94?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm18294?3=83:p(o<55b9K6`4<@;no7)97:3d:?!00281b=94?::k22?6=3`??6=44i9094?=h090;66sm29194?1=83:p(o<5739K6`4<@;no7)88:458m37=831b:?4?::k57?6=3`<?6=44i7794?=n>?0;66a87;29?xd50<0;6:4?:1y'f7<0:2B9i?5G2ef8 31==>1b:<4?::k56?6=3`<86=44i7694?=n><0;66g96;29?j102900qo<76;293?6=8r.i>79=;I0f6>N5lm1/::4m;h42>5<<a?81<75f6283>>o1<3:17d8::188m30=831d;:4?::a6=1=83=1<7>t$c0937=O:l80D?jk;%44>g=n>80;66g92;29?l042900e;:50;9j20<722c=:7>5;n54>5<<uk8347>57;294~"e:3=97E<j2:J1`a=#>>0i7d8>:188m34=831b:>4?::k50?6=3`<>6=44i7494?=h?>0;66sm29;94?1=83:p(o<5739K6`4<@;no7)88:c9j24<722c=>7>5;h40>5<<a?>1<75f6483>>o1>3:17b98:188yg4?i3:1;7>50z&a6?153A8n>6F=de9'22<e3`<:6=44i7094?=n>:0;66g94;29?l022900e;850;9l32<722wi>5l50;794?6|,k81;=5G2d08L7bc3-<<6l5f6083>>o1:3:17d8<:188m32=831d;:4?::a6=e=83=1<7>t$c0937=O:l80D?jk;%44>0b<a?;1<75f6383>>o1;3:17d8;:188m33=831b:;4?::m43?6=3th9494?:783>5}#j;0<=6F=e39K6ab<,?=1=?5f6083>>o1:3:17d8<:188m32=831b:84?::m43?6=3th:m<4?:583>5}#j;0>46F=e39K6ab<,?=1=6*88;0e=>o6<3:17d<m:188m02=831d4=4?::a5d4=83>1<7>t$c091==O:l80D?jk;%44>4=#?109j45f1583>>o5j3:17d;;:188k=6=831v9o50;0xZ1g<5;2i6;:4}r6;>5<5sW>370<7b;40?xu3?3:1>vP;7:?1<g<192wx8;4?:3y]03=::1h1:?5rs5794?4|V=?01?6n:748yv232909wS:;;<0;e?023ty?>7>52z\76>;50h0=86s|4083>7}Y<816>5o5629~w16=838pR9>4=3:b>37<uz9m6=4={_1e?84?i3<97p}<e;296~X4m2794:496:p7a<72;qU?i52295920=z{:i1<7<t^2a897>02?>0q~=m:181[5e3483;78<;|q0e?6=:rT8m63=86855>{t;00;6?uQ389>6=1=>;1v>950;0xZ61<5;2=6;84}r15>5<5sW9=70<76;46?xu4=3:1>vP<5:?1<3<1<2wx?94?:3y]71=::1<1:>5rs2194?4|V:901?69:738yv552909wS==;<0;2?053ty8=7>52z\05>;5000=:6s|3183>7}Y;916>575649~w7`=838pR?h4=3::>32<uz8n6=4={_0f?84?13<87p}:1;296~X2927944491:p15<72;qU9=5229;927=z{=l1<7:t^5d897b>2<>01?99:71897>?2?<0q~:j:186[2b34;j97?9;<3b1?3334;2j78=;<0;<?023ty?h7>5a3y]0a=:9oh1=;521gc9<7=:9o31=;521g:9<7=:9o=1=;521g49<7=:9o?1=;521g69<7=:9o91=;521g09<7=:9o;1=;521g29<7=:9ll1=;521dg9<7=:9ln1=;521da9<7=:9lh1=;521dc9<7=:9l31=;521d:9<7=:9l=1=;521d49<7=:9l?1=;521d69<7=:9l91=;521d09<7=:9l;1=;521d29<7=:9ml1=;521eg9<7=:9mn1=;521ea9<7=:9mh1=;521ec9<7=:9m31=;521e:9<7=:9m=1=;521e49<7=:9m?1=;521e69<7=:9m91=;521e09<7=:9m;1=;521e29<7=:9jl1=;521bg9<7=:9jn1=;521ba9<7=:9jh1=;521bc9<7=:9j31=;521b:9<7=:9j=1=;521b49<7=:9j?1=;521b69<7=:9j91=;521b09<7=:9j;1=;521b29<7=:9kl1=;521cg9<7=:9kn1=;521ca9<7=:9kh1=;521cc9<7=:9k31=;521c:9<7=:9k=1=;521c49<7=:9k?1=;521c69<7=:9k91=;521c09<7=:9k;1=;521c29<7=:9hl1=;521`g9<7=:9hn1=;521`a9<7=:9<<1:<52147953=:9<;1:<52142953=:9=i1:<5215`953=:9==1:<52154953=:9=81:<52153953=:9:n1:<5212a953=:9:21:<52125953=:9:91:<52120953=:9;o1:<5213f953=:9;31:<5213:953=:98l1:<5210g953=:98k1:<5210;953=:98?1:<52106953=:98:1:<5211d953=:99h1:<5211c953=:99<1:<52117953=:99;1:<52112953=:nj0==63ib;35?8`02?;01k85179>b7<1927m=7?9;<g;>37<5l=1=;52e2855>;b:3;=70jj:7389ab=9?16h4491:?g<?7134n?6;?4=e1953=:ko0==63le;35?8ef2?;01n75179>g0<1927h87?9;<a3>37<5kl1=;52bc855>;ei3;=70?89;42?87003;=70?84;42?870;3;=70?9f;42?871m3;=70?9a;42?87113;=70?95;42?871<3;=70?90;42?872n3;=70?:b;42?872i3;=70?=4;42?875;3;=70kk:7389`e=9?16n;491:?a1?713483478;;|q7g?6=;rT?o63=80854>;5010=?6s|4283>7}Y<:16>565609~w6>=839pR>64=c7911=::121:?5rs3f94?3asW8o70<85;37?87f=3;?70?ib;37?87a13;?70?i7;37?87a=3;?70?i3;37?87a93;?70?jf;37?87bl3;?70?jb;37?87b13;?70?j7;37?87b=3;?70?j3;37?87b93;?70?kf;37?87cl3;?70?kb;37?87c13;?70?k7;37?87c=3;?70?k3;37?87c93;?70?lf;37?87dl3;?70?lb;37?87d13;?70?l7;37?87d=3;?70?l3;37?87d93;?70?mf;37?87el3;?70?mb;37?87e13;?70?m7;37?87e=3;?70?m3;37?87e93;?70?nf;37?87fl3;?70<k9;37?84ci3;?70<k8;37?872=3;270?:0;3:?873j3;270?;6;3:?87393;270?<c;3:?874?3;270?<2;3:?875l3;270?=8;3:?876m3;270?>9;3:?876<3;270??f;3:?877i3;270??5;3:?87783;270hm:0;89c0=9016j<4>9:?f3?7>34o96<74=ef95<=:l10:563k3;3:?8eb28301n75189>g1<6127ij7?6;<`b>4?<58=36<74=050>4?<58<n6<74=04:>4?<58<?6<74=07e>4?<58?j6<74=000>4?<5li1=452b482=>;5k:0:863=b9820>;5j<0:863=b0820>;5im0:863=a8820>;5i<0:863=a0820>;51m0:86s|1883>0e|V8301<o?:06894g328>01<o<:06894?028>01<77:068943?28>01<;<:068942b28>01<:6:068942328>01<=i:068945f28>01<=::068945728>01<<m:068944628>01<?l:068947028>01<?=:068946c28>01<>7:068946428>01kk5159>b<<6<27m87?;;<gb>42<5l?1=952e1820>;cj3;?70j9:0689a7=9=16on4>4:?`3?7334i96<:4=cf951=:9>h1=952164951=:9>;1=95217a951=:9?=1=952170951=:9<n1=952134951=:mo0:863m8;37?87ai3;?70?i8;37?87a>3;?70?i4;37?87a:3;?70?i0;37?87bm3;?70?jc;37?87bi3;?70?j8;37?87b>3;?70?j4;37?87b:3;?70?j0;37?87cm3;?70?kc;37?87ci3;?70?k8;37?87c>3;?70?k4;37?87c:3;?70?k0;37?87dm3;?70?lc;37?87di3;?70?l8;37?87d>3;?70?l4;37?87d:3;?70?l0;37?87em3;?70?mc;37?87ei3;?70?m8;37?87e>3;?70?m4;37?87e:3;?70?m0;37?87fm3;?70?nc;37?87>83;?70?7d;37?87?13;?70?75;37?87f93;?70?n2;37?xufi3:1>vPna:?21=<?82wxm54?:3y]e==:9<914=5rs`594?4|Vh=01<:j:928yvg12909wSo9;<37=?>73tyj97>52z\b1>;6<=03<6s|a583>7}Yi=16=>h5819~wd5=838pRl=4=01b>=6<uzk96=4={_c1?874=32;7p}n1;296~Xf927:?=470:pe5<72;qUm=5213`9<5=z{0o1<7<t^8g8944621:0q~7k:181[?c34;:o76?;|q:g?6=:rT2o63>168;4>{t1k0;6?uQ9c9>544=091v4o50;0xZ<g<58:o65>4}r;:>5<5sW3270??8;:3?xu>03:1>vP68:?246<?82wx5:4?:3y]=2=:nl03<6s|9783>7}Y1?16j4470:p=0<72;qU5852f58;4>{t1:0;6?uQ929>ad<?82wx5?4?:3y]=7=:m<03<6s|9083>7}Y1816i=470:p=5<72;qU5=52dc8;4>{t0o0;6?uQ8g9>`3<?82wx4h4?:3y]<`=:l803<6s|8e83>7}Y0m16on470:p<f<72;qU4n52c68;4>{t0k0;6?uQ8c9>g7<?82wx4l4?:3y]<d=:jm03<6s|b083>7}Yj816=:l5819~wg6=838pRo>4=055>=6<uzkm6=4={_ce?870932;7p}ne;296~Xfm27::n470:pea<72;qUmi521759<5=z{hi1<7<t^`a8940521:0q~om:181[ge34;>h76?;|q:b?6=:rT2j63>278;4>{t1=0;6?uQ959>ac<?82wx444?:3y]<<=:j103<6s|4c83>7}Y<k16>:85769~w22=83;?w0<j4;5:?840=3;=70?n3;35?87>?3;=70?68;35?84c13;=70<ka;35?84d;3;=70<m8;35?84e=3;=70<m1;35?84fl3;=70<n9;35?84f=3;=70<n1;35?84>l3;=70<6c;3;?84>n3;370?74;3;?87??3;37p}85;29g~;5m:0>i63=74860>;5j=0>963=b1861>;5ij0>963=a9861>;5i=0>963=a1861>;51j0>963>8b861>;6010>963>85861>{t:mh1<7=t=3f:>=6<5;nj68:4=3f;>=4<uz8oo7>52z?1`d<?8279h54:4:p5ce=838p1<hm:92894`f2<>0q~l6:1818d?2<>01o;5819~wg1=838p1o85639>f0<?92wxj=4?:3y>ac<2<27no76?;|qfa?6=:r7nh78=;<g`>=7<uz;9;7>52z?263<2<27:>>470:p573=838p1<<;:708944421;0q~?:e;296~;6=m0>863>5`8;4>{t9<i1<7<t=07a>34<58?j65?4}r357?6=:r7::?4:4:?21c<?82wx=;?50;0x94072?801<;i:938yv7103:1>v3>66860>;6>=03<6s|17494?4|58<>6;<4=047>=7<uz;=h7>52z?22f<2<27::4470:p53d=838p1<8n:708940>21;0q~?82;296~;6?80>863>6d8;4>{t9>:1<7<t=04e>34<58<n65?4}r343?6=:r7:;;4:4:?236<?82wx=:;50;0x94132?801<9<:938yv70k3:1>v3>7c860>;6?103<6s|16c94?4|58=26;<4=05;>=7<uzhn6=4={<`g>02<5kk14=5rsca94?4|5kh1:?52b`8;5>{tk:0;6?u2c3860>;en32;7p}l1;296~;d83<970li:938yve?2909w0m8:4689f2=091vn850;0x9f3=>;16o9471:pga<72;q6on4:4:?`=?>73tyhn7>52z?`e?0534i265?4}rf1>5<5s4n:68:4=bg9<5=z{m:1<7<t=bd927=:kl03=6s|d683>7}:l?0>863k3;:3?xuc=3:1>v3k4;41?8b421;0q~jl:1818be2<>01i65819~wag=838p1i75639>`=<?92wxi<4?:3y>a5<2<27oh76?;|qgb?6=:r7oi78=;<fg>=7<uzo=6=4={<g6>02<5l814=5rsd694?4|5l91:?52e38;5>{tmk0;6?u2e`860>;b?32;7p}j9;296~;b03<970k8:938yv`22909w0h;:4689c7=091vk=50;0x9c4=>;16j<471:pbd<72;q6j44:4:?e2?>73tym47>52z?e3?0534l=65?4}rde>5<5s4ln68:4=g`9<5=z{on1<7<t=ga927=:nk03=6s|11694?4|58:868:4=023>=6<uz;;>7>52z?244<1:27:<=471:p55?=838p1<>7:468946221:0q~??7;296~;68?0=>63>048;5>{t99o1<7<t=02g>02<58:j65>4}r33g?6=:r7:<o492:?24d<?92wx=<=50;0x94752<>01<>i:928yv7693:1>v3>11856>;68o03=6s|10:94?4|58;<68:4=037>=6<uz;::7>52z?250<1:27:=9471:p54b=838p1<?l:468947>21:0q~?>b;296~;69h0=>63>188;5>{t9;81<7<t=002>02<58;n65>4}r314?6=:r7:=k492:?25`<?92wx=?m50;0x944e2<>01<<7:928yv75i3:1>v3>28856>;6:103=6s|12394?4|589;68:4=00g>=6<uz;9j7>52z?26`<1:27:>i471:p560=838p1<=::468945521:0q~?<4;296~;6;:0=>63>338;5>{t9:h1<7<t=01b>02<589<65>4}r30=?6=:r7:?5492:?272<?92wx=9>50;0x945a2<>01<=l:928yv74m3:1>v3>3e856>;6;j03=6s|15794?4|58>?68:4=062>=6<uz;??7>52z?207<1:27:8<471:p51g=838p1<:6:468942121:0q~?;8;296~;6<>0=>63>478;5>{t9=l1<7<t=06f>02<58>i65>4}r37`?6=:r7:8n492:?20g<?92wx=8:50;0x94342<>01<;?:928yv72:3:1>v3>50856>;6=903=6s|14;94?4|58?368:4=076>=6<uz;>;7>52z?213<1:27:98471:p5<d=839p1<78:92894??2<>01<7n:708yv7>k3:1>v3>998;4>;61h0==6s|18g94?3|583j6:94=0;3>=4<582o65<4=0::>=4<582>65<4}r3:`?6==r7:54487:?2=5<6>27:4i4>6:?2<<<6>27:484>6:p5d0=838p1<o::92894g62<>0q~?n8;297~;6i90>863>a08;4>;6i;0>86s|1`;94?4|58k;65<4=0c1>=6<uz;jm7>52z?2e1<?827:m>4:4:p5dd=838p1<o<:92894?a2?;0q~?n7;291~;6i<09n63>a581f>;61o0<;63>a081f>;6i;09n6s|b583>7}::>?14=52264927=z{;<o6=4={<36<?7134;mm76?;|q10=<72:q6=kl5559>5c?=0916=k65559~w70d2909w0?:3;35?87a032;7p}=4683>6}:9o3199521g59<5=:9o<1995rs34a>5<5s4;?i7?9;<3e2?>73ty98;4?:2y>5c1===16=k;5819>5c2===1v?8n:18187313;=70?i4;:3?xu5<<0;6>u21g7911=:9o914=521g0911=z{;<26=4={<370?7134;m>76?;|q101<72:q6=k=5559>5c7=0916=k>5559~w70?2909w0?<f;35?87a832;7p}=4283>6}:9o;199521dd9<5=:9lo1995rs344>5<5s4;8m7?9;<3fa?>73ty98?4?:2y>5``===16=hj5819>5`e===1v?89:181874=3;=70?jc;:3?xu5<80;6>u21df911=:9lh14=521dc911=z{;<>6=4={<304?7134;nm76?;|q105<72:q6=hl5559>5`?=0916=h65559~w7032909w0?=b;35?87b032;7p}=3g83>6}:9l3199521d59<5=:9l<1995rs341>5<5s4;9=7?9;<3f2?>73ty9?i4?:2y>5`1===16=h;5819>5`2===1v?8>:181876k3;=70?j4;:3?xu5;j0;6>u21d7911=:9l914=521d0911=z{;<;6=4={<323?7134;n>76?;|q17g<72:q6=h=5559>5`7=0916=h>5559~w73a2909w0?>2;35?87b832;7p}=3`83>6}:9l;199521ed9<5=:9mo1995rs37f>5<5s4;;h7?9;<3ga?>73ty9?44?:2y>5a`===16=ij5819>5ae===1v?;k:18187703;=70?kc;:3?xu5;10;6>u21ef911=:9mh14=521ec911=z{;?h6=4={<337?7134;om76?;|q172<72:q6=il5559>5a?=0916=i65559~w73e2909w0hj:04894b?21:0q~<<6;297~;6l00>863>d68;4>;6l?0>86s|24c94?4|5o31=;521e49<5=z{;9>6=4<{<3g3?3334;o976?;<3g0?333ty9944?:3y>b1<6>27:h9470:p662=839p1<j::46894b421:01<j=:468yv42?3:1>v3ja;35?87c:32;7p}=3383>6}:9m9199521e39<5=:9m:1995rs375>5<5s4o>6<84=0f3>=6<uz88=7>53z?2`4<2<27:ok470:?2g`<2<2wx>8;50;0x9`6=9?16=nk5819~w7572908w0?lf;77?87dl32;70?lc;77?xu5==0;6?u2dc822>;6kj03<6s|23d94?5|58io68:4=0aa>=6<58ij68:4}r067?6=:r7o:7?9;<3`e?>73ty9>h4?:2y>5fd===16=n75819>5f>===1v?;=:1818b628<01<m7:928yv45l3:1?v3>c8860>;6k>03<63>c7860>{t:<;1<7<t=ba953=:9j<14=5rs30`>5<4s4;h;7;;;<3`1?>734;h87;;;|q115<72;q6o:4>6:?2g1<?82wx>?l50;1x94e22<>01<m<:92894e52<>0q~<;f;296~;d:3;=70?l2;:3?xu5:h0;6>u21b1911=:9j;14=521b2911=z{;>n6=4={<`g>40<58i;65>4}r01=?6=;r7:o<4:4:?2fc<?827:nh4:4:p622=838p1<9m:04894db21:0q~<=7;297~;6jo0>863>be8;4>;6jj0>86s|26194?4|58==6<84=0``>=6<uz89:7>53z?2fa<2<27:no470:?2fd<2<2wx>:<50;0x941628<01<ln:928yv45=3:1?v3>bc860>;6j003<63>b9860>{t:>;1<7<t=04`>40<58h365>4}r010?6=;r7:n44:4:?2f2<?827:n;4:4:p626=838p1<88:04894d121:0q~<=3;297~;6j>0>863>b48;4>;6j=0>86s|27d94?4|58<96<84=0`7>=6<uz89>7>53z?2f0<2<27:n>470:?2f7<2<2wx>;k50;0x943c28<01<l=:928yv4593:1?v3>b2860>;6j803<63>b1860>{t:?91<7<t=005>40<58h;65>4}r014?6=;r7:n<4:4:?2ec<?827:mh4:4:p60>=838p1hh5179>5dc=091v??i:18087fn3??70?nd;:3?87fk3??7p}=4e83>7}:j10::63>ab8;4>{t:8o1<7<t=0cg>02<58?=6:94}r02g?6=:r7:984:4:?214<0?2wx><l50;0x94372<>01<:l:658yv46i3:1>v3>4c860>;6<>0<;6s|20;94?4|58>=68:4=061>21<uz8:47>52z?204<2<27:?i487:p641=838p1<=l:468945?2>=0q~<>6;296~;6;>0>863>32843>{t:8?1<7<t=011>02<588n6:94}r020?6=:r7:>i4:4:?26<<0?2wx><=50;0x944?2<>01<?i:658yv4693:1>v3>1d860>;69h0<;6s|20294?4|58;268:4=036>21<uz8;j7>52z?251<2<27:==487:p65c=838p1<>i:468946e2>=0q~<?d;296~;68h0>863>07843>{t:9i1<7<t=026>02<58::6:94}r03f?6=:r7:<=4:4:?eg?103ty9<l4?:3y>bg<2<27m;798;|q14<<72;q6j;4:4:?e6?103ty9<54?:3y>b4<2<27n4798;|q143<72;q6i:4:4:?f7?103ty9<84?:3y>a7<2<27oi798;|q141<72;q6hi4:4:?g=?103ty9<>4?:3y>`=<2<27o8798;|q147<72;q6h>4:4:?`b?103ty9<<4?:3y>g`<2<27hm798;|q145<72;q6o44:4:?`1?103ty:jk4?:3y>g1<2<27h<798;|q2b`<72;q6nk4:4:?af?103ty:ji4?:3y>fd<2<27:;4487:p61d=838p1<97:46894132>=0q~<;a;296~;6?:0>863>6g843>{t:=31<7<t=04f>02<58<j6:94}r00a?6=:r7::44:4:?220<0?2wx>>=50;0x94032<>01<8?:658yv4503:1>v3>5g860>;6=k0<;6s|20f94?4|58?j68:4=007>21<uz8:>7>52z?266<2<27nh798;|q142<72;q6in4:4:?a2?103ty9h94?:3`x94?>2?;01<;::d9>506=m27:8o4j;<372?c<58>:6h5212a9a>;6;>0n70?<2;g8944c2l16=?65e:?25`<b34;:57k4=037>`=:99l1i63>0`8f?877=3o01<>?:d9>bg<b34l=6h52f08f?8c02l16i?4j;<fg>`=:l10n70j<:d9>g`<b34i26h52c58f?8da2l16nl4j;<34<?c<58=86h5217g9a>;6>00n70?94;g8943a2l16=8o5e:?266<b34oh6h52b48f?87?=32;70?76;42?xu5l<0;6?lt=0;:>34<58?>6k521429b>;6<k0m70?;6;d894262o16=>m5f:?272<a34;8>7h4=00g>c=:9;21j63>1d8e?87613l01<?;:g9>55`=n27:<l4i;<331?`<58:;6k52fc8e?8`12o16j<4i;<g4>c=:m;0m70jk:g9>`=<a34n86k52cd8e?8e>2o16o94i;<`e>c=:jh0m70?88;d894142o16=;k5f:?22<<a34;=87h4=07e>c=:9<k1j63>228e?8cd2o16n84i;<3;=?>734;3m78>;|q1`3<72;hp1<76:718943228:01<;?:028942e28:01<:9:028942628:01<=l:028945028:01<==:028944c28:01<<7:028947b28:01<?6:028947328:01<>i:028946f28:01<>::028946728:01kl5119>b3<6827m=7??;<g4>46<5l81==52de824>;c03;;70j<:0289fc=9916o44>0:?`0?7734hm6<>4=cc955=:9>21==52161955=:9?o1==5217;955=:9?>1==5214d955=:9<k1==52131955=:mj0:<63m5;33?87?l32;70?7e;42?xu5l>0;6?lt=0;:>32<58?>6<?4=073>47<58>i6<?4=065>47<58>:6<?4=01`>47<589<6<?4=011>47<588o6<?4=00;>47<58;n6<?4=03:>47<58;?6<?4=02e>47<58:j6<?4=026>47<58:;6<?4=g`954=:n?0:=63i1;32?8c028;01h<5109>`a<6927o47?>;<f0>47<5jo1=<52c8825>;d<3;:70li:0389gg=9816=:65109>525=9816=;k5109>53?=9816=;:5109>50`=9816=8o5109>575=9816in4>1:?a1?7634;2<76?;<3:5?063tyi?7>52by>5d6=0916=l:5179>5d2===16=495559>5cg=9?16=k65179>5c0=9?16=k:5179>5c4=9?16=k>5179>5`c=9?16=hm5179>5`g=9?16=h65179>5`0=9?16=h:5179>5`4=9?16=h>5179>5ac=9?16=im5179>5ag=9?16=i65179>5a0=9?16=i:5179>5a4=9?16=i>5179>5fc=9?16=nm5179>5fg=9?16=n65179>5f0=9?16=n:5179>5f4=9?16=n>5179>5gc=9?16=om5179>5gg=9?16=o65179>5g0=9?16=o:5179>5g4=9?16=o>5179>5dc=9?16=lm5179~w72d2909w0<86;42?84d;32;7p}=8183>7}::j919952296932=z{;i?6=4={<04<?1034839789;|q1g0<72;q6>:75769>6=5=>?1v?m9:181840i3=<70<75;40?xu5k>0;6?u226`932=::191:>5rs3a;>5<5s48<o798;<0;1?023ty9o44?:3y>62b=?>16>5=5649~w7ef2909w0<8e;54?84?=3<?7p}=cc83>7}::>l1;:52291921=z{;=<6=46{<0;5?10348i476=;<0a1?>5348i=76=;<0b`?>5348j576=;<0b1?>5348j=76=;<0:`?>53ty9h=4?:2y>6db=0916>lk5609>6=2=>;1v?j>:18784e932;70<m2;42?84?;3<:70<75;42?xu5l;0;69u22c79<5=::k<1:<52291927=::1?1:?5rs3f0>5<4s48i476?;<0a=?063483878<;|q1gc<72hq6>:h5659>62c=>=16>:j5659>62e=>=16>:l5659>62g=>=16>:75659>62>=>=16>l75819>6dg=>81v?mj:18b840n3<870<8e;40?840l3<870<8c;40?840j3<870<8a;40?84013<870<88;40?84f=32;70<n6;42?xu5km0;6lu226d927=::>o1:?5226f927=::>i1:?5226`927=::>k1:?5226;927=::>21:?522`39<5=::h81:<5rs3a`>5<fs48<j78>;<04a?06348<h78>;<04g?06348<n78>;<04e?06348<578>;<04<?063482h76?;<0:a?063ty9594?:3y>6<b===16>4h5769~w7>c2908w0<n0;3;?84f;3;370<6c;4b?xu51<0;6?u22`3911=::h91;:5rs3:f>5<4s48j87?7;<0b3?7?348j<78n;|q1=3<72;q6>l;5559>6d1=?>1v?6i:18084f03;370<nb;3;?84f<3<j7p}=9683>7}::h3199522``932=z{;3;6=4<{<0bg?7?348jj7?7;<0b<?0f3ty9554?:3y>6db===16>lh5769~w7?62908w0<m0;3;?84e;3;370<nc;4b?xu5100;6?u22c3911=::k91;:5rs3;1>5<4s48i87?7;<0a3?7?348i<78n;|q1=d<72;q6>o;5559>6g1=?>1v?7<:18184ei3;370<m4;4b?xu51k0;6?u22c:911=::kk1;:5rs3`a>5<4s482i798;<0:g?>43482j786;|q1ff<72:q6>l<5769>6d6=0:16>l=5689~w7dc2908w0<n6;54?84f<32870<n7;4:?xu5jl0;6>u22`c932=::h214>522``92<=z{;hm6=4<{<0ba?10348jo76<;<0bb?0>3ty9o=4?:2y>6g4=?>16>o>5829>6g5=>01v?m>:18084e>3=<70<m4;:0?84e?3<27p}=c383>7}::k31;:522cc92<=z{82;6=4={<3;1?3334;3;798;|q23a<72:q6=565199>5=d=9116=5:56`9~w4>62909w0?79;77?87?j3=<7p}>7d83>6}:91i1=55219d95==:9121:l5rs0:1>5<5s4;3h7;;;<3;b?103ty:;k4?:3y>5<4=9116=5m56`9~w4>42909w0?60;77?87>:3=<7p}>9283>6}:91<1;:521969<6=:91=1:45rs0;7>5<4s4;3m798;<3;<?>434;3n786;|q2=0<72:q6=5k5769>5=e=0:16=5h5689~w4?12909w0?61;54?87>:3<27p}=8383>7}::191;:52296920=z{;286=4={<0;1?103483878;;|q1<0<72;q6>585769>6=e=>81v?69:18184??3=<70<7c;41?xu50>0;6?u229:932=::1i1:>5rs3:;>5<5s4835798;<0;g?033ty9444?:3y>6=g=?>16>5m5649~w7>f2909w0<7b;54?84?k3<=7p}=8c83>7}::1i1;:52296924=zug<jh7>51zJ1`a=zf?kn6=4>{I0g`>{i>hl1<7?tH3fg?xh1j90;6<uG2ef8yk0e93:1=vF=de9~j3d5290:wE<kd:m2g5=83;pD?jk;|l5f1<728qC>ij4}o4a1?6=9rB9hi5rn7`5>5<6sA8oh6sa6c594?7|@;no7p`9b983>4}O:mn0qc8m9;295~N5lm1vb;ln:182M4cl2we:ol50;3xL7bc3td=nn4?:0yK6ab<ug<ih7>51zJ1`a=zf?hn6=4>{I0g`>{i>kl1<7?tH3fg?xh1k90;6<uG2ef8yk0d93:1=vF=de9~j3e5290:wE<kd:m2f5=83;pD?jk;|l5g1<728qC>ij4}o4`1?6=9rB9hi5rn7a5>5<6sA8oh6sa6b594?7|@;no7p`9c983>4}O:mn0qc8l9;295~N5lm1vb;mn:182M4cl2we:nl50;3xL7bc3td=on4?:0yK6ab<ug<hh7>51zJ1`a=zf?in6=4>{I0g`>{i>jl1<7?tH3fg?xh1l90;6<uG2ef8yk0c93:1=vF=de9~j3b5290:wE<kd:m2a5=83;pD?jk;|l5`1<728qC>ij4}o4g1?6=9rB9hi5rn7f5>5<6sA8oh6sa6e594?7|@;no7p`9d983>4}O:mn0qc8k9;295~N5lm1vb;jn:182M4cl2we:il50;3xL7bc3td=hn4?:0yK6ab<ug<oh7>51zJ1`a=zf?nn6=4>{I0g`>{i>ml1<7?tH3fg?xh1m90;6<uG2ef8yk0b93:1=vF=de9~j3c5290:wE<kd:m2`5=83;pD?jk;|l5a1<728qC>ij4}o4f1?6=9rB9hi5rn7g5>5<6sA8oh6sa6d594?7|@;no7p`9e983>4}O:mn0qc8j9;295~N5lm1vb;kn:182M4cl2we:hl50;3xL7bc3td=in4?:0yK6ab<ug<nh7>51zJ1`a=zf?on6=4>{I0g`>{i>ll1<7?tH3fg?xh1n90;6<uG2ef8yk0a93:1=vF=de9~j3`5290:wE<kd:m2c5=83;pD?jk;|l5b1<728qC>ij4}o4e1?6=9rB9hi5rn7d5>5<6sA8oh6sa6g594?7|@;no7p`9f983>4}O:mn0qc8i9;295~N5lm1vb;hn:182M4cl2we:kl50;3xL7bc3td=jn4?:0yK6ab<ug<mh7>51zJ1`a=zf?ln6=4>{I0g`>{i>ol1<7?tH3fg?xh0890;6<uG2ef8yk1793:1=vF=de9~j265290:wE<kd:m355=83;pD?jk;|l441<728qC>ij4}o531?6=9rB9hi5rn625>5<6sA8oh6sa71594?7|@;no7p`80983>4}O:mn0qc9?9;295~N5lm1vb:>n:182M4cl2we;=l50;3xL7bc3td<<n4?:0yK6ab<ug=;h7>51zJ1`a=zf>:n6=4>{I0g`>{i?9l1<7?tH3fg?xh0990;6<uG2ef8yk1693:1=vF=de9~j275290:wE<kd:m345=83;pD?jk;|l451<728qC>ij4}o521?6=9rB9hi5rn635>5<6sA8oh6sa70594?7|@;no7p`81983>4}O:mn0qc9>9;295~N5lm1vb:?n:182M4cl2we;<l50;3xL7bc3td<=n4?:0yK6ab<ug=:h7>51zJ1`a=zf>;n6=4>{I0g`>{i?8l1<7?tH3fg?xh0:90;6<uG2ef8yk1593:1=vF=de9~j245290:wE<kd:m375=83;pD?jk;|l461<728qC>ij4}o511?6=9rB9hi5rn605>5<6sA8oh6sa73594?7|@;no7p`82983>4}O:mn0qc9=9;295~N5lm1vb:<n:182M4cl2we;?l50;3xL7bc3td<>n4?:0yK6ab<ug=9h7>51zJ1`a=zf>8n6=4>{I0g`>{i?;l1<7?tH3fg?xh0;90;6<uG2ef8yk1493:1=vF=de9~j255290:wE<kd:m365=83;pD?jk;|l471<728qC>ij4}o501?6=9rB9hi5rn615>5<6sA8oh6sa72594?7|@;no7p`83983>4}O:mn0qc9<9;295~N5lm1vb:=n:182M4cl2we;>l50;3xL7bc3td<?n4?:0yK6ab<ug=8h7>51zJ1`a=zf>9n6=4>{I0g`>{i?:l1<7?tH3fg?xh0<90;6<uG2ef8yk1393:1=vF=de9~j225290:wE<kd:m315=83;pD?jk;|l401<728qC>ij4}o571?6=9rB9hi5rn665>5<6sA8oh6sa75594?7|@;no7p`84983>4}O:mn0qc9;9;295~N5lm1vb::n:182M4cl2we;9l50;3xL7bc3td<8n4?:0yK6ab<ug=?h7>51zJ1`a=zf>>n6=4>{I0g`>{i?=l1<7?tH3fg?xh0=90;6<uG2ef8yk1293:1=vF=de9~j235290:wE<kd:m305=83;pD?jk;|l411<728qC>ij4}o561?6=9rB9hi5rn675>5<6sA8oh6sa74594?7|@;no7p`85983>4}O:mn0qc9:9;295~N5lm1vb:;n:182M4cl2we;8l50;3xL7bc3td<9n4?:0yK6ab<ug=>h7>51zJ1`a=zf>?n6=4>{I0g`>{i?<l1<7?tH3fg?xh0>90;6<uG2ef8yk1193:1=vF=de9~j205290:wE<kd:m335=83;pD?jk;|l421<728qC>ij4}o551?6=9rB9hi5rn645>5<6sA8oh6sa77594?7|@;no7p`86983>4}O:mn0qc999;295~N5lm1vb:8n:182M4cl2we;;l50;3xL7bc3td<:n4?:0yK6ab<ug==h7>51zJ1`a=zf><n6=4>{I0g`>{i??l1<7?tH3fg?xh0?90;6<uG2ef8yk1093:1=vF=de9~j215290:wE<kd:m325=83;pD?jk;|l431<728qC>ij4}o541?6=9rB9hi5rn655>5<6sA8oh6sa76594?7|@;no7p`87983>4}O:mn0qc989;295~N5lm1vb:9n:182M4cl2we;:l50;3xL7bc3td<;n4?:0yK6ab<ug=<h7>51zJ1`a=zf>=n6=4>{I0g`>{i?>l1<7?tH3fg?xh0090;6<uG2ef8yk1?93:1=vF=de9~j2>5290:wE<kd:m3=5=83;pD?jk;|l4<1<728qC>ij4}o5;1?6=9rB9hi5rn6:5>5<6sA8oh6sa79594?7|@;no7p`88983>4}O:mn0qc979;295~N5lm1vb:6n:182M4cl2we;5l50;3xL7bc3td<4n4?:0yK6ab<ug=3h7>51zJ1`a=zf>2n6=4>{I0g`>{i?1l1<7?tH3fg?xh0190;6<uG2ef8yk1>93:1=vF=de9~j2?5290:wE<kd:m3<5=83;pD?jk;|l4=1<728qC>ij4}o5:1?6=9rB9hi5rn6;5>5<6sA8oh6sa78594?7|@;no7p`89983>4}O:mn0qc969;295~N5lm1vb:7n:182M4cl2we;4l50;3xL7bc3td<5n4?:0yK6ab<ug=2h7>51zJ1`a=zf>3n6=4>{I0g`>{i?0l1<7?tH3fg?xh0i90;6<uG2ef8yk1f93:1=vF=de9~j2g5290:wE<kd:m3d5=83;pD?jk;|l4e1<728qC>ij4}o5b1?6=9rB9hi5rn6c5>5<6sA8oh6sa7`594?7|@;no7p`8a983>4}O:mn0qc9n9;295~N5lm1vb:on:182M4cl2we;ll50;3xL7bc3td<mn4?:0yK6ab<ug=jh7>51zJ1`a=zf>kn6=4>{I0g`>{i?hl1<7?tH3fg?xh0j90;6<uG2ef8yk1e93:1=vF=de9~j2d5290:wE<kd:m3g5=83;pD?jk;|l4f1<728qC>ij4}o5a1?6=9rB9hi5rn6`5>5<6sA8oh6sa7c594?7|@;no7p`8b983>4}O:mn0qc9m9;295~N5lm1vb:ln:182M4cl2we;ol50;3xL7bc3td<nn4?:0yK6ab<ug=ih7>51zJ1`a=zf>hn6=4>{I0g`>{i?kl1<7?tH3fg?xh0k90;6<uG2ef8yk1d93:1=vF=de9~j2e5290:wE<kd:m3f5=83;pD?jk;|l4g1<728qC>ij4}o5`1?6=9rB9hi5rn6a5>5<6sA8oh6sa7b594?7|@;no7p`8c983>4}O:mn0qc9l9;295~N5lm1vb:mn:182M4cl2we;nl50;3xL7bc3td<on4?:0yK6ab<ug=hh7>51zJ1`a=zf>in6=4>{I0g`>{i?jl1<7?tH3fg?xh0l90;6<uG2ef8yk1c93:1=vF=de9~j2b5290:wE<kd:m3a5=83;pD?jk;|l4`1<728qC>ij4}o5g1?6=9rB9hi5rn6f5>5<6sA8oh6sa7e594?7|@;no7p`8d983>4}O:mn0qc9k9;295~N5lm1vb:jn:182M4cl2we;il50;3xL7bc3td<hn4?:0yK6ab<ug=oh7>51zJ1`a=zf>nn6=4>{I0g`>{i?ml1<7?tH3fg?xh0m90;6<uG2ef8yk1b93:1=vF=de9~j2c5290:wE<kd:m3`5=83;pD?jk;|l4a1<728qC>ij4}o5f1?6=9rB9hi5rn6g5>5<6sA8oh6sa7d594?7|@;no7p`8e983>4}O:mn0qc9j9;295~N5lm1vb:kn:182M4cl2we;hl50;3xL7bc3td<in4?:0yK6ab<ug=nh7>51zJ1`a=zf>on6=4>{I0g`>{i?ll1<7?tH3fg?xh0n90;6<uG2ef8yk1a93:1=vF=de9~j2`5290:wE<kd:m3c5=83;pD?jk;|l4b1<728qC>ij4}o5e1?6=9rB9hi5rn6d5>5<6sA8oh6sa7g594?7|@;no7p`8f983>4}O:mn0qc9i9;295~N5lm1vb:hn:182M4cl2we;kl50;3xL7bc3td<jn4?:0yK6ab<ug=mh7>51zJ1`a=zf>ln6=4>{I0g`>{i?ol1<7?tH3fg?xh?890;6<uG2ef8yk>793:1=vF=de9~j=65290:wE<kd:m<55=83;pD?jk;|l;41<728qC>ij4}o:31?6=9rB9hi5rn925>5<6sA8oh6sa81594?7|@;no7p`70983>4}O:mn0qc6?9;295~N5lm1vb5>n:182M4cl2we4=l50;3xL7bc3td3<n4?:0yK6ab<ug2;h7>51zJ1`a=zf1:n6=4>{I0g`>{i09l1<7?tH3fg?xh?990;6<uG2ef8yk>693:1=vF=de9~j=75290:wE<kd:m<45=83;pD?jk;|~yEFDs0=i6>m8dc022xFGJr:vLM^t}AB
/trunk/rtl/xilinx/coregen/coregen.cgc
0,0 → 1,1286
<?xml version="1.0" encoding="UTF-8"?>
<spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xilinx="http://www.xilinx.com" >
<spirit:vendor>xilinx.com</spirit:vendor>
<spirit:library>project</spirit:library>
<spirit:name>coregen</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>chipscope_icon</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="chipscope_icon" spirit:version="1.06.a" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">chipscope_icon</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_UNUSED_BSCAN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUMBER_CONTROL_PORTS">3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_JTAG_BUFG">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SOFTBSCAN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXT_BSCAN">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_SCAN_CHAIN">USER1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EXAMPLE_DESIGN">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2012-07-21+03:11</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>chipscope_vio_fifo</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="chipscope_vio" spirit:version="1.05.a" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">chipscope_vio_fifo</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_INPUT_PORT_WIDTH">92</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_OUTPUT_PORT_WIDTH">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_OUTPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_INPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INVERT_CLOCK_INPUT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_OUTPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_OUTPUT_PORT">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_INPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_INPUT_PORT">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_IN_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_CLK">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_OUT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_IN">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SRL16_TYPE">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_OUT_WIDTH">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INV_CLK">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_IN_WIDTH">92</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.COMPONENT_NAME">chipscope_vio_fifo</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XCO_LIST">Component_Name=chipscope_vio_fifo;Enable_Synchronous_Input_Port=true;Enable_Synchronous_Output_Port=true;Enable_Asynchronous_Input_Port=false;Enable_Asynchronous_Output_Port=false;Synchronous_Input_Port_Width=92;Synchronous_Output_Port_Width=2;Asynchronous_Input_Port_Width=8;Asynchronous_Output_Port_Width=8;Invert_Clock_Input=false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_OUT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_IN">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_OUT">1</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Structural</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2012-07-21+03:12</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:generationHistory>
<xilinx:fileSet>
<xilinx:name>customization_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>model_parameter_resolution_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ip_xco_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.xco</xilinx:name>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:08 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8A36FA63</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ngc_netlist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/example_design/chipscope_vio_fifo_bb_lib.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x9770D02F</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/example_design/example_chipscope_vio_fifo.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:11 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1390C322</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/example_design/example_chipscope_vio_fifo.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:11 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x3E0AC7FF</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/example_design/example_chipscope_vio_fifo.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:11 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x90E75716</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/chipscope_icon.xco</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1FF80BFB</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/coregen.cgp</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x940C30DF</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/example_chipscope_vio_fifo.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:10 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xAE724F77</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/example_chipscope_vio_fifo.xst</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:13 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEBBE356D</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/ise_implement.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:10 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEE86AB45</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/ise_implement.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:13 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x32780610</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/pa_ise_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:11 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC8D9F8F9</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:12 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xBD351EB6</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/implement/v_rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:12 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x74FFDD3B</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo/read_me.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:12 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4A2AE78B</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.cdc</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xB3886A39</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.constraints/chipscope_vio_fifo.ucf</xilinx:name>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.constraints/chipscope_vio_fifo.xdc</xilinx:name>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.ngc</xilinx:name>
<xilinx:userFileType>ngc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:08 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xB712EB26</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.v</xilinx:name>
<xilinx:userFileType>verilog</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x84C0DD82</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.veo</xilinx:name>
<xilinx:userFileType>veo</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEA70F2E8</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:09 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo_xmdf.tcl</xilinx:name>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:34:12 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x136E503B</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>instantiation_template_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>asy_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.asy</xilinx:name>
<xilinx:userFileType>asy</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:10 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEE4A2520</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>xmdf_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ise_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.gise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>gise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:14 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xE46738AE</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo.xise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:14 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x78819C93</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>deliver_readme_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo_readme.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtReadme</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:14 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8A5D60C8</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>flist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_fifo_flist.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtFlist</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:14 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x2E57030C</xilinx:checkSum>
<xilinx:generationId>generationID_4013899584</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>view_readme_generator</xilinx:name>
</xilinx:fileSet>
</xilinx:generationHistory>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>chipscope_vio_mask</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="chipscope_vio" spirit:version="1.05.a" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">chipscope_vio_mask</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_INPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_OUTPUT_PORT_WIDTH">40</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_OUTPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_INPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INVERT_CLOCK_INPUT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_OUTPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_OUTPUT_PORT">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_INPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_INPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_IN_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_CLK">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_OUT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_IN">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SRL16_TYPE">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_OUT_WIDTH">40</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INV_CLK">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_IN_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.COMPONENT_NAME">chipscope_vio_mask</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XCO_LIST">Component_Name=chipscope_vio_mask;Enable_Synchronous_Input_Port=false;Enable_Synchronous_Output_Port=true;Enable_Asynchronous_Input_Port=false;Enable_Asynchronous_Output_Port=false;Synchronous_Input_Port_Width=8;Synchronous_Output_Port_Width=40;Asynchronous_Input_Port_Width=8;Asynchronous_Output_Port_Width=8;Invert_Clock_Input=false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_OUT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_IN">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_OUT">1</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Structural</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2012-07-21+03:12</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:generationHistory>
<xilinx:fileSet>
<xilinx:name>customization_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>model_parameter_resolution_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ip_xco_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.xco</xilinx:name>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:57 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xE543A821</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ngc_netlist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/example_design/chipscope_vio_mask_bb_lib.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:57 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8C39E98A</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/example_design/example_chipscope_vio_mask.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:00 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8A99C5D7</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/example_design/example_chipscope_vio_mask.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:59 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x75E2D857</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/example_design/example_chipscope_vio_mask.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:00 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xED983B09</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/chipscope_icon.xco</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1FF80BFB</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/coregen.cgp</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x940C30DF</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/example_chipscope_vio_mask.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:59 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xDD5C1574</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/example_chipscope_vio_mask.xst</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:01 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x95BB138E</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/ise_implement.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xEF02D4FF</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/ise_implement.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:01 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x9A745C3F</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/pa_ise_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:35:59 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xF047984E</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:00 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x5B9127B8</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/implement/v_rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:00 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x94CF2579</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask/read_me.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:01 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4A2AE78B</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.cdc</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xA96F5278</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.constraints/chipscope_vio_mask.ucf</xilinx:name>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.constraints/chipscope_vio_mask.xdc</xilinx:name>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.ngc</xilinx:name>
<xilinx:userFileType>ngc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:38 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xE1997330</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.v</xilinx:name>
<xilinx:userFileType>verilog</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x78FBC74D</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.veo</xilinx:name>
<xilinx:userFileType>veo</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xAF798824</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:39 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask_xmdf.tcl</xilinx:name>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:01 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xBADC42BD</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>instantiation_template_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>asy_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.asy</xilinx:name>
<xilinx:userFileType>asy</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:40 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xB7DFDEC6</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>xmdf_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ise_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.gise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>gise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:44 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x81874387</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask.xise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:44 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xD0AB24F1</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>deliver_readme_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask_readme.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtReadme</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:44 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x736C765D</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>flist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_mask_flist.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtFlist</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:36:44 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1CC0385A</xilinx:checkSum>
<xilinx:generationId>generationID_1879581046</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>view_readme_generator</xilinx:name>
</xilinx:fileSet>
</xilinx:generationHistory>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>chipscope_vio_trig</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="chipscope_vio" spirit:version="1.05.a" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">chipscope_vio_trig</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_INPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONOUS_OUTPUT_PORT_WIDTH">66</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_OUTPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ASYNCHRONOUS_INPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INVERT_CLOCK_INPUT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_OUTPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_OUTPUT_PORT">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ASYNCHRONOUS_INPUT_PORT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_SYNCHRONOUS_INPUT_PORT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_IN_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_CLK">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ASYNC_OUT_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_IN">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SRL16_TYPE">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_OUT_WIDTH">66</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_INV_CLK">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNC_IN_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.COMPONENT_NAME">chipscope_vio_trig</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EXAMPLE_DESIGN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XCO_LIST">Component_Name=chipscope_vio_trig;Enable_Synchronous_Input_Port=false;Enable_Synchronous_Output_Port=true;Enable_Asynchronous_Input_Port=false;Enable_Asynchronous_Output_Port=false;Synchronous_Input_Port_Width=8;Synchronous_Output_Port_Width=66;Asynchronous_Input_Port_Width=8;Asynchronous_Output_Port_Width=8;Invert_Clock_Input=false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONSTRAINT_TYPE">external</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_OUT">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ASYNC_IN">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SYNC_OUT">1</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Structural</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2012-07-21+03:12</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
<xilinx:generationHistory>
<xilinx:fileSet>
<xilinx:name>customization_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>model_parameter_resolution_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ip_xco_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.xco</xilinx:name>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:14 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1C211B73</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ngc_netlist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/example_design/chipscope_vio_trig_bb_lib.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:15 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4FF83256</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/example_design/example_chipscope_vio_trig.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:17 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xB859E54F</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/example_design/example_chipscope_vio_trig.v</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:17 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x604E80B9</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/example_design/example_chipscope_vio_trig.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:17 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x2338DEFF</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/chipscope_icon.xco</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xco</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:15 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x1FF80BFB</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/coregen.cgp</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:15 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x940C30DF</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/example_chipscope_vio_trig.prj</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:16 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x34375C50</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/example_chipscope_vio_trig.xst</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:18 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x8A9B51FF</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/ise_implement.bat</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:15 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xD215B1A8</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/ise_implement.sh</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:18 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x2A4B1B2C</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/pa_ise_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:16 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x2C7947C8</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:17 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x3BC95413</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/implement/v_rdi_implement.tcl</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:18 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x7F500418</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig/read_me.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:18 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4A2AE78B</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.cdc</xilinx:name>
<xilinx:userFileType>unknown</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x97DAF80B</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.constraints/chipscope_vio_trig.ucf</xilinx:name>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.constraints/chipscope_vio_trig.xdc</xilinx:name>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.ngc</xilinx:name>
<xilinx:userFileType>ngc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:56 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xE667CCD8</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.ucf</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>ucf</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x46D4F328</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.v</xilinx:name>
<xilinx:userFileType>verilog</xilinx:userFileType>
<xilinx:userFileType>verilogSynthesis</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x22E2DC33</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.veo</xilinx:name>
<xilinx:userFileType>veo</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4BA52A8E</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.xdc</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xdc</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xC2D11607</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig_xmdf.tcl</xilinx:name>
<xilinx:userFileType>tcl</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:18 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x0BB32865</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>instantiation_template_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>asy_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.asy</xilinx:name>
<xilinx:userFileType>asy</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:37:58 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x7C5E1854</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>xmdf_generator</xilinx:name>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>ise_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.gise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>gise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:38:02 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0xD388EB56</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig.xise</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>xise</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:38:02 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x20B90E56</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>deliver_readme_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig_readme.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtReadme</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:38:02 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x7BAD3427</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>flist_generator</xilinx:name>
<xilinx:file>
<xilinx:name>./chipscope_vio_trig_flist.txt</xilinx:name>
<xilinx:userFileType>ignore</xilinx:userFileType>
<xilinx:userFileType>txtFlist</xilinx:userFileType>
<xilinx:userFileType>txt</xilinx:userFileType>
<xilinx:timeStamp>Tue Nov 20 02:38:02 GMT 2012</xilinx:timeStamp>
<xilinx:checkSum>0x4B4A8590</xilinx:checkSum>
<xilinx:generationId>generationID_3673615094</xilinx:generationId>
</xilinx:file>
</xilinx:fileSet>
<xilinx:fileSet>
<xilinx:name>view_readme_generator</xilinx:name>
</xilinx:fileSet>
</xilinx:generationHistory>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>scfifo</spirit:instanceName>
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="9.2" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">scfifo</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONIZATION_STAGES">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYNCHRONIZATION_STAGES_AXI">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PERFORMANCE_OPTIONS">Standard_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DATA_WIDTH">82</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DATA_WIDTH">82</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OUTPUT_DEPTH">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EMBEDDED_REGISTERS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PIN">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RESET_SYNCHRONIZATION">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">Asynchronous_Reset</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_FLAGS_RESET_VALUE">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DOUT_RESET">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_RESET_VALUE">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_FULL_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ALMOST_EMPTY_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.VALID_SENSE">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_ACKNOWLEDGE_SENSE">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_EXTRA_LOGIC">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_CLOCK_FREQUENCY">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRITE_CLOCK_FREQUENCY">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_NEGATE_VALUE">1021</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_NEGATE_VALUE">3</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_TYPE">AXI4_Stream</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_TYPE_AXI">Common_Clock</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_ENABLE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_ENABLE_TYPE">Slave_Interface_Clock_Enable</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_WRITE_CHANNEL">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_READ_CHANNEL">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ADDRESS_WIDTH">32</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DATA_WIDTH">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_AWUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_WUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_BUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ARUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_WIDTH">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TDATA">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDATA_WIDTH">64</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TID">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TID_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TDEST">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDEST_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TUSER">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TUSER_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TREADY">true</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TLAST">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TSTROBE">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TSTRB_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TKEEP">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TKEEP_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WACH_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_WACH">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_WACH">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_WACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_WACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_WACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_WACH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_WACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_WACH">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_WACH">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_WACH">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_WACH">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WDCH_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_WDCH">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_WDCH">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_WDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_WDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_WDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_WDCH">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_WDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_WDCH">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_WDCH">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_WDCH">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_WDCH">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WRCH_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_WRCH">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_WRCH">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_WRCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_WRCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_WRCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_WRCH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_WRCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_WRCH">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_WRCH">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_WRCH">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_WRCH">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RACH_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_RACH">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_RACH">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_RACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_RACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_RACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_RACH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_RACH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_RACH">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_RACH">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_RACH">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_RACH">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RDCH_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_RDCH">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_RDCH">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_RDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_RDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_RDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_RDCH">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_RDCH">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_RDCH">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_RDCH">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_RDCH">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_RDCH">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXIS_TYPE">FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_IMPLEMENTATION_AXIS">Common_Clock_Block_RAM</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_APPLICATION_TYPE_AXIS">Data_FIFO</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_ECC_AXIS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_SBIT_ERROR_AXIS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INJECT_DBIT_ERROR_AXIS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_DEPTH_AXIS">1024</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATA_COUNTS_AXIS">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_FULL_TYPE_AXIS">No_Programmable_Full_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FULL_THRESHOLD_ASSERT_VALUE_AXIS">1023</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROGRAMMABLE_EMPTY_TYPE_AXIS">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EMPTY_THRESHOLD_ASSERT_VALUE_AXIS">1022</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_WACH">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_WDCH">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_WRCH">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_RACH">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_RDCH">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REGISTER_SLICE_MODE_AXIS">Fully_Registered</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_FLAG_AXI">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UNDERFLOW_SENSE_AXI">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_FLAG_AXI">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERFLOW_SENSE_AXI">Active_High</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DISABLE_TIMING_VIOLATIONS_AXI">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADD_NGC_CONSTRAINT_AXI">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_COMMON_UNDERFLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_COMMON_OVERFLOW">false</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_READ_POINTER_INCREMENT_BY2">false</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<xilinx:instanceProperties xmlns:xilinx="http://www.xilinx.com">
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
<xilinx:packageInfo>
<xilinx:sourceCoreCreationDate>2012-06-23+13:35</xilinx:sourceCoreCreationDate>
</xilinx:packageInfo>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:componentInstance>
</spirit:componentInstances>
<spirit:vendorExtensions>
<xilinx:instanceProperties>
<xilinx:projectOptions>
<xilinx:projectName>coregen</xilinx:projectName>
<xilinx:outputDirectory>./</xilinx:outputDirectory>
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
</xilinx:projectOptions>
<xilinx:part>
<xilinx:device>xc7z020</xilinx:device>
<xilinx:deviceFamily>zynq</xilinx:deviceFamily>
<xilinx:package>clg400</xilinx:package>
<xilinx:speedGrade>-2</xilinx:speedGrade>
</xilinx:part>
<xilinx:flowOptions>
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
<xilinx:designEntry>Verilog</xilinx:designEntry>
<xilinx:asySymbol>true</xilinx:asySymbol>
<xilinx:flowVendor>Other</xilinx:flowVendor>
<xilinx:addPads>false</xilinx:addPads>
<xilinx:removeRPMs>false</xilinx:removeRPMs>
<xilinx:createNDF>false</xilinx:createNDF>
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
<xilinx:formalVerification>false</xilinx:formalVerification>
</xilinx:flowOptions>
<xilinx:simulationOptions>
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
<xilinx:foundationSym>false</xilinx:foundationSym>
</xilinx:simulationOptions>
</xilinx:instanceProperties>
</spirit:vendorExtensions>
</spirit:design>
 
/trunk/rtl/xilinx/coregen/chipscope_icon.xco
0,0 → 1,56
##############################################################
#
# Xilinx Core Generator version 14.2
# Date: Mon Nov 19 14:30:49 2012
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:chipscope_icon:1.06.a
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = Verilog
SET device = xc7z020
SET devicefamily = zynq
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = clg400
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = true
SET vhdlsim = false
# END Project Options
# BEGIN Select
SELECT ICON_(ChipScope_Pro_-_Integrated_Controller) family Xilinx,_Inc. 1.06.a
# END Select
# BEGIN Parameters
CSET component_name=chipscope_icon
CSET constraint_type=external
CSET enable_jtag_bufg=true
CSET example_design=false
CSET number_control_ports=3
CSET use_ext_bscan=false
CSET use_softbscan=false
CSET use_unused_bscan=false
CSET user_scan_chain=USER1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2012-07-21T03:11:48Z
# END Extra information
GENERATE
# CRC: 868ef601
/trunk/rtl/xilinx/coregen/scfifo.v
0,0 → 1,487
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used solely *
* for design, simulation, implementation and creation of design files *
* limited to Xilinx devices or technologies. Use with non-Xilinx *
* devices or technologies is expressly prohibited and immediately *
* terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
* PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support appliances, *
* devices, or systems. Use in such applications are expressly *
* prohibited. *
* *
* (c) Copyright 1995-2012 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// You must compile the wrapper file scfifo.v when simulating
// the core, scfifo. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
 
// The synthesis directives "translate_off/translate_on" specified below are
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).
 
`timescale 1ns/1ps
 
module scfifo(
clk,
rst,
din,
wr_en,
rd_en,
dout,
full,
empty,
data_count
);
 
input clk;
input rst;
input [81 : 0] din;
input wr_en;
input rd_en;
output [81 : 0] dout;
output full;
output empty;
output [9 : 0] data_count;
 
// synthesis translate_off
 
FIFO_GENERATOR_V9_2 #(
.C_ADD_NGC_CONSTRAINT(0),
.C_APPLICATION_TYPE_AXIS(0),
.C_APPLICATION_TYPE_RACH(0),
.C_APPLICATION_TYPE_RDCH(0),
.C_APPLICATION_TYPE_WACH(0),
.C_APPLICATION_TYPE_WDCH(0),
.C_APPLICATION_TYPE_WRCH(0),
.C_AXI_ADDR_WIDTH(32),
.C_AXI_ARUSER_WIDTH(1),
.C_AXI_AWUSER_WIDTH(1),
.C_AXI_BUSER_WIDTH(1),
.C_AXI_DATA_WIDTH(64),
.C_AXI_ID_WIDTH(4),
.C_AXI_RUSER_WIDTH(1),
.C_AXI_TYPE(0),
.C_AXI_WUSER_WIDTH(1),
.C_AXIS_TDATA_WIDTH(64),
.C_AXIS_TDEST_WIDTH(4),
.C_AXIS_TID_WIDTH(8),
.C_AXIS_TKEEP_WIDTH(4),
.C_AXIS_TSTRB_WIDTH(4),
.C_AXIS_TUSER_WIDTH(4),
.C_AXIS_TYPE(0),
.C_COMMON_CLOCK(1),
.C_COUNT_TYPE(0),
.C_DATA_COUNT_WIDTH(10),
.C_DEFAULT_VALUE("BlankString"),
.C_DIN_WIDTH(82),
.C_DIN_WIDTH_AXIS(1),
.C_DIN_WIDTH_RACH(32),
.C_DIN_WIDTH_RDCH(64),
.C_DIN_WIDTH_WACH(32),
.C_DIN_WIDTH_WDCH(64),
.C_DIN_WIDTH_WRCH(2),
.C_DOUT_RST_VAL("0"),
.C_DOUT_WIDTH(82),
.C_ENABLE_RLOCS(0),
.C_ENABLE_RST_SYNC(1),
.C_ERROR_INJECTION_TYPE(0),
.C_ERROR_INJECTION_TYPE_AXIS(0),
.C_ERROR_INJECTION_TYPE_RACH(0),
.C_ERROR_INJECTION_TYPE_RDCH(0),
.C_ERROR_INJECTION_TYPE_WACH(0),
.C_ERROR_INJECTION_TYPE_WDCH(0),
.C_ERROR_INJECTION_TYPE_WRCH(0),
.C_FAMILY("zynq"),
.C_FULL_FLAGS_RST_VAL(1),
.C_HAS_ALMOST_EMPTY(0),
.C_HAS_ALMOST_FULL(0),
.C_HAS_AXI_ARUSER(0),
.C_HAS_AXI_AWUSER(0),
.C_HAS_AXI_BUSER(0),
.C_HAS_AXI_RD_CHANNEL(0),
.C_HAS_AXI_RUSER(0),
.C_HAS_AXI_WR_CHANNEL(0),
.C_HAS_AXI_WUSER(0),
.C_HAS_AXIS_TDATA(0),
.C_HAS_AXIS_TDEST(0),
.C_HAS_AXIS_TID(0),
.C_HAS_AXIS_TKEEP(0),
.C_HAS_AXIS_TLAST(0),
.C_HAS_AXIS_TREADY(1),
.C_HAS_AXIS_TSTRB(0),
.C_HAS_AXIS_TUSER(0),
.C_HAS_BACKUP(0),
.C_HAS_DATA_COUNT(1),
.C_HAS_DATA_COUNTS_AXIS(0),
.C_HAS_DATA_COUNTS_RACH(0),
.C_HAS_DATA_COUNTS_RDCH(0),
.C_HAS_DATA_COUNTS_WACH(0),
.C_HAS_DATA_COUNTS_WDCH(0),
.C_HAS_DATA_COUNTS_WRCH(0),
.C_HAS_INT_CLK(0),
.C_HAS_MASTER_CE(0),
.C_HAS_MEMINIT_FILE(0),
.C_HAS_OVERFLOW(0),
.C_HAS_PROG_FLAGS_AXIS(0),
.C_HAS_PROG_FLAGS_RACH(0),
.C_HAS_PROG_FLAGS_RDCH(0),
.C_HAS_PROG_FLAGS_WACH(0),
.C_HAS_PROG_FLAGS_WDCH(0),
.C_HAS_PROG_FLAGS_WRCH(0),
.C_HAS_RD_DATA_COUNT(0),
.C_HAS_RD_RST(0),
.C_HAS_RST(1),
.C_HAS_SLAVE_CE(0),
.C_HAS_SRST(0),
.C_HAS_UNDERFLOW(0),
.C_HAS_VALID(0),
.C_HAS_WR_ACK(0),
.C_HAS_WR_DATA_COUNT(0),
.C_HAS_WR_RST(0),
.C_IMPLEMENTATION_TYPE(0),
.C_IMPLEMENTATION_TYPE_AXIS(1),
.C_IMPLEMENTATION_TYPE_RACH(1),
.C_IMPLEMENTATION_TYPE_RDCH(1),
.C_IMPLEMENTATION_TYPE_WACH(1),
.C_IMPLEMENTATION_TYPE_WDCH(1),
.C_IMPLEMENTATION_TYPE_WRCH(1),
.C_INIT_WR_PNTR_VAL(0),
.C_INTERFACE_TYPE(0),
.C_MEMORY_TYPE(1),
.C_MIF_FILE_NAME("BlankString"),
.C_MSGON_VAL(1),
.C_OPTIMIZATION_MODE(0),
.C_OVERFLOW_LOW(0),
.C_PRELOAD_LATENCY(1),
.C_PRELOAD_REGS(0),
.C_PRIM_FIFO_TYPE("1kx36"),
.C_PROG_EMPTY_THRESH_ASSERT_VAL(2),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
.C_PROG_EMPTY_THRESH_NEGATE_VAL(3),
.C_PROG_EMPTY_TYPE(0),
.C_PROG_EMPTY_TYPE_AXIS(0),
.C_PROG_EMPTY_TYPE_RACH(0),
.C_PROG_EMPTY_TYPE_RDCH(0),
.C_PROG_EMPTY_TYPE_WACH(0),
.C_PROG_EMPTY_TYPE_WDCH(0),
.C_PROG_EMPTY_TYPE_WRCH(0),
.C_PROG_FULL_THRESH_ASSERT_VAL(1022),
.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
.C_PROG_FULL_THRESH_NEGATE_VAL(1021),
.C_PROG_FULL_TYPE(0),
.C_PROG_FULL_TYPE_AXIS(0),
.C_PROG_FULL_TYPE_RACH(0),
.C_PROG_FULL_TYPE_RDCH(0),
.C_PROG_FULL_TYPE_WACH(0),
.C_PROG_FULL_TYPE_WDCH(0),
.C_PROG_FULL_TYPE_WRCH(0),
.C_RACH_TYPE(0),
.C_RD_DATA_COUNT_WIDTH(10),
.C_RD_DEPTH(1024),
.C_RD_FREQ(1),
.C_RD_PNTR_WIDTH(10),
.C_RDCH_TYPE(0),
.C_REG_SLICE_MODE_AXIS(0),
.C_REG_SLICE_MODE_RACH(0),
.C_REG_SLICE_MODE_RDCH(0),
.C_REG_SLICE_MODE_WACH(0),
.C_REG_SLICE_MODE_WDCH(0),
.C_REG_SLICE_MODE_WRCH(0),
.C_SYNCHRONIZER_STAGE(2),
.C_UNDERFLOW_LOW(0),
.C_USE_COMMON_OVERFLOW(0),
.C_USE_COMMON_UNDERFLOW(0),
.C_USE_DEFAULT_SETTINGS(0),
.C_USE_DOUT_RST(1),
.C_USE_ECC(0),
.C_USE_ECC_AXIS(0),
.C_USE_ECC_RACH(0),
.C_USE_ECC_RDCH(0),
.C_USE_ECC_WACH(0),
.C_USE_ECC_WDCH(0),
.C_USE_ECC_WRCH(0),
.C_USE_EMBEDDED_REG(0),
.C_USE_FIFO16_FLAGS(0),
.C_USE_FWFT_DATA_COUNT(0),
.C_VALID_LOW(0),
.C_WACH_TYPE(0),
.C_WDCH_TYPE(0),
.C_WR_ACK_LOW(0),
.C_WR_DATA_COUNT_WIDTH(10),
.C_WR_DEPTH(1024),
.C_WR_DEPTH_AXIS(1024),
.C_WR_DEPTH_RACH(16),
.C_WR_DEPTH_RDCH(1024),
.C_WR_DEPTH_WACH(16),
.C_WR_DEPTH_WDCH(1024),
.C_WR_DEPTH_WRCH(16),
.C_WR_FREQ(1),
.C_WR_PNTR_WIDTH(10),
.C_WR_PNTR_WIDTH_AXIS(10),
.C_WR_PNTR_WIDTH_RACH(4),
.C_WR_PNTR_WIDTH_RDCH(10),
.C_WR_PNTR_WIDTH_WACH(4),
.C_WR_PNTR_WIDTH_WDCH(10),
.C_WR_PNTR_WIDTH_WRCH(4),
.C_WR_RESPONSE_LATENCY(1),
.C_WRCH_TYPE(0)
)
inst (
.CLK(clk),
.RST(rst),
.DIN(din),
.WR_EN(wr_en),
.RD_EN(rd_en),
.DOUT(dout),
.FULL(full),
.EMPTY(empty),
.DATA_COUNT(data_count),
.BACKUP(),
.BACKUP_MARKER(),
.SRST(),
.WR_CLK(),
.WR_RST(),
.RD_CLK(),
.RD_RST(),
.PROG_EMPTY_THRESH(),
.PROG_EMPTY_THRESH_ASSERT(),
.PROG_EMPTY_THRESH_NEGATE(),
.PROG_FULL_THRESH(),
.PROG_FULL_THRESH_ASSERT(),
.PROG_FULL_THRESH_NEGATE(),
.INT_CLK(),
.INJECTDBITERR(),
.INJECTSBITERR(),
.ALMOST_FULL(),
.WR_ACK(),
.OVERFLOW(),
.ALMOST_EMPTY(),
.VALID(),
.UNDERFLOW(),
.RD_DATA_COUNT(),
.WR_DATA_COUNT(),
.PROG_FULL(),
.PROG_EMPTY(),
.SBITERR(),
.DBITERR(),
.M_ACLK(),
.S_ACLK(),
.S_ARESETN(),
.M_ACLK_EN(),
.S_ACLK_EN(),
.S_AXI_AWID(),
.S_AXI_AWADDR(),
.S_AXI_AWLEN(),
.S_AXI_AWSIZE(),
.S_AXI_AWBURST(),
.S_AXI_AWLOCK(),
.S_AXI_AWCACHE(),
.S_AXI_AWPROT(),
.S_AXI_AWQOS(),
.S_AXI_AWREGION(),
.S_AXI_AWUSER(),
.S_AXI_AWVALID(),
.S_AXI_AWREADY(),
.S_AXI_WID(),
.S_AXI_WDATA(),
.S_AXI_WSTRB(),
.S_AXI_WLAST(),
.S_AXI_WUSER(),
.S_AXI_WVALID(),
.S_AXI_WREADY(),
.S_AXI_BID(),
.S_AXI_BRESP(),
.S_AXI_BUSER(),
.S_AXI_BVALID(),
.S_AXI_BREADY(),
.M_AXI_AWID(),
.M_AXI_AWADDR(),
.M_AXI_AWLEN(),
.M_AXI_AWSIZE(),
.M_AXI_AWBURST(),
.M_AXI_AWLOCK(),
.M_AXI_AWCACHE(),
.M_AXI_AWPROT(),
.M_AXI_AWQOS(),
.M_AXI_AWREGION(),
.M_AXI_AWUSER(),
.M_AXI_AWVALID(),
.M_AXI_AWREADY(),
.M_AXI_WID(),
.M_AXI_WDATA(),
.M_AXI_WSTRB(),
.M_AXI_WLAST(),
.M_AXI_WUSER(),
.M_AXI_WVALID(),
.M_AXI_WREADY(),
.M_AXI_BID(),
.M_AXI_BRESP(),
.M_AXI_BUSER(),
.M_AXI_BVALID(),
.M_AXI_BREADY(),
.S_AXI_ARID(),
.S_AXI_ARADDR(),
.S_AXI_ARLEN(),
.S_AXI_ARSIZE(),
.S_AXI_ARBURST(),
.S_AXI_ARLOCK(),
.S_AXI_ARCACHE(),
.S_AXI_ARPROT(),
.S_AXI_ARQOS(),
.S_AXI_ARREGION(),
.S_AXI_ARUSER(),
.S_AXI_ARVALID(),
.S_AXI_ARREADY(),
.S_AXI_RID(),
.S_AXI_RDATA(),
.S_AXI_RRESP(),
.S_AXI_RLAST(),
.S_AXI_RUSER(),
.S_AXI_RVALID(),
.S_AXI_RREADY(),
.M_AXI_ARID(),
.M_AXI_ARADDR(),
.M_AXI_ARLEN(),
.M_AXI_ARSIZE(),
.M_AXI_ARBURST(),
.M_AXI_ARLOCK(),
.M_AXI_ARCACHE(),
.M_AXI_ARPROT(),
.M_AXI_ARQOS(),
.M_AXI_ARREGION(),
.M_AXI_ARUSER(),
.M_AXI_ARVALID(),
.M_AXI_ARREADY(),
.M_AXI_RID(),
.M_AXI_RDATA(),
.M_AXI_RRESP(),
.M_AXI_RLAST(),
.M_AXI_RUSER(),
.M_AXI_RVALID(),
.M_AXI_RREADY(),
.S_AXIS_TVALID(),
.S_AXIS_TREADY(),
.S_AXIS_TDATA(),
.S_AXIS_TSTRB(),
.S_AXIS_TKEEP(),
.S_AXIS_TLAST(),
.S_AXIS_TID(),
.S_AXIS_TDEST(),
.S_AXIS_TUSER(),
.M_AXIS_TVALID(),
.M_AXIS_TREADY(),
.M_AXIS_TDATA(),
.M_AXIS_TSTRB(),
.M_AXIS_TKEEP(),
.M_AXIS_TLAST(),
.M_AXIS_TID(),
.M_AXIS_TDEST(),
.M_AXIS_TUSER(),
.AXI_AW_INJECTSBITERR(),
.AXI_AW_INJECTDBITERR(),
.AXI_AW_PROG_FULL_THRESH(),
.AXI_AW_PROG_EMPTY_THRESH(),
.AXI_AW_DATA_COUNT(),
.AXI_AW_WR_DATA_COUNT(),
.AXI_AW_RD_DATA_COUNT(),
.AXI_AW_SBITERR(),
.AXI_AW_DBITERR(),
.AXI_AW_OVERFLOW(),
.AXI_AW_UNDERFLOW(),
.AXI_AW_PROG_FULL(),
.AXI_AW_PROG_EMPTY(),
.AXI_W_INJECTSBITERR(),
.AXI_W_INJECTDBITERR(),
.AXI_W_PROG_FULL_THRESH(),
.AXI_W_PROG_EMPTY_THRESH(),
.AXI_W_DATA_COUNT(),
.AXI_W_WR_DATA_COUNT(),
.AXI_W_RD_DATA_COUNT(),
.AXI_W_SBITERR(),
.AXI_W_DBITERR(),
.AXI_W_OVERFLOW(),
.AXI_W_UNDERFLOW(),
.AXI_B_INJECTSBITERR(),
.AXI_W_PROG_FULL(),
.AXI_W_PROG_EMPTY(),
.AXI_B_INJECTDBITERR(),
.AXI_B_PROG_FULL_THRESH(),
.AXI_B_PROG_EMPTY_THRESH(),
.AXI_B_DATA_COUNT(),
.AXI_B_WR_DATA_COUNT(),
.AXI_B_RD_DATA_COUNT(),
.AXI_B_SBITERR(),
.AXI_B_DBITERR(),
.AXI_B_OVERFLOW(),
.AXI_B_UNDERFLOW(),
.AXI_AR_INJECTSBITERR(),
.AXI_B_PROG_FULL(),
.AXI_B_PROG_EMPTY(),
.AXI_AR_INJECTDBITERR(),
.AXI_AR_PROG_FULL_THRESH(),
.AXI_AR_PROG_EMPTY_THRESH(),
.AXI_AR_DATA_COUNT(),
.AXI_AR_WR_DATA_COUNT(),
.AXI_AR_RD_DATA_COUNT(),
.AXI_AR_SBITERR(),
.AXI_AR_DBITERR(),
.AXI_AR_OVERFLOW(),
.AXI_AR_UNDERFLOW(),
.AXI_AR_PROG_FULL(),
.AXI_AR_PROG_EMPTY(),
.AXI_R_INJECTSBITERR(),
.AXI_R_INJECTDBITERR(),
.AXI_R_PROG_FULL_THRESH(),
.AXI_R_PROG_EMPTY_THRESH(),
.AXI_R_DATA_COUNT(),
.AXI_R_WR_DATA_COUNT(),
.AXI_R_RD_DATA_COUNT(),
.AXI_R_SBITERR(),
.AXI_R_DBITERR(),
.AXI_R_OVERFLOW(),
.AXI_R_UNDERFLOW(),
.AXIS_INJECTSBITERR(),
.AXI_R_PROG_FULL(),
.AXI_R_PROG_EMPTY(),
.AXIS_INJECTDBITERR(),
.AXIS_PROG_FULL_THRESH(),
.AXIS_PROG_EMPTY_THRESH(),
.AXIS_DATA_COUNT(),
.AXIS_WR_DATA_COUNT(),
.AXIS_RD_DATA_COUNT(),
.AXIS_SBITERR(),
.AXIS_DBITERR(),
.AXIS_OVERFLOW(),
.AXIS_UNDERFLOW(),
.AXIS_PROG_FULL(),
.AXIS_PROG_EMPTY()
);
 
// synthesis translate_on
 
endmodule
/trunk/rtl/xilinx/chipscope_vio_addr_mask.v
0,0 → 1,97
//**************************************************************
// Module : chipscope_vio_addr_mask.v
// Platform : Ubuntu 10.04
// Simulator : Modelsim 6.5b
// Synthesizer : PlanAhead 14.2
// Place and Route : PlanAhead 14.2
// Targets device : Zynq-7000
// Author : Bibo Yang (ash_riple@hotmail.com)
// Organization : www.opencores.org
// Revision : 2.3
// Date : 2012/11/19
// Description : addr/data capture output to debug host
// via Virtual JTAG.
//**************************************************************
 
`timescale 1ns/1ns
 
module chipscope_vio_addr_mask(mask_out0 ,mask_out1 ,mask_out2 ,mask_out3 ,
mask_out4 ,mask_out5 ,mask_out6 ,mask_out7 ,
mask_out8 ,mask_out9 ,mask_out10,mask_out11,
mask_out12,mask_out13,mask_out14,mask_out15,
clk, icon_ctrl
);
 
parameter mask_index = 4, //2**mask_index=mask_num
mask_enabl = 4,
addr_width = 32;
 
output [mask_enabl+addr_width-1:0] mask_out0;
output [mask_enabl+addr_width-1:0] mask_out1;
output [mask_enabl+addr_width-1:0] mask_out2;
output [mask_enabl+addr_width-1:0] mask_out3;
output [mask_enabl+addr_width-1:0] mask_out4;
output [mask_enabl+addr_width-1:0] mask_out5;
output [mask_enabl+addr_width-1:0] mask_out6;
output [mask_enabl+addr_width-1:0] mask_out7;
output [mask_enabl+addr_width-1:0] mask_out8;
output [mask_enabl+addr_width-1:0] mask_out9;
output [mask_enabl+addr_width-1:0] mask_out10;
output [mask_enabl+addr_width-1:0] mask_out11;
output [mask_enabl+addr_width-1:0] mask_out12;
output [mask_enabl+addr_width-1:0] mask_out13;
output [mask_enabl+addr_width-1:0] mask_out14;
output [mask_enabl+addr_width-1:0] mask_out15;
 
input clk;
inout [35:0] icon_ctrl;
 
reg [mask_enabl+addr_width-1:0] mask_out0;
reg [mask_enabl+addr_width-1:0] mask_out1;
reg [mask_enabl+addr_width-1:0] mask_out2;
reg [mask_enabl+addr_width-1:0] mask_out3;
reg [mask_enabl+addr_width-1:0] mask_out4;
reg [mask_enabl+addr_width-1:0] mask_out5;
reg [mask_enabl+addr_width-1:0] mask_out6;
reg [mask_enabl+addr_width-1:0] mask_out7;
reg [mask_enabl+addr_width-1:0] mask_out8;
reg [mask_enabl+addr_width-1:0] mask_out9;
reg [mask_enabl+addr_width-1:0] mask_out10;
reg [mask_enabl+addr_width-1:0] mask_out11;
reg [mask_enabl+addr_width-1:0] mask_out12;
reg [mask_enabl+addr_width-1:0] mask_out13;
reg [mask_enabl+addr_width-1:0] mask_out14;
reg [mask_enabl+addr_width-1:0] mask_out15;
 
wire [mask_index+mask_enabl+addr_width-1:0] index_enabl_value_vi;
wire [mask_index-1 :0] mask_id = index_enabl_value_vi[mask_index+mask_enabl+addr_width-1:mask_enabl+addr_width];
wire [ mask_enabl+addr_width-1:0] mask_is = index_enabl_value_vi[ mask_enabl+addr_width-1:0];
 
always @(posedge clk) begin
case (mask_id)
'd0 : mask_out0 <= mask_is;
'd1 : mask_out1 <= mask_is;
'd2 : mask_out2 <= mask_is;
'd3 : mask_out3 <= mask_is;
'd4 : mask_out4 <= mask_is;
'd5 : mask_out5 <= mask_is;
'd6 : mask_out6 <= mask_is;
'd7 : mask_out7 <= mask_is;
'd8 : mask_out8 <= mask_is;
'd9 : mask_out9 <= mask_is;
'd10 : mask_out10 <= mask_is;
'd11 : mask_out11 <= mask_is;
'd12 : mask_out12 <= mask_is;
'd13 : mask_out13 <= mask_is;
'd14 : mask_out14 <= mask_is;
'd15 : mask_out15 <= mask_is;
endcase
end
 
chipscope_vio_mask VIO_inst (
.CONTROL(icon_ctrl), // INOUT BUS [35:0]
.CLK(clk), // IN
.SYNC_OUT(index_enabl_value_vi) // OUT BUS [39:0]
);
 
endmodule
/trunk/rtl/xilinx/chipscope_vio_adda_fifo.v
0,0 → 1,74
//**************************************************************
// Module : chipscope_vio_adda_fifo.v
// Platform : Ubuntu addr_width.04
// Simulator : Modelsim 6.5b
// Synthesizer : PlanAhead 14.2
// Place and Route : PlanAhead 14.2
// Targets device : Zynq-7000
// Author : Bibo Yang (ash_riple@hotmail.com)
// Organization : www.opencores.org
// Revision : 2.3
// Date : 2012/11/19
// Description : addr/data capture output to debug host
// via Virtual JTAG.
//**************************************************************
 
`timescale 1ns/1ns
 
module chipscope_vio_adda_fifo(clk,wr_in,data_in,rd_in,icon_ctrl);
 
parameter data_width = 82,
addr_width = 10,
al_full_val = 511;
 
input clk;
input wr_in, rd_in;
input [data_width-1:0] data_in;
inout [35:0] icon_ctrl;
 
wire [2-1:0] ctrl_vi;
wire [addr_width+data_width-1:0] usedw_data_vo;
 
reg rst_d1, rst_d2;
reg rd_d1 , rd_d2;
 
always @(posedge clk) begin
rst_d1 <= ctrl_vi[1];
rst_d2 <= rst_d1;
 
rd_d1 <= ctrl_vi[0];
rd_d2 <= rd_d1;
end
 
wire rst_vi = rst_d1 & !rst_d2;
wire rd_vi = rd_d1 & !rd_d2;
 
wire reset = rst_vi;
wire [addr_width-1:0] usedw;
wire [data_width-1:0] data_out;
wire al_full = (usedw==al_full_val)? 1'b1: 1'b0;
wire wr_en = wr_in & !al_full;
wire rd_en = rd_in | rd_vi;
 
assign usedw_data_vo = {usedw, data_out};
 
scfifo jtag_fifo(
.clk(clk),
.rst(reset),
.din(data_in),
.wr_en(wr_en),
.rd_en(rd_en),
.dout(data_out),
.full(),
.empty(),
.data_count(usedw)
);
 
chipscope_vio_fifo VIO_inst (
.CONTROL(icon_ctrl), // INOUT BUS [35:0]
.CLK(clk), // IN
.SYNC_OUT(ctrl_vi), // OUT BUS [1:0]
.SYNC_IN(usedw_data_vo) // IN BUS [91:0]
);
 
endmodule
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/netlist/0_netlist_for_ip.txt
0,0 → 1,74
Use relative path to the ../netlist folder.
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/hdl/verilog/vendor.h
0,0 → 1,2
`define XILINX
`define AXI_IP
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/hdl/verilog/bustap_jtag.v
0,0 → 1,163
//**************************************************************
// Module : bustap_jtag.v
// Platform : Ubuntu 10.04
// Simulator : Modelsim 6.5b
// Synthesizer : PlanAhead 14.2
// Place and Route : PlanAhead 14.2
// Targets device : Zynq 7000
// Author : Bibo Yang (ash_riple@hotmail.com)
// Organization : www.opencores.org
// Revision : 2.3
// Date : 2012/11/19
// Description : axi interface to pipelined access
// interface converter.
// @Note: AXI-Lite is supported.
//**************************************************************
 
`timescale 1ns/1ns
 
module bustap_jtag (
// Global Signals
ACLK,
ARESETN,
 
// Write Address Channel
AWADDR,
AWPROT,
AWVALID,
AWREADY,
 
// Write Channel
WDATA,
WSTRB,
WVALID,
WREADY,
 
// Write Response Channel
BRESP,
BVALID,
BREADY,
 
// Read Address Channel
ARADDR,
ARPROT,
ARVALID,
ARREADY,
 
// Read Channel
RDATA,
RRESP,
RVALID,
RREADY,
 
CHIPSCOPE_ICON_CONTROL0,
CHIPSCOPE_ICON_CONTROL1,
CHIPSCOPE_ICON_CONTROL2
);
 
// Set C_DATA_WIDTH to the data-bus width required
parameter C_DATA_WIDTH = 32; // data bus width, default = 32-bit
// Set C_ADDR_WIDTH to the address-bus width required
parameter C_ADDR_WIDTH = 32; // address bus width, default = 32-bit
 
localparam DATA_MAX = C_DATA_WIDTH-1; // data max index
localparam ADDR_MAX = C_ADDR_WIDTH-1; // address max index
localparam STRB_WIDTH = C_DATA_WIDTH/8; // WSTRB width
localparam STRB_MAX = STRB_WIDTH-1; // WSTRB max index
 
// - Global Signals
input ACLK; // AXI Clock
input ARESETN; // AXI Reset
 
// - Write Address Channel
input [ADDR_MAX:0] AWADDR; // M -> S
input [2:0] AWPROT; // M -> S
input AWVALID; // M -> S
input AWREADY; // S -> M
 
// - Write Data Channel
input WVALID; // M -> S
input WREADY; // S -> M
input [DATA_MAX:0] WDATA; // M -> S
input [STRB_MAX:0] WSTRB; // M -> S
 
// - Write Response Channel
input [1:0] BRESP; // S -> M
input BVALID; // S -> M
input BREADY; // M -> S
 
// - Read Address Channel
input [ADDR_MAX:0] ARADDR; // M -> S
input [2:0] ARPROT; // M -> S
input ARVALID; // M -> S
input ARREADY; // S -> M
 
// - Read Data Channel
input [DATA_MAX:0] RDATA; // S -> M
input [1:0] RRESP; // S -> M
input RVALID; // S -> M
input RREADY; // M -> S
 
input [35:0] CHIPSCOPE_ICON_CONTROL0, CHIPSCOPE_ICON_CONTROL1, CHIPSCOPE_ICON_CONTROL2;
 
// latch address and data
reg [ADDR_MAX:0] addr_latch;
always @(posedge ACLK) begin
if (AWVALID && AWREADY)
addr_latch <= AWADDR;
else if (ARVALID && ARREADY)
addr_latch <= ARADDR;
else
addr_latch <= addr_latch;
end
 
reg [DATA_MAX:0] data_latch;
always @(posedge ACLK) begin
if (WVALID && WREADY)
data_latch <= WDATA;
else if (RVALID && RREADY)
data_latch <= RDATA;
else
data_latch <= data_latch;
end
 
// generate wr/rd pulse
reg wr_pulse;
always @(posedge ACLK or negedge ARESETN) begin
if (!ARESETN)
wr_pulse <= 1'b0;
else if (WVALID && WREADY)
wr_pulse <= 1'b1;
else
wr_pulse <= 1'b0;
end
 
reg rd_pulse;
always @(posedge ACLK or negedge ARESETN) begin
if (!ARESETN)
rd_pulse <= 1'b0;
else if (RVALID && RREADY)
rd_pulse <= 1'b1;
else
rd_pulse <= 1'b0;
end
 
// map to pipelined access interface
wire clk = ACLK;
wire wr_en = wr_pulse;
wire rd_en = rd_pulse;
wire [15:2] addr_in = addr_latch[15:2];
wire [31:0] data_in = data_latch;
 
up_monitor inst (
.clk(clk),
.wr_en(wr_en),
.rd_en(rd_en),
.addr_in(addr_in),
.data_in(data_in),
.icontrol0(CHIPSCOPE_ICON_CONTROL0),
.icontrol1(CHIPSCOPE_ICON_CONTROL1),
.icontrol2(CHIPSCOPE_ICON_CONTROL2)
);
 
endmodule
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/data/bustap_jtag_v2_1_0.bbd
0,0 → 1,49
## -- DISCLAIMER OF LIABILITY
## --
## -- This file contains proprietary and confidential information of
## -- Xilinx, Inc. ("Xilinx"), that is distributed under a license
## -- from Xilinx, and may be used, copied and/or disclosed only
## -- pursuant to the terms of a valid license agreement with Xilinx.
## --
## -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
## -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
## -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
## -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
## -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
## -- does not warrant that functions included in the Materials will
## -- meet the requirements of Licensee, or that the operation of the
## -- Materials will be uninterrupted or error-free, or that defects
## -- in the Materials will be corrected. Furthermore, Xilinx does
## -- not warrant or make any representations regarding use, or the
## -- results of the use, of the Materials in terms of correctness,
## -- accuracy, reliability or otherwise.
## --
## -- Xilinx products are not designed or intended to be fail-safe,
## -- or for use in any application requiring fail-safe performance,
## -- such as life-support or safety devices or systems, Class III
## -- medical devices, nuclear facilities, applications related to
## -- the deployment of airbags, or any other applications that could
## -- lead to death, personal injury or severe property or
## -- environmental damage (individually and collectively, "critical
## -- applications"). Customer assumes the sole risk and liability
## -- of any use of Xilinx products in critical applications,
## -- subject only to applicable laws and regulations governing
## -- limitations on product liability.
## --
## -- Copyright 2009 Xilinx, Inc.
## -- All rights reserved.
## --
## -- This disclaimer and copyright notice must be retained as part
## -- of this file at all times.
##
###############################################################################
##
## axi_nfc_dma_v2_1_0.bbd
##
## Black-Box Definition File
##
##
###############################################################################
 
FILES
../../../../xilinx/coregen/scfifo.ngc, ../../../../xilinx/coregen/chipscope_vio_fifo.ngc, ../../../../xilinx/coregen/chipscope_vio_trig.ngc, ../../../../xilinx/coregen/chipscope_vio_mask.ngc
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/data/bustap_jtag_v2_1_0.pao
0,0 → 1,61
## -- DISCLAIMER OF LIABILITY
## --
## -- This file contains proprietary and confidential information of
## -- Xilinx, Inc. ("Xilinx"), that is distributed under a license
## -- from Xilinx, and may be used, copied and/or disclosed only
## -- pursuant to the terms of a valid license agreement with Xilinx.
## --
## -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
## -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
## -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
## -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
## -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
## -- does not warrant that functions included in the Materials will
## -- meet the requirements of Licensee, or that the operation of the
## -- Materials will be uninterrupted or error-free, or that defects
## -- in the Materials will be corrected. Furthermore, Xilinx does
## -- not warrant or make any representations regarding use, or the
## -- results of the use, of the Materials in terms of correctness,
## -- accuracy, reliability or otherwise.
## --
## -- Xilinx products are not designed or intended to be fail-safe,
## -- or for use in any application requiring fail-safe performance,
## -- such as life-support or safety devices or systems, Class III
## -- medical devices, nuclear facilities, applications related to
## -- the deployment of airbags, or any other applications that could
## -- lead to death, personal injury or severe property or
## -- environmental damage (individually and collectively, "critical
## -- applications"). Customer assumes the sole risk and liability
## -- of any use of Xilinx products in critical applications,
## -- subject only to applicable laws and regulations governing
## -- limitations on product liability.
## --
## -- Copyright 2009 Xilinx, Inc.
## -- All rights reserved.
## --
## -- This disclaimer and copyright notice must be retained as part
## -- of this file at all times.
##
###############################################################################
##
## bustap_jtag_v2_1_0.pao
##
## Peripheral Analyze Order File
##
##
###############################################################################
 
# Global `define
vlgincdir bustap_jtag_v1_00_a .
 
# Source files
lib bustap_jtag_v1_00_a bustap_jtag.v verilog
lib bustap_jtag_v1_00_a ../../../../../up_monitor.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/chipscope_vio_adda_fifo.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/chipscope_vio_adda_trig.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/chipscope_vio_addr_mask.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/coregen/scfifo.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/coregen/chipscope_vio_fifo.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/coregen/chipscope_vio_trig.v verilog
lib bustap_jtag_v1_00_a ../../../../../xilinx/coregen/chipscope_vio_mask.v verilog
 
/trunk/rtl/xilinx/pcores/bustap_jtag_v1_00_a/data/bustap_jtag_v2_1_0.mpd
0,0 → 1,93
#-- DISCLAIMER OF LIABILITY
#--
#-- This file contains proprietary and confidential information of
#-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
#-- from Xilinx, and may be used, copied and/or disclosed only
#-- pursuant to the terms of a valid license agreement with Xilinx.
#--
#-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
#-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
#-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
#-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
#-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
#-- does not warrant that functions included in the Materials will
#-- meet the requirements of Licensee, or that the operation of the
#-- Materials will be uninterrupted or error-free, or that defects
#-- in the Materials will be corrected. Furthermore, Xilinx does
#-- not warrant or make any representations regarding use, or the
#-- results of the use, of the Materials in terms of correctness,
#-- accuracy, reliability or otherwise.
#--
#-- Xilinx products are not designed or intended to be fail-safe,
#-- or for use in any application requiring fail-safe performance,
#-- such as life-support or safety devices or systems, Class III
#-- medical devices, nuclear facilities, applications related to
#-- the deployment of airbags, or any other applications that could
#-- lead to death, personal injury or severe property or
#-- environmental damage (individually and collectively, "critical
#-- applications"). Customer assumes the sole risk and liability
#-- of any use of Xilinx products in critical applications,
#-- subject only to applicable laws and regulations governing
#-- limitations on product liability.
#--
#-- Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
#--
#-- This disclaimer and copyright notice must be retained as part
#-- of this file at all times.
#--
###################################################################
##
## Name : bustap_jtag
## Desc : Microprocessor Peripheral Description
## : Automatically generated by PsfUtility
##
###################################################################
 
BEGIN bustap_jtag
 
## Peripheral Options
OPTION IPTYPE = PERIPHERAL
OPTION IMP_NETLIST = TRUE
OPTION STYLE = MIX
OPTION DESC = AXI BUS TAP
OPTION LONG_DESC = AXI BUS TAP JTAG
OPTION HDL = MIXED
OPTION RUN_NGCBUILD = TRUE
 
## MON_AXI Bus Interfaces
BUS_INTERFACE BUS = MON_AXI, BUS_STD = AXI, BUS_TYPE = MONITOR
 
## MON_AXI Generics for VHDL or Parameters for Verilog
PARAMETER C_ADDR_WIDTH = 32, DT = integer, ASSIGNMENT = CONSTANT, BUS = MON_AXI
PARAMETER C_DATA_WIDTH = 32, DT = integer, ASSIGNMENT = CONSTANT, BUS = MON_AXI
PARAMETER C_PROTOCOL = AXI4Lite, DT = string, TYPE = NON_HDL, ASSIGNMENT = CONSTANT, BUS = MON_AXI
 
## MON_AXI Ports
PORT ACLK = "", BUS = MON_AXI, DIR = I, SIGIS = CLK
PORT ARESETN = ARESETN, BUS = MON_AXI, DIR = I, SIGIS = RST
PORT AWADDR = AWADDR, BUS = MON_AXI, DIR = I, VEC = [(C_ADDR_WIDTH-1):0]
PORT AWPROT = AWPROT, BUS = MON_AXI, DIR = I, VEC = [2:0]
PORT AWVALID = AWVALID, BUS = MON_AXI, DIR = I
PORT AWREADY = AWREADY, BUS = MON_AXI, DIR = I
PORT WDATA = WDATA, BUS = MON_AXI, DIR = I, VEC = [(C_DATA_WIDTH-1):0]
PORT WSTRB = WSTRB, BUS = MON_AXI, DIR = I, VEC = [((C_DATA_WIDTH/8) -1):0]
PORT WVALID = WVALID, BUS = MON_AXI, DIR = I
PORT WREADY = WREADY, BUS = MON_AXI, DIR = I
PORT BRESP = BRESP, BUS = MON_AXI, DIR = I, VEC = [1:0]
PORT BVALID = BVALID, BUS = MON_AXI, DIR = I
PORT BREADY = BREADY, BUS = MON_AXI, DIR = I
PORT ARADDR = ARADDR, BUS = MON_AXI, DIR = I, VEC = [(C_ADDR_WIDTH-1):0
PORT ARPROT = ARPROT, BUS = MON_AXI, DIR = I, VEC = [2:0]
PORT ARVALID = ARVALID, BUS = MON_AXI, DIR = I
PORT ARREADY = ARREADY, BUS = MON_AXI, DIR = I
PORT RDATA = RDATA, BUS = MON_AXI, DIR = I, VEC = [(C_DATA_WIDTH-1):0]
PORT RRESP = RRESP, BUS = MON_AXI, DIR = I, VEC = [1:0]
PORT RVALID = RVALID, BUS = MON_AXI, DIR = I
PORT RREADY = RREADY, BUS = MON_AXI, DIR = I
 
## CHIPSCOPE ICON Ports
PORT CHIPSCOPE_ICON_CONTROL0 = "", DIR = I, VEC = [35:0], ASSIGNMENT = OPTIONAL
PORT CHIPSCOPE_ICON_CONTROL1 = "", DIR = I, VEC = [35:0], ASSIGNMENT = OPTIONAL
PORT CHIPSCOPE_ICON_CONTROL2 = "", DIR = I, VEC = [35:0], ASSIGNMENT = OPTIONAL
 
END
/trunk/rtl/xilinx/chipscope_vio_adda_trig.v
0,0 → 1,45
//**************************************************************
// Module : chipscope_vio_adda_trig.v
// Platform : Ubuntu pnum_width.04
// Simulator : Modelsim 6.5b
// Synthesizer : PlanAhead 14.2
// Place and Route : PlanAhead 14.2
// Targets device : Zynq-7000
// Author : Bibo Yang (ash_riple@hotmail.com)
// Organization : www.opencores.org
// Revision : 2.3
// Date : 2012/11/19
// Description : addr/data capture output to debug host
// via Virtual JTAG.
//**************************************************************
 
`timescale 1ns/1ns
 
module chipscope_vio_adda_trig(trig_out, pnum_out, clk, icon_ctrl);
 
parameter trig_width = 56;
parameter pnum_width = 10;
 
output [trig_width-1:0] trig_out;
output [pnum_width-1:0] pnum_out;
 
input clk;
inout [35:0] icon_ctrl;
 
wire [pnum_width+trig_width-1:0] pnum_trig_vi;
 
reg [trig_width-1:0] trig_out;
reg [pnum_width-1:0] pnum_out;
 
always @(posedge clk) begin
pnum_out <= pnum_trig_vi[pnum_width+trig_width-1:trig_width];
trig_out <= pnum_trig_vi[ trig_width-1: 0];
end
 
chipscope_vio_trig VIO_inst (
.CONTROL(icon_ctrl), // INOUT BUS [35:0]
.CLK(clk), // IN
.SYNC_OUT(pnum_trig_vi) // OUT BUS [65:0]
);
 
endmodule
/trunk/par/altera/up_monitor.qsf
32,6 → 32,7
set_global_assignment -name ENABLE_ADVANCED_IO_TIMING OFF
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output
 
set_global_assignment -name VERILOG_FILE vendor.h
set_global_assignment -name VERILOG_FILE ../../rtl/up_monitor_wrapper.v
set_global_assignment -name VERILOG_FILE ../../rtl/up_monitor.v
set_global_assignment -name VERILOG_FILE ../../rtl/altera/virtual_jtag_addr_mask.v
/trunk/par/altera/vendor.h
0,0 → 1,7
`define ALTERA
/trunk/par/xilinx/cleanup.sh
0,0 → 1,2
rm -f *.ppr *.log *.jou
rm -r -f .Xil *.srcs *.data *.runs *.cache
/trunk/par/xilinx/up_monitor.bat
0,0 → 1,2
D:\Programs\Xilinx\14.2\ISE_DS\PlanAhead\bin\planAhead.bat
pause
/trunk/par/xilinx/vendor.h
0,0 → 1,2
`define XILINX
/trunk/par/xilinx/up_monitor.sh
0,0 → 1,2
planAhead -mode tcl -source up_monitor.tcl
/trunk/par/xilinx/cleanup.bat
0,0 → 1,2
rmdir /S /Q .Xil up_monitor.srcs up_monitor.data up_monitor.runs up_monitor.cache
del *.ppr *.log *.jou
/trunk/par/xilinx/up_monitor.tcl
0,0 → 1,35
create_project -force up_monitor . -part xc7z020clg484-1
set_property board zc702 [current_project]
 
add_files -norecurse ./vendor.h
add_files -norecurse ../../rtl/up_monitor_wrapper.v
add_files -norecurse ../../rtl/up_monitor.v
add_files -norecurse ../../rtl/xilinx/chipscope_vio_adda_fifo.v
add_files -norecurse ../../rtl/xilinx/chipscope_vio_adda_trig.v
add_files -norecurse ../../rtl/xilinx/chipscope_vio_addr_mask.v
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_icon.ngc
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_icon.v
add_files -norecurse ../../rtl/xilinx/coregen/scfifo.ngc
add_files -norecurse ../../rtl/xilinx/coregen/scfifo.v
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_fifo.ngc
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_fifo.v
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_mask.ngc
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_mask.v
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_trig.ngc
add_files -norecurse ../../rtl/xilinx/coregen/chipscope_vio_trig.v
set_property is_global_include true [get_files ./vendor.h]
set_property top up_monitor_wrapper [current_fileset]
set_property top_file ../../rtl/up_monitor_wrapper.v [current_fileset]
 
reset_run synth_1
reset_run impl_1
 
launch_runs synth_1 -jobs 1
wait_on_run synth_1
 
launch_runs impl_1 -jobs 1
wait_on_run impl_1
 
#launch_runs impl_1 -to_step Bitgen
#wait_on_run impl_1
 
/trunk/cmd/xilinx/chipscope_vio_console.bat
0,0 → 1,3
set path=%XILINX%\lib\nt;%XILINX%\bin\nt;%path%
wish chipscope_vio_console.tcl
pause
/trunk/cmd/xilinx/chipscope_vio_console.tcl
0,0 → 1,718
##**************************************************************
## Module : chipscope_vio_console.tcl
## Platform : Windows xp sp2
## Author : Bibo Yang (ash_riple@hotmail.com)
## Organization : www.opencores.org
## Revision : 2.3
## Date : 2012/11/22
## Description : Tcl/Tk GUI for the up_monitor
##**************************************************************
 
############################
## include the XILINX procs
############################
# Get the Cse DLL's and globals
if {[info exists env(XIL_CSE_TCL)]} {
if {[string length $env(XIL_CSE_TCL)] > 0} {
puts "Sourcing from XIL_CSE_TCL: $env(XIL_CSE_TCL) ..."
source $env(XIL_CSE_TCL)/csejtag.tcl
source $env(XIL_CSE_TCL)/csefpga.tcl
source $env(XIL_CSE_TCL)/csecore.tcl
source $env(XIL_CSE_TCL)/csevio.tcl
} else {
puts "Sourcing from XILINX: $env(XILINX)/cse/tcl ..."
source $env(XILINX)/cse/tcl/csejtag.tcl
source $env(XILINX)/cse/tcl/csefpga.tcl
source $env(XILINX)/cse/tcl/csecore.tcl
source $env(XILINX)/cse/tcl/csevio.tcl
}
} else {
puts "Sourcing from XILINX: $env(XILINX)/cse/tcl ..."
source $env(XILINX)/cse/tcl/csejtag.tcl
source $env(XILINX)/cse/tcl/csefpga.tcl
source $env(XILINX)/cse/tcl/csecore.tcl
source $env(XILINX)/cse/tcl/csevio.tcl
}
 
namespace import ::chipscope::*
 
###########################
## define cable parameters
###########################
# Create global variables
set ILA_STATUS_WORD_BIT_LEN 512
 
# Parallel IV Cable
set PARALLEL_CABLE_ARGS [list "port=LPT1" "frequency=2500000"]
# "frequency=5000000 | 2500000 | 1250000 | 625000 | 200000"
 
# Platform USB Cable
set PLATFORM_USB_CABLE_ARGS [list "port=USB2" "frequency=3000000"]
# frequency="12000000 | 6000000 | 3000000 | 1500000 | 750000"
 
# Digilent Cable
# Digilent Cables have default arguments, if there is only one cable connected it will automatically connect to it.
set DIGILENT_CABLE_ARGS {}
 
###########################
## setup the chain
###########################
proc scan_chain {} {
global PLATFORM_USB_CABLE_ARGS
global CSEJTAG_TARGET_PLATFORMUSB
global PARALLEL_CABLE_ARGS
global CSEJTAG_TARGET_PARALLEL
global DIGILENT_CABLE_ARGS
global CSEJTAG_TARGET_DIGILENT
global CSEJTAG_SCAN_DEFAULT
global CSEJTAG_LOCKED_ME
global CSEJTAG_TEST_LOGIC_RESET
global CSEJTAG_SHIFT_READ
global CSEJTAG_RUN_TEST_IDLE
 
global log
$log insert end "JTAG Chain Scanning report:\n"
$log insert end "****************************************\n"
global blaster_cables
set blaster_cables [list -usb -par -dig]
set cable_num 0
foreach blaster_cable $blaster_cables {
incr cable_num
if {[string equal "-usb" $blaster_cable]} {
set blaster_cable_name "Platform USB Cable"
} elseif {[string equal "-par" $blaster_cable]} {
set blaster_cable_name "Parallel Cable"
} elseif {[string equal "-dig" $blaster_cable]} {
set blaster_cable_name "Digilent Cable"
}
$log insert end "@$cable_num: $blaster_cable_name\n"
}
$log insert end "\n****************************************\n"
 
global device_list
set device_list ""
foreach blaster_cable $blaster_cables {
if {[string equal "-usb" $blaster_cable]} {
set blaster_cable_name "Platform USB Cable"
set CABLE_NAME $CSEJTAG_TARGET_PLATFORMUSB
set CABLE_ARGS $PLATFORM_USB_CABLE_ARGS
} elseif {[string equal "-par" $blaster_cable]} {
set blaster_cable_name "Parallel Cable"
set CABLE_NAME $CSEJTAG_TARGET_PARALLEL
set CABLE_ARGS $PARALLEL_CABLE_ARGS
} elseif {[string equal "-dig" $blaster_cable]} {
set blaster_cable_name "Digilent Cable"
set CABLE_NAME $CSEJTAG_TARGET_DIGILENT
set CABLE_ARGS $DIGILENT_CABLE_ARGS
}
$log insert end "$blaster_cable_name:\n"
lappend device_list $blaster_cable_name
 
if {[catch {
# start chipscope session
set handle [csejtag_session create 0 $blaster_cable]
# open cable
csejtag_target open $handle $CABLE_NAME 0 $CABLE_ARGS
# lock cable
set cablelock [csejtag_target lock $handle 5000]
 
csejtag_tap autodetect_chain $handle $CSEJTAG_SCAN_DEFAULT
set deviceCount [csejtag_tap get_device_count $handle]
csejtag_tap navigate $handle $CSEJTAG_TEST_LOGIC_RESET 0 0
for {set deviceIndex 0} {$deviceIndex < $deviceCount} {incr deviceIndex} {
set idcodeBuffer [csejtag_tap get_device_idcode $handle $deviceIndex]
set deviceName [csejtag_db get_device_name_for_idcode $handle $idcodeBuffer]
set idcode [format "%x" [binaryStringToInt $idcodeBuffer]]
$log insert end "@[expr $deviceIndex+1]: $deviceName (0x$idcode)\n"
lappend device_list $deviceName
}
 
#altera #lappend device_list $blaster_cable
#if [catch {get_device_names -hardware_name $blaster_cable} error_msg] {
# $log insert end $error_msg
# lappend device_list $error_msg
#} else {
# foreach test_device [get_device_names -hardware_name $blaster_cable] {
# $log insert end "$test_device\n"
# }
# lappend device_list [get_device_names -hardware_name $blaster_cable]
#}
 
# unlock cable
csejtag_target unlock $handle
# close device
csejtag_target close $handle
# end chipscope session
csejtag_session destroy $handle
} result]} {
lappend device_list $result
$log insert end "$result\n"
}
}
}
 
proc open_jtag_device {{blaster_cable "-dig"}} {
global handle
global CABLE_NAME
global CABLE_ARGS
global CSEJTAG_SCAN_DEFAULT
 
if {[info exist handle]} { close_jtag_device }
set handle [csejtag_session create 0 $blaster_cable]
csejtag_target open $handle $CABLE_NAME 0 $CABLE_ARGS
set cablelock [csejtag_target lock $handle 5000]
csejtag_tap autodetect_chain $handle $CSEJTAG_SCAN_DEFAULT
 
#altera #open_device -hardware_name $test_cable -device_name $test_device
## Retrieve device id code.
#device_lock -timeout 5
#device_ir_shift -ir_value 6 -no_captured_ir_value
#set idcode "0x[device_dr_shift -length 32 -value_in_hex]"
#device_unlock
return 0
}
 
proc close_jtag_device {} {
global handle
if {[info exist handle]} {
catch {csejtag_target unlock $handle}
catch {csejtag_target close $handle}
catch {csejtag_session destroy $handle}
unset handle
}
}
 
proc select_device {{cableNum 3} {deviceNum 2}} {
global log
global device_list
global blaster_cables
global handle
global PLATFORM_USB_CABLE_ARGS
global CSEJTAG_TARGET_PLATFORMUSB
global PARALLEL_CABLE_ARGS
global CSEJTAG_TARGET_PARALLEL
global DIGILENT_CABLE_ARGS
global CSEJTAG_TARGET_DIGILENT
global CABLE_NAME
global CABLE_ARGS
 
$log insert end "\n****************************************\n"
set test_cable [lindex $device_list [expr 2*$cableNum-2]]
$log insert end "Selected Cable : $test_cable\n"
set test_device [lindex $device_list [expr 2*$cableNum-2+($deviceNum)]]
$log insert end "Selected Device: $test_device\n"
set blaster_cable [lindex $blaster_cables [expr $cableNum-1]]
if {[string equal "-usb" $blaster_cable]} {
set blaster_cable_name "Platform USB Cable"
set CABLE_NAME $CSEJTAG_TARGET_PLATFORMUSB
set CABLE_ARGS $PLATFORM_USB_CABLE_ARGS
} elseif {[string equal "-par" $blaster_cable]} {
set blaster_cable_name "Parallel Cable"
set CABLE_NAME $CSEJTAG_TARGET_PARALLEL
set CABLE_ARGS $PARALLEL_CABLE_ARGS
} elseif {[string equal "-dig" $blaster_cable]} {
set blaster_cable_name "Digilent Cable"
set CABLE_NAME $CSEJTAG_TARGET_DIGILENT
set CABLE_ARGS $DIGILENT_CABLE_ARGS
}
 
open_jtag_device $blaster_cable
 
global deviceIndex
set deviceIndex [expr $deviceNum-1]
 
#set test_cable [lindex $device_list [expr 2*$cableNum-2]]
#$log insert end "Selected Cable : $test_cable\n"
#set test_device [lindex [lindex $device_list [expr 2*$cableNum-1]] [expr $deviceNum-1]]
#$log insert end "Selected Device: $test_device\n"
#altera #set jtagIdCode [open_jtag_device $test_cable $test_device]
#$log insert end "Device ID code : $jtagIdCode\n"
 
reset_fifo
query_usedw
}
 
########################
## operate the VIO core
########################
proc reset_fifo {} {
global handle
global deviceIndex
global CSEVIO_SYNC_INPUT
global CSEVIO_SYNC_OUTPUT
 
set userRegNumber 1
set coreIndex 0
set coreRef [list $deviceIndex $userRegNumber $coreIndex]
 
csevio_init_core $handle $coreRef
csevio_define_signal $handle $coreRef "reset" $CSEVIO_SYNC_OUTPUT 1
set outputTclArray(reset) 1
csevio_write_values $handle $coreRef outputTclArray
set outputTclArray(reset) 0
csevio_write_values $handle $coreRef outputTclArray
csevio_terminate_core $handle $coreRef
 
return 0
}
 
proc query_usedw {} {
global fifoUsedw
global handle
global deviceIndex
global CSEVIO_SYNC_INPUT
global CSEVIO_SYNC_OUTPUT
 
set userRegNumber 1
set coreIndex 0
set coreRef [list $deviceIndex $userRegNumber $coreIndex]
 
csevio_init_core $handle $coreRef
csevio_define_bus $handle $coreRef "usedWord" $CSEVIO_SYNC_INPUT [list 82 83 84 85 86 87 88 89 90 91]
csevio_read_values $handle $coreRef inputTclArray
set usedw $inputTclArray(usedWord)
csevio_terminate_core $handle $coreRef
 
set tmp 0x
append tmp $usedw
set usedw [format "%i" $tmp]
set fifoUsedw $usedw
return $usedw
}
 
proc read_fifo {} {
global handle
global deviceIndex
global CSEVIO_SYNC_INPUT
global CSEVIO_SYNC_OUTPUT
 
set userRegNumber 1
set coreIndex 0
set coreRef [list $deviceIndex $userRegNumber $coreIndex]
 
csevio_init_core $handle $coreRef
csevio_define_signal $handle $coreRef "readen" $CSEVIO_SYNC_OUTPUT 0
set outputTclArray(readen) 1
csevio_write_values $handle $coreRef outputTclArray
set outputTclArray(readen) 0
csevio_write_values $handle $coreRef outputTclArray
csevio_terminate_core $handle $coreRef
 
csevio_init_core $handle $coreRef
csevio_define_bus $handle $coreRef "fifoContent" $CSEVIO_SYNC_INPUT [list 0 1 2 3 4 5 6 7 8 9\
10 11 12 13 14 15 16 17 18 19\
20 21 22 23 24 25 26 27 28 29\
30 31 32 33 34 35 36 37 38 39\
40 41 42 43 44 45 46 47 48 49\
50 51 52 53 54 55 56 57 58 59\
60 61 62 63 64 65 66 67 68 69\
70 71 72 73 74 75 76 77 78 79\
80 81]
csevio_read_values $handle $coreRef inputTclArray
set fifo_data $inputTclArray(fifoContent)
csevio_terminate_core $handle $coreRef
 
return $fifo_data
}
 
proc config_addr {{mask 0100000000} {mask_id 1}} {
global log
global handle
global deviceIndex
global CSEVIO_SYNC_INPUT
global CSEVIO_SYNC_OUTPUT
 
set userRegNumber 1
set coreIndex 1
set coreRef [list $deviceIndex $userRegNumber $coreIndex]
 
set mask_leng [string length $mask]
if {$mask_leng!=10} {
$log insert end "\nError: Wrong address mask length @$mask_id: [expr $mask_leng-2]. Expects: 8.\n"
 
} else {
csevio_init_core $handle $coreRef
csevio_define_bus $handle $coreRef "addr" $CSEVIO_SYNC_OUTPUT [list 0 1 2 3 4 5 6 7 8 9\
10 11 12 13 14 15 16 17 18 19\
20 21 22 23 24 25 26 27 28 29\
30 31 32 33 34 35 36 37 38 39]
set outputTclArray(addr) $mask
csevio_write_values $handle $coreRef outputTclArray
csevio_terminate_core $handle $coreRef
}
return 0
}
 
proc config_trig {{trig 00000000000000} {pnum 000}} {
global log
global handle
global deviceIndex
global CSEVIO_SYNC_INPUT
global CSEVIO_SYNC_OUTPUT
 
set userRegNumber 1
set coreIndex 2
set coreRef [list $deviceIndex $userRegNumber $coreIndex]
 
set trig_leng [string length $trig]
if {$trig_leng!=14} {
$log insert end "\nError: Wrong trigger condition length: [expr $trig_leng-2]. Expects: 4+8.\n"
} else {
if {[format "%d" 0x$pnum]>=511} {
$log insert end "\nError: Wrong trigger pre-capture value: [format "%d" 0x$pnum]. Expects: 0~510.\n"
} else {
csevio_init_core $handle $coreRef
csevio_define_bus $handle $coreRef "trig" $CSEVIO_SYNC_OUTPUT [list 0 1 2 3 4 5 6 7 8 9\
10 11 12 13 14 15 16 17 18 19\
20 21 22 23 24 25 26 27 28 29\
30 31 32 33 34 35 36 37 38 39\
40 41 42 43 44 45 46 47 48 49\
50 51 52 53 54 55 56 57 58 59\
60 61 62 63 64 65]
set outputTclArray(trig) [append pnum $trig]
csevio_write_values $handle $coreRef outputTclArray
csevio_terminate_core $handle $coreRef
}
}
return 0
}
 
##########################
## upper level operations
##########################
proc updateAddrConfig {} {
global address_span1
global address_span2
global address_span3
global address_span4
global address_span5
global address_span6
global address_span7
global address_span8
global address_span9
global address_span10
global address_span11
global address_span12
global address_span13
global address_span14
global address_span15
global address_span16
global address_span_en1
global address_span_en2
global address_span_en3
global address_span_en4
global address_span_en5
global address_span_en6
global address_span_en7
global address_span_en8
global address_span_en9
global address_span_en10
global address_span_en11
global address_span_en12
global address_span_en13
global address_span_en14
global address_span_en15
global address_span_en16
global addr_wren
global addr_rden
for {set i 1} {$i<=16} {incr i} {
set mask [format "%1X" [expr $i-1]]
append mask [format "%1X" [expr $addr_wren*8+$addr_rden*4+[set address_span_en$i]]]
append mask [set address_span$i]
config_addr $mask $i
}
}
 
proc initAddrConfig {} {
global log
global address_span1
global address_span2
global address_span3
global address_span4
global address_span5
global address_span6
global address_span7
global address_span8
global address_span9
global address_span10
global address_span11
global address_span12
global address_span13
global address_span14
global address_span15
global address_span16
for {set i 1} {$i<=8} {incr i} {
if {[set address_span$i]==""} {
set address_span$i ffff0000
}
}
for {set i 9} {$i<=16} {incr i} {
if {[set address_span$i]==""} {
set address_span$i 00000000
}
}
}
 
proc initTrigConfig {} {
global triggerAddr
global triggerData
global triggerPnum
if {[set triggerAddr]==""} {
set triggerAddr ffff
}
if {[set triggerData]==""} {
set triggerData a5a5a5a5
}
if {[set triggerPnum]==""} {
set triggerPnum 0
}
}
 
proc updateTrigger {{trigCmd 0}} {
global triggerAddr
global triggerData
global triggerPnum
global trig_wren
global trig_rden
global trig_aden
global trig_daen
set triggerValue [format "%1X" [expr $trig_aden*8+$trig_daen*4+0]]
append triggerValue [format "%1X" [expr $trig_wren*8+$trig_rden*4+$trigCmd]]
append triggerValue $triggerAddr
append triggerValue $triggerData
config_trig $triggerValue [format "%03X" $triggerPnum]
}
 
proc startTrigger {} {
global trig_wren
global trig_rden
global trig_aden
global trig_daen
global log
if {[expr $trig_wren+$trig_rden]==2} {
$log insert end "\nWarning: @WR & @RD, unreachable trigger condition.\n"
}
set trigEnable [expr $trig_wren+$trig_rden+$trig_aden+$trig_daen]
if {$trigEnable>0} {
updateTrigger 2
reset_fifo
query_usedw
updateTrigger 3
} else {
updateTrigger 0
}
}
 
proc reset_fifo_ptr {} {
reset_fifo
query_usedw
}
 
proc query_fifo_usedw {} {
query_usedw
}
 
proc read_fifo_content {} {
global log
global fifoUsedw
$log insert end "\n****************************************\n"
for {set i 0} {$i<$fifoUsedw} {incr i} {
set fifoContent [read_fifo]
set ok_trig [expr [format "%d" 0x[string index $fifoContent 0]]/2]
set wr_cptr [expr [format "%d" 0x[string index $fifoContent 0]]%2]
set tm_cptr [format "%d" 0x[string range $fifoContent 1 8]]
set ad_cptr [string range $fifoContent 9 12]
set da_cptr [string range $fifoContent 13 20]
if $ok_trig {
$log insert end "@@@@@@@@@@@@@@@@@@@@\n"
}
if $wr_cptr {
$log insert end "WR 0x8000$ad_cptr 0x$da_cptr @$tm_cptr\n"
} else {
$log insert end "RD 0x8000$ad_cptr 0x$da_cptr @$tm_cptr\n"
}
}
query_usedw
}
 
proc clear_log {} {
global log
$log delete insert end
}
 
proc quit {} {
global exit_console
close_jtag_device
destroy .mainframe
destroy .
set exit_console 1
}
 
##############################
## Miscellence functions
##############################
proc binaryStringToInt {binarystring} {
set len [string length $binarystring]
set retval 0
for {set i 0} {$i < $len} {incr i} {
set retval [expr $retval << 1]
if {[string index $binarystring $i] == "1"} {
set retval [expr $retval | 1]
}
}
return $retval
}
 
##############################
## Main to setup GUI
##############################
# set the varialble to keep Tk GUI
set exit_console 0
 
# set the main window
wm withdraw .
toplevel .mainframe
wm title .mainframe "www.OpenCores.org: uP Transaction Monitor"
pack propagate .mainframe true
 
# set the www.OpenCores.org logo
frame .mainframe.fig -bg white
pack .mainframe.fig -expand true -fill both
image create photo logo -format gif -file "../common/Lenovo.gif"
label .mainframe.fig.logo -image logo -bg white
pack .mainframe.fig.logo
 
# set the JTAG utility
frame .mainframe.jtag -relief groove -borderwidth 5
pack .mainframe.jtag
button .mainframe.jtag.scan -text {Scan JTAG Chain} -command {scan_chain}
button .mainframe.jtag.select -text {Select JTAG Device :} -command {select_device $cableNum $deviceNum}
button .mainframe.jtag.deselect -text {DeSelect JTAG Device} -command {close_jtag_device}
label .mainframe.jtag.cable -text {Cable @}
label .mainframe.jtag.devic -text {Device @}
entry .mainframe.jtag.cable_num -textvariable cableNum -width 5
entry .mainframe.jtag.devic_num -textvariable deviceNum -width 5
pack .mainframe.jtag.scan .mainframe.jtag.select \
.mainframe.jtag.cable .mainframe.jtag.cable_num \
.mainframe.jtag.devic .mainframe.jtag.devic_num \
.mainframe.jtag.deselect \
-side left -ipadx 0
 
# set the inclusive address entries
frame .mainframe.f1 -relief groove -borderwidth 5
pack .mainframe.f1
label .mainframe.f1.incl_addr -text {Inclusive Addr:}
entry .mainframe.f1.address_span1 -textvariable address_span1 -width 8
entry .mainframe.f1.address_span2 -textvariable address_span2 -width 8
entry .mainframe.f1.address_span3 -textvariable address_span3 -width 8
entry .mainframe.f1.address_span4 -textvariable address_span4 -width 8
entry .mainframe.f1.address_span5 -textvariable address_span5 -width 8
entry .mainframe.f1.address_span6 -textvariable address_span6 -width 8
entry .mainframe.f1.address_span7 -textvariable address_span7 -width 8
entry .mainframe.f1.address_span8 -textvariable address_span8 -width 8
checkbutton .mainframe.f1.address_span_en1 -variable address_span_en1
checkbutton .mainframe.f1.address_span_en2 -variable address_span_en2
checkbutton .mainframe.f1.address_span_en3 -variable address_span_en3
checkbutton .mainframe.f1.address_span_en4 -variable address_span_en4
checkbutton .mainframe.f1.address_span_en5 -variable address_span_en5
checkbutton .mainframe.f1.address_span_en6 -variable address_span_en6
checkbutton .mainframe.f1.address_span_en7 -variable address_span_en7
checkbutton .mainframe.f1.address_span_en8 -variable address_span_en8
pack .mainframe.f1.incl_addr \
.mainframe.f1.address_span_en1 .mainframe.f1.address_span1 \
.mainframe.f1.address_span_en2 .mainframe.f1.address_span2 \
.mainframe.f1.address_span_en3 .mainframe.f1.address_span3 \
.mainframe.f1.address_span_en4 .mainframe.f1.address_span4 \
.mainframe.f1.address_span_en5 .mainframe.f1.address_span5 \
.mainframe.f1.address_span_en6 .mainframe.f1.address_span6 \
.mainframe.f1.address_span_en7 .mainframe.f1.address_span7 \
.mainframe.f1.address_span_en8 .mainframe.f1.address_span8 \
-side left -ipadx 0
 
# set the exclusive address entries
frame .mainframe.f2 -relief groove -borderwidth 5
pack .mainframe.f2
label .mainframe.f2.excl_addr -text {Exclusive Addr:}
entry .mainframe.f2.address_span9 -textvariable address_span9 -width 8
entry .mainframe.f2.address_span10 -textvariable address_span10 -width 8
entry .mainframe.f2.address_span11 -textvariable address_span11 -width 8
entry .mainframe.f2.address_span12 -textvariable address_span12 -width 8
entry .mainframe.f2.address_span13 -textvariable address_span13 -width 8
entry .mainframe.f2.address_span14 -textvariable address_span14 -width 8
entry .mainframe.f2.address_span15 -textvariable address_span15 -width 8
entry .mainframe.f2.address_span16 -textvariable address_span16 -width 8
checkbutton .mainframe.f2.address_span_en9 -variable address_span_en9
checkbutton .mainframe.f2.address_span_en10 -variable address_span_en10
checkbutton .mainframe.f2.address_span_en11 -variable address_span_en11
checkbutton .mainframe.f2.address_span_en12 -variable address_span_en12
checkbutton .mainframe.f2.address_span_en13 -variable address_span_en13
checkbutton .mainframe.f2.address_span_en14 -variable address_span_en14
checkbutton .mainframe.f2.address_span_en15 -variable address_span_en15
checkbutton .mainframe.f2.address_span_en16 -variable address_span_en16
pack .mainframe.f2.excl_addr \
.mainframe.f2.address_span_en9 .mainframe.f2.address_span9 \
.mainframe.f2.address_span_en10 .mainframe.f2.address_span10 \
.mainframe.f2.address_span_en11 .mainframe.f2.address_span11 \
.mainframe.f2.address_span_en12 .mainframe.f2.address_span12 \
.mainframe.f2.address_span_en13 .mainframe.f2.address_span13 \
.mainframe.f2.address_span_en14 .mainframe.f2.address_span14 \
.mainframe.f2.address_span_en15 .mainframe.f2.address_span15 \
.mainframe.f2.address_span_en16 .mainframe.f2.address_span16 \
-side left -ipadx 0
initAddrConfig
 
# set the address configuration buttons
frame .mainframe.addr_cnfg -relief groove -borderwidth 5
pack .mainframe.addr_cnfg
checkbutton .mainframe.addr_cnfg.wren -text {WR} -variable addr_wren
checkbutton .mainframe.addr_cnfg.rden -text {RD} -variable addr_rden
button .mainframe.addr_cnfg.config -text {Apply Address Filter} -command {updateAddrConfig}
pack .mainframe.addr_cnfg.wren .mainframe.addr_cnfg.rden .mainframe.addr_cnfg.config \
-side left -ipadx 0
 
# set the transaction trigger controls
frame .mainframe.trig -relief groove -borderwidth 5
pack .mainframe.trig
button .mainframe.trig.starttrig -text {Apply Trigger Condition} -command {startTrigger}
entry .mainframe.trig.trigvalue_addr -textvar triggerAddr -width 4
entry .mainframe.trig.trigvalue_data -textvar triggerData -width 8
checkbutton .mainframe.trig.trigaddr -text {@Addr:} -variable trig_aden
checkbutton .mainframe.trig.trigdata -text {@Data:} -variable trig_daen
checkbutton .mainframe.trig.wren -text {@WR} -variable trig_wren
checkbutton .mainframe.trig.rden -text {@RD} -variable trig_rden
label .mainframe.trig.pnum -text {Pre-Capture:}
entry .mainframe.trig.trigvalue_pnum -textvar triggerPnum -width 4
pack .mainframe.trig.pnum .mainframe.trig.trigvalue_pnum \
.mainframe.trig.wren .mainframe.trig.rden \
.mainframe.trig.trigaddr .mainframe.trig.trigvalue_addr \
.mainframe.trig.trigdata .mainframe.trig.trigvalue_data \
.mainframe.trig.starttrig \
-side left -ipadx 0
initTrigConfig
 
# set the control buttons
frame .mainframe.fifo -relief groove -borderwidth 5
pack .mainframe.fifo
button .mainframe.fifo.reset -text {Reset FIFO} -command {reset_fifo_ptr}
button .mainframe.fifo.loop -text {Query Used Word} -command {query_fifo_usedw}
label .mainframe.fifo.usedw -textvariable fifoUsedw -relief sunken -width 4
button .mainframe.fifo.read -text {Read FIFO} -command {read_fifo_content}
button .mainframe.fifo.clear -text {Clear Log} -command {clear_log}
button .mainframe.fifo.quit -text {Quit} -command {quit}
pack .mainframe.fifo.reset .mainframe.fifo.loop .mainframe.fifo.usedw .mainframe.fifo.read .mainframe.fifo.clear .mainframe.fifo.quit \
-side left -ipadx 0
 
# set the log window
frame .mainframe.log -relief groove -borderwidth 5
set log [text .mainframe.log.text -width 80 -height 25 \
-borderwidth 2 -relief sunken -setgrid true \
-yscrollcommand {.mainframe.log.scroll set}]
scrollbar .mainframe.log.scroll -command {.mainframe.log.text yview}
pack .mainframe.log.scroll -side right -fill y
pack .mainframe.log.text -side left -fill both -expand true
pack .mainframe.log -side top -fill both -expand true
 
# make the program wait for exit signal
vwait exit_console
 
/trunk/doc/Revision History.txt
5,3 → 5,6
 
2.1 Added new features: 1. Multiple address filter selection; 2. Read access capture support; 3. Full trigger condition support; 4. Updated GUI; 5. Updated wrapper example with glitch filter and stable address/data capture.
 
2.2 Added new features: 1. Multiple capture filter selection in the Tk GUI. 2. Read transaction capture. 3. Adjustable pre-trigger capture. 4. Capture content with transaction timing information.
 
2.3 Added support for Xilinx Devices with Chipscope VIO.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.