OpenCores
URL https://opencores.org/ocsvn/fir_wishbone/fir_wishbone/trunk

Subversion Repositories fir_wishbone

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fir_wishbone
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/trunk/design/quartus-synthesis/tb_fir.vhdl
57,19 → 57,6
signal dbgSignals:std_ulogic_vector(127 downto 0):=(others=>'0');
-- component fir is generic(order:positive:=31; width:positive:=16);
-- port(
-- /* General settings. */
-- reset:in std_ulogic; -- asserting reset will start protocol sequence transmission. To restart the re-transmission of the sequence, re-assert this reset signal, and the whole SPI sequence will be re-transmitted again.
-- clk:in std_ulogic:='0';
--
-- /* Filter ports. */
-- u:in signed(width-1 downto 0):=(others=>'0');
-- --y:buffer signed(width*2-1 downto 0)
-- y:buffer signed(width-1 downto 0)
-- );
-- end component fir;
/* Explicitly define all multiplications with the "*" operator to use dedicated DSP hardware multipliers. */
attribute multstyle:string; attribute multstyle of rtl:architecture is "dsp"; --altera:
-- attribute mult_style:string; attribute mult_style of fir:entity is "block"; --xilinx:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.