OpenCores
URL https://opencores.org/ocsvn/fpu_double/fpu_double/trunk

Subversion Repositories fpu_double

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fpu_double/trunk
    from Rev 5 to Rev 8
    Reverse comparison

Rev 5 → Rev 8

/fpu_sub.vhd
129,6 → 129,7
subtrahend <= (others =>'0');
subtra_shift <= (others =>'0');
subtra_shift_3 <= (others =>'0');
diff_shift <= (others =>'0');
diff_shift_2 <= (others =>'0');
diff <= (others =>'0');
diffshift_gt_exponent <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.