OpenCores
URL https://opencores.org/ocsvn/fpu_double/fpu_double/trunk

Subversion Repositories fpu_double

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fpu_double
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/trunk/fpu_exceptions.vhd
418,12 → 418,7
invalid_trigger <= '1';
else
invalid_trigger <= '0';
end if;
if div_by_inf = '1' or mul_uf = '1' or div_uf = '1' then
underflow_trigger <= '1';
else
underflow_trigger <= '0';
end if;
end if;
if out_inf_trigger = '1' and NaN_input = '0' then
overflow_trigger <= '1';
else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.