URL
https://opencores.org/ocsvn/ha1588/ha1588/trunk
Subversion Repositories ha1588
Compare Revisions
- This comparison shows the changes necessary to convert path
/ha1588/trunk
- from Rev 57 to Rev 58
- ↔ Reverse comparison
Rev 57 → Rev 58
/rtl/top/ha1588.v
33,6 → 33,7
input rtc_clk, |
output [31:0] rtc_time_ptp_ns, |
output [47:0] rtc_time_ptp_sec, |
output rtc_time_one_pps, |
|
input rx_gmii_clk, |
input rx_gmii_ctrl, |
129,6 → 130,7
.period_adj(rtc_period_adj), |
.time_reg_ns(rtc_time_reg_ns_val), |
.time_reg_sec(rtc_time_reg_sec_val), |
.time_one_pps(rtc_time_one_pps), |
.time_ptp_ns(rtc_time_ptp_ns), |
.time_ptp_sec(rtc_time_ptp_sec) |
); |
/rtl/top/ha1588_hw.tcl
133,6 → 133,7
add_interface_port ref_clock rtc_clk export Input 1 |
add_interface_port ref_clock rtc_time_ptp_ns export Output 32 |
add_interface_port ref_clock rtc_time_ptp_sec export Output 48 |
add_interface_port ref_clock rtc_time_one_pps export Output 1 |
# | |
# +----------------------------------- |
|
/rtl/sopc/ha1588_inst.v
546,6 → 546,7
|
// the_ha1588_comp |
rtc_clk_to_the_ha1588_comp, |
rtc_time_one_pps_from_the_ha1588_comp, |
rtc_time_ptp_ns_from_the_ha1588_comp, |
rtc_time_ptp_sec_from_the_ha1588_comp, |
rx_giga_mode_to_the_ha1588_comp, |
559,6 → 560,7
) |
; |
|
output rtc_time_one_pps_from_the_ha1588_comp; |
output [ 31: 0] rtc_time_ptp_ns_from_the_ha1588_comp; |
output [ 47: 0] rtc_time_ptp_sec_from_the_ha1588_comp; |
input clk_0; |
597,6 → 599,7
wire master_bfm_read_data_valid_ha1588_comp_avalon_slave; |
wire master_bfm_requests_ha1588_comp_avalon_slave; |
wire reset_n_sources; |
wire rtc_time_one_pps_from_the_ha1588_comp; |
wire [ 31: 0] rtc_time_ptp_ns_from_the_ha1588_comp; |
wire [ 47: 0] rtc_time_ptp_sec_from_the_ha1588_comp; |
ha1588_comp_avalon_slave_arbitrator the_ha1588_comp_avalon_slave |
631,6 → 634,7
.rd_in (ha1588_comp_avalon_slave_read), |
.rst (ha1588_comp_avalon_slave_reset), |
.rtc_clk (rtc_clk_to_the_ha1588_comp), |
.rtc_time_one_pps (rtc_time_one_pps_from_the_ha1588_comp), |
.rtc_time_ptp_ns (rtc_time_ptp_ns_from_the_ha1588_comp), |
.rtc_time_ptp_sec (rtc_time_ptp_sec_from_the_ha1588_comp), |
.rx_giga_mode (rx_giga_mode_to_the_ha1588_comp), |
739,6 → 743,7
reg clk_0; |
reg reset_n; |
wire rtc_clk_to_the_ha1588_comp; |
wire rtc_time_one_pps_from_the_ha1588_comp; |
wire [ 31: 0] rtc_time_ptp_ns_from_the_ha1588_comp; |
wire [ 47: 0] rtc_time_ptp_sec_from_the_ha1588_comp; |
wire rx_giga_mode_to_the_ha1588_comp; |
761,6 → 766,7
.clk_0 (clk_0), |
.reset_n (reset_n), |
.rtc_clk_to_the_ha1588_comp (rtc_clk_to_the_ha1588_comp), |
.rtc_time_one_pps_from_the_ha1588_comp (rtc_time_one_pps_from_the_ha1588_comp), |
.rtc_time_ptp_ns_from_the_ha1588_comp (rtc_time_ptp_ns_from_the_ha1588_comp), |
.rtc_time_ptp_sec_from_the_ha1588_comp (rtc_time_ptp_sec_from_the_ha1588_comp), |
.rx_giga_mode_to_the_ha1588_comp (rx_giga_mode_to_the_ha1588_comp), |
/rtl/sopc/ha1588_comp.v
14,6 → 14,7
input wire rtc_clk, // ref_clock.export |
output wire [31:0] rtc_time_ptp_ns, // .export |
output wire [47:0] rtc_time_ptp_sec, // .export |
output wire rtc_time_one_pps, // .export |
input wire rx_gmii_clk, // gmii_monitor.export |
input wire rx_gmii_ctrl, // .export |
input wire [7:0] rx_gmii_data, // .export |
37,6 → 38,7
.rtc_clk (rtc_clk), // ref_clock.export |
.rtc_time_ptp_ns (rtc_time_ptp_ns), // .export |
.rtc_time_ptp_sec (rtc_time_ptp_sec), // .export |
.rtc_time_one_pps (rtc_time_one_pps), // .export |
.rx_gmii_clk (rx_gmii_clk), // gmii_monitor.export |
.rx_gmii_ctrl (rx_gmii_ctrl), // .export |
.rx_gmii_data (rx_gmii_data), // .export |
/rtl/rtc/rtc.v
39,6 → 39,8
// time output: for internal with ns fraction |
output [37:0] time_reg_ns, // 37:8 ns, 7:0 ns_fraction |
output [47:0] time_reg_sec, // 47:0 sec |
// time output: for external with one pps accuracy |
output reg time_one_pps, |
// time output: for external with ptp standard |
output [31:0] time_ptp_ns, // 31:0 ns |
output [47:0] time_ptp_sec // 47:0 sec |
160,5 → 162,12
// time output (48bit_s + 32bit_ns) |
assign time_ptp_ns = {2'b00, time_acc_30n_08f[37:8]}; |
assign time_ptp_sec = time_acc_48s; |
// time output one pps |
always @(posedge rst or posedge clk) begin |
if (rst) |
time_one_pps <= 1'b0; |
else |
time_one_pps <= time_acc_48s_inc; |
end |
|
endmodule |
/sim/top/ha1588_tb.v
88,6 → 88,7
.rtc_clk(rtc_clk), |
.rtc_time_ptp_ns(), |
.rtc_time_ptp_sec(), |
.rtc_time_one_pps(), |
|
.rx_gmii_clk(rx_gmii_clk), |
.rx_gmii_ctrl(rx_gmii_ctrl), |
/sim/rtc/rtc_timer_tb.v
47,6 → 47,7
.time_reg_sec_in (time_reg_sec_in ) , |
.time_reg_ns (time_reg_ns ) , |
.time_reg_sec (time_reg_sec ) , |
.time_one_pps ( ) , |
.time_ptp_ns ( ) , |
.time_ptp_sec ( ) , |
.period_ld (period_ld ) , |