OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk
    from Rev 61 to Rev 62
    Reverse comparison

Rev 61 → Rev 62

/sim/top/cleanup.sh
1,2 → 1,2
rm -rf altera work
rm -f dpiheader.h transcript *.o *.so *.wlf
rm -f modelsim.ini dpiheader.h transcript *.o *.so *.wlf
/sim/top/sim_win.do
35,9 → 35,9
# step 2: generate ptp_drv_bfm.obj
vsim -dpiexportobj ptp_drv_bfm_sv ptp_drv_bfm_sv
# step 3: generate ptp_drv_bfm_c.obj
gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
exec gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
# step 4: generate ptp_drv_bfm_c.dll
gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \
exec gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \
ptp_drv_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
 
vsim -novopt \
/sim/top/sim_win.bat
1,7 → 1,3
title %CD%
 
SET LM_LICENSE_FILE=D:\Programs\license_win.dat
SET MODEL_TECH=D:\Programs\modeltech_6.5g\win32
SET PATH=D:\Programs\modeltech_6.5g\win32;D:\Programs\modeltech_6.5g\gcc-4.2.1-mingw32\bin
 
vsim -do sim_win.do
/sim/top/cleanup.bat
1,2 → 1,2
rmdir /S /Q work altera
del *.h *.o *.dll *.obj *.wlf transcript
del *.h *.o *.dll *.obj *.wlf transcript modelsim.ini
/sim/sopc/cleanup.sh
0,0 → 1,2
rm -rf altera work
rm -f modelsim.ini dpiheader.h transcript *.o *.so *.wlf
/sim/sopc/sim.sh
0,0 → 1,2
vsim -do sim.do
/sim/sopc/cleanup.bat
0,0 → 1,2
rmdir /S /Q work altera
del *.h *.o *.dll *.obj *.wlf transcript modelsim.ini
/sim/sopc/sim.bat
1,7 → 1,3
title %CD%
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
vsim -do sim.do
/sim/rtc/cleanup.sh
0,0 → 1,2
rm -rf altera work
rm -f modelsim.ini dpiheader.h transcript *.o *.so *.wlf
/sim/rtc/sim.sh
0,0 → 1,2
vsim -do sim.do
/sim/rtc/cleanup.bat
0,0 → 1,2
rmdir /S /Q work altera
del *.h *.o *.dll *.obj *.wlf transcript modelsim.ini
/sim/rtc/sim.bat
1,7 → 1,3
title %CD%
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
vsim -do sim.do
/sim/tsu/cleanup.sh
0,0 → 1,2
rm -rf altera work
rm -f modelsim.ini dpiheader.h transcript *.o *.so *.wlf
/sim/tsu/sim.sh
0,0 → 1,2
vsim -do sim.do
/sim/tsu/cleanup.bat
0,0 → 1,2
rmdir /S /Q work altera
del *.h *.o *.dll *.obj *.wlf transcript modelsim.ini
/sim/tsu/sim.bat
1,7 → 1,3
title %CD%
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
vsim -do sim.do
vsim -do sim.do

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.