OpenCores
URL https://opencores.org/ocsvn/iicmb/iicmb/trunk

Subversion Repositories iicmb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /iicmb
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

trunk/doc/src/opencores_logo_text.eps Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/doc/src/opencores_logo.eps =================================================================== --- trunk/doc/src/opencores_logo.eps (revision 2) +++ trunk/doc/src/opencores_logo.eps (nonexistent) @@ -1,99 +0,0 @@ -%!PS-Adobe-3.0 EPSF-3.0 -%%Creator: cairo 1.8.8 (http://cairographics.org) -%%CreationDate: Mon Apr 18 12:37:37 2016 -%%Pages: 1 -%%BoundingBox: 256 572 356 674 -%%DocumentData: Clean7Bit -%%LanguageLevel: 2 -%%EndComments -%%BeginProlog -/cairo_eps_state save def -/dict_count countdictstack def -/op_count count 1 sub def -userdict begin -/q { gsave } bind def -/Q { grestore } bind def -/cm { 6 array astore concat } bind def -/w { setlinewidth } bind def -/J { setlinecap } bind def -/j { setlinejoin } bind def -/M { setmiterlimit } bind def -/d { setdash } bind def -/m { moveto } bind def -/l { lineto } bind def -/c { curveto } bind def -/h { closepath } bind def -/re { exch dup neg 3 1 roll 5 3 roll moveto 0 rlineto - 0 exch rlineto 0 rlineto closepath } bind def -/S { stroke } bind def -/f { fill } bind def -/f* { eofill } bind def -/B { fill stroke } bind def -/B* { eofill stroke } bind def -/n { newpath } bind def -/W { clip } bind def -/W* { eoclip } bind def -/BT { } bind def -/ET { } bind def -/pdfmark where { pop globaldict /?pdfmark /exec load put } - { globaldict begin /?pdfmark /pop load def /pdfmark - /cleartomark load def end } ifelse -/BDC { mark 3 1 roll /BDC pdfmark } bind def -/EMC { mark /EMC pdfmark } bind def -/cairo_store_point { /cairo_point_y exch def /cairo_point_x exch def } def -/Tj { show currentpoint cairo_store_point } bind def -/TJ { - { - dup - type /stringtype eq - { show } { -0.001 mul 0 cairo_font_matrix dtransform rmoveto } ifelse - } forall - currentpoint cairo_store_point -} bind def -/cairo_selectfont { cairo_font_matrix aload pop pop pop 0 0 6 array astore - cairo_font exch selectfont cairo_point_x cairo_point_y moveto } bind def -/Tf { pop /cairo_font exch def /cairo_font_matrix where - { pop cairo_selectfont } if } bind def -/Td { matrix translate cairo_font_matrix matrix concatmatrix dup - /cairo_font_matrix exch def dup 4 get exch 5 get cairo_store_point - /cairo_font where { pop cairo_selectfont } if } bind def -/Tm { 2 copy 8 2 roll 6 array astore /cairo_font_matrix exch def - cairo_store_point /cairo_font where { pop cairo_selectfont } if } bind def -/g { setgray } bind def -/rg { setrgbcolor } bind def -/d1 { setcachedevice } bind def -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -%%PageBoundingBox: 256 572 356 674 -%%EndPageSetup -q -0.0627451 0.203922 0.4 rg -305.984 672.455 m 290.656 657.127 l 291.434 657.209 292.227 657.267 -293.027 657.267 c 299.172 657.267 304.715 654.771 308.742 650.744 c -312.77 646.72 315.281 641.158 315.281 635.013 c 315.281 633.99 315.203 -632.994 315.07 632.005 c 316.055 632.142 317.055 632.22 318.074 632.22 -c 324.328 632.22 329.996 629.638 334.039 625.482 c 325.977 617.423 l -324.062 619.338 321.438 620.533 318.516 620.533 c 312.68 620.533 307.93 -615.783 307.93 609.947 c 307.93 604.107 312.66 599.373 318.5 599.373 c -321.418 599.373 324.062 600.556 325.977 602.466 c 330.945 597.502 l -340.086 606.638 l 355.941 622.498 l 343.496 634.943 l 305.984 672.455 l -h -301.336 642.63 m 299.387 644.58 296.707 645.779 293.734 645.779 c -287.785 645.779 282.969 640.963 282.969 635.013 c 282.969 629.064 -287.785 624.248 293.734 624.248 c 299.684 624.248 304.5 629.064 304.5 -635.013 c 304.5 637.986 303.285 640.681 301.336 642.63 c h -321.383 587.935 m 320.305 587.775 319.195 587.709 318.074 587.709 c -305.785 587.709 295.82 597.673 295.82 609.963 c 295.82 610.986 295.898 -611.982 296.031 612.966 c 295.047 612.834 294.047 612.755 293.027 -612.755 c 280.738 612.755 270.77 622.724 270.77 635.013 c 270.77 -635.806 270.812 636.591 270.895 637.365 c 256.008 622.478 l 305.965 -572.521 l 321.383 587.935 l h -321.383 587.935 m f -Q -showpage -%%Trailer -count op_count sub {pop} repeat -countdictstack dict_count sub {end} repeat -cairo_eps_state restore -%%EOF
trunk/doc/src/opencores_logo.eps Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/doc/src/opencores_logo_text.svg =================================================================== --- trunk/doc/src/opencores_logo_text.svg (nonexistent) +++ trunk/doc/src/opencores_logo_text.svg (revision 3) @@ -0,0 +1,152 @@ + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + www.opencores.org + + + Index: trunk/doc/src/iicmb_mb.odt =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/doc/src/opencores_logo.svg =================================================================== --- trunk/doc/src/opencores_logo.svg (nonexistent) +++ trunk/doc/src/opencores_logo.svg (revision 3) @@ -0,0 +1,96 @@ + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + Index: trunk/doc/iicmb_mb.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/src/avalon_mm.vhd =================================================================== --- trunk/src/avalon_mm.vhd (revision 2) +++ trunk/src/avalon_mm.vhd (revision 3) @@ -46,25 +46,25 @@ port ( ------------------------------------ - clk : in std_logic; -- Clock input - s_rst : in std_logic; -- Synchronous reset (active high) + clk : in std_logic; -- Clock input + s_rst : in std_logic; -- Synchronous reset (active high) ------------------------------------ ------------------------------------ -- Avalon-MM slave interface: - waitrequest : out std_logic; - readdata : out std_logic_vector(31 downto 0); - readdatavalid : out std_logic; - writedata : in std_logic_vector(31 downto 0); - write : in std_logic; - read : in std_logic; - byteenable : in std_logic_vector( 3 downto 0); + waitrequest : out std_logic; -- Wait request + readdata : out std_logic_vector(31 downto 0); -- Data from slave to master + readdatavalid : out std_logic; -- Data validity indication + writedata : in std_logic_vector(31 downto 0); -- Data from master to slave + write : in std_logic; -- Asserted to indicate write transfer + read : in std_logic; -- Asserted to indicate read transfer + byteenable : in std_logic_vector( 3 downto 0); -- Enables specific byte lane(s) ------------------------------------ ------------------------------------ -- Regblock interface: - wr : out std_logic_vector( 3 downto 0); -- Write (active high) - rd : out std_logic_vector( 3 downto 0); -- Read (active high) - idata : out std_logic_vector(31 downto 0); -- Data from System Bus - odata : in std_logic_vector(31 downto 0) -- Data to System Bus + wr : out std_logic_vector( 3 downto 0); -- Write (active high) + rd : out std_logic_vector( 3 downto 0); -- Read (active high) + idata : out std_logic_vector(31 downto 0); -- Data from System Bus + odata : in std_logic_vector(31 downto 0) -- Data for System Bus ------------------------------------ ); end entity avalon_mm; Index: trunk/src/wishbone.vhd =================================================================== --- trunk/src/wishbone.vhd (revision 2) +++ trunk/src/wishbone.vhd (revision 3) @@ -46,25 +46,25 @@ port ( ------------------------------------ - clk_i : in std_logic; -- Clock input - rst_i : in std_logic; -- Synchronous reset (active high) + clk_i : in std_logic; -- Clock input + rst_i : in std_logic; -- Synchronous reset (active high) ------------------------------------ ------------------------------------ -- Wishbone slave interface: - cyc_i : in std_logic; -- - stb_i : in std_logic; -- - ack_o : out std_logic; -- - adr_i : in std_logic_vector( 1 downto 0); -- Low bits of Wishbone address - we_i : in std_logic; -- - dat_i : in std_logic_vector( 7 downto 0); -- Data input - dat_o : out std_logic_vector( 7 downto 0); -- Data output + cyc_i : in std_logic; -- Valid bus cycle indication + stb_i : in std_logic; -- Slave selection + ack_o : out std_logic; -- Acknowledge output + adr_i : in std_logic_vector( 1 downto 0); -- Low bits of Wishbone address + we_i : in std_logic; -- Write enable + dat_i : in std_logic_vector( 7 downto 0); -- Data input + dat_o : out std_logic_vector( 7 downto 0); -- Data output ------------------------------------ ------------------------------------ -- Regblock interface: - wr : out std_logic_vector( 3 downto 0); -- Write (active high) - rd : out std_logic_vector( 3 downto 0); -- Read (active high) - idata : out std_logic_vector(31 downto 0); -- Data from System Bus - odata : in std_logic_vector(31 downto 0) -- Data to System Bus + wr : out std_logic_vector( 3 downto 0); -- Write (active high) + rd : out std_logic_vector( 3 downto 0); -- Read (active high) + idata : out std_logic_vector(31 downto 0); -- Data from System Bus + odata : in std_logic_vector(31 downto 0) -- Data to System Bus ------------------------------------ ); end entity wishbone; Index: trunk/src/iicmb_m_av.vhd =================================================================== --- trunk/src/iicmb_m_av.vhd (revision 2) +++ trunk/src/iicmb_m_av.vhd (revision 3) @@ -73,13 +73,13 @@ clk : in std_logic; -- Clock s_rst : in std_logic; -- Synchronous reset (active high) ------------- - waitrequest : out std_logic; - readdata : out std_logic_vector(31 downto 0); - readdatavalid : out std_logic; - writedata : in std_logic_vector(31 downto 0); - write : in std_logic; - read : in std_logic; - byteenable : in std_logic_vector( 3 downto 0); + waitrequest : out std_logic; -- Wait request + readdata : out std_logic_vector(31 downto 0); -- Data from slave to master + readdatavalid : out std_logic; -- Data validity indication + writedata : in std_logic_vector(31 downto 0); -- Data from master to slave + write : in std_logic; -- Asserted to indicate write transfer + read : in std_logic; -- Asserted to indicate read transfer + byteenable : in std_logic_vector( 3 downto 0); -- Enables specific byte lane(s) ------------------------------------ ------------------------------------ -- Interrupt request: Index: trunk/src/iicmb_m_wb.vhd =================================================================== --- trunk/src/iicmb_m_wb.vhd (revision 2) +++ trunk/src/iicmb_m_wb.vhd (revision 3) @@ -73,11 +73,11 @@ clk_i : in std_logic; -- Clock rst_i : in std_logic; -- Synchronous reset (active high) ------------- - cyc_i : in std_logic; -- - stb_i : in std_logic; -- - ack_o : out std_logic; -- + cyc_i : in std_logic; -- Valid bus cycle indication + stb_i : in std_logic; -- Slave selection + ack_o : out std_logic; -- Acknowledge output adr_i : in std_logic_vector(1 downto 0); -- Low bits of Wishbone address - we_i : in std_logic; -- + we_i : in std_logic; -- Write enable dat_i : in std_logic_vector(7 downto 0); -- Data input dat_o : out std_logic_vector(7 downto 0); -- Data output ------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.