OpenCores
URL https://opencores.org/ocsvn/omrpv2/omrpv2/trunk

Subversion Repositories omrpv2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /omrpv2
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/web_uploads/temp.sh File deleted
web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/svn_checkin.sh =================================================================== --- web_uploads/svn_checkin.sh (revision 5) +++ web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/oc_checkin.sh =================================================================== --- web_uploads/oc_checkin.sh (revision 5) +++ web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: web_uploads/OMRPv2_board_datasheet.pdf =================================================================== --- web_uploads/OMRPv2_board_datasheet.pdf (nonexistent) +++ web_uploads/OMRPv2_board_datasheet.pdf (revision 6) @@ -0,0 +1,2626 @@ +%PDF-1.4 +% +5 0 obj +<< /S /GoTo /D (section.1) >> +endobj +8 0 obj +(Introduction) +endobj +9 0 obj +<< /S /GoTo /D (section.2) >> +endobj +12 0 obj +(Components) +endobj +13 0 obj +<< /S /GoTo /D (section.3) >> +endobj +16 0 obj +(Connections) +endobj +17 0 obj +<< /S /GoTo /D (subsection.3.1) >> +endobj +20 0 obj +(Clock) +endobj +21 0 obj +<< /S /GoTo /D (subsection.3.2) >> +endobj +24 0 obj +(Reset button) +endobj +25 0 obj +<< /S /GoTo /D (subsection.3.3) >> +endobj +28 0 obj +(LEDs) +endobj +29 0 obj +<< /S /GoTo /D (subsection.3.4) >> +endobj +32 0 obj +(UART) +endobj +33 0 obj +<< /S /GoTo /D (subsection.3.5) >> +endobj +36 0 obj +(SDRAM) +endobj +37 0 obj +<< /S /GoTo /D (subsection.3.6) >> +endobj +40 0 obj +(SDIO connector 1) +endobj +41 0 obj +<< /S /GoTo /D (subsection.3.7) >> +endobj +44 0 obj +(SDIO connector 2) +endobj +45 0 obj +<< /S /GoTo /D (subsection.3.8) >> +endobj +48 0 obj +(Ethernet interface 1) +endobj +49 0 obj +<< /S /GoTo /D (subsection.3.9) >> +endobj +52 0 obj +(Ethernet interface 2) +endobj +53 0 obj +<< /S /GoTo /D (subsection.3.10) >> +endobj +56 0 obj +(USB) +endobj +57 0 obj +<< /S /GoTo /D (subsection.3.11) >> +endobj +60 0 obj +(Mini PCI) +endobj +61 0 obj +<< /S /GoTo /D (subsection.3.12) >> +endobj +64 0 obj +(JTAG connector) +endobj +65 0 obj +<< /S /GoTo /D (subsection.3.13) >> +endobj +68 0 obj +(AVR) +endobj +69 0 obj +<< /S /GoTo /D (section.4) >> +endobj +72 0 obj +(Atmel ATtiny24 MCU) +endobj +73 0 obj +<< /S /GoTo /D (subsection.4.1) >> +endobj +76 0 obj +(Xilinx boot protocol) +endobj +77 0 obj +<< /S /GoTo /D (subsection.4.2) >> +endobj +80 0 obj +(Software requirements) +endobj +81 0 obj +<< /S /GoTo /D (subsection.4.3) >> +endobj +84 0 obj +(Programming the MCU) +endobj +85 0 obj +<< /S /GoTo /D (subsection.4.4) >> +endobj +88 0 obj +(Error decoding) +endobj +89 0 obj +<< /S /GoTo /D (section.5) >> +endobj +92 0 obj +(Software requirements) +endobj +93 0 obj +<< /S /GoTo /D (subsection.5.1) >> +endobj +96 0 obj +(Synthesis software) +endobj +97 0 obj +<< /S /GoTo /D (subsection.5.2) >> +endobj +100 0 obj +(Programming tools) +endobj +101 0 obj +<< /S /GoTo /D (subsection.5.3) >> +endobj +104 0 obj +(Simulation tools) +endobj +105 0 obj +<< /S /GoTo /D (section.6) >> +endobj +108 0 obj +(Contact) +endobj +109 0 obj +<< /S /GoTo /D [110 0 R /Fit ] >> +endobj +115 0 obj << +/Length 932 +/Filter /FlateDecode +>> +stream +xuUK6W(WDf-5ID[jlQuwȡloB<4o~|^=,u!692 Ld&_ҏF圶2+L?W'stCfWnk <}ZҊ!7Z{Mrh*AJ&D4?(Y0>%U`j:4,o͎+~,JeDpNgX}e_1Qc:8%5:Ɵ̥]L(#5QQIVK.3y88 Ch\osHg3I] +kedv^pgΓe㇧P~B<~%ۨISv]sYҒ0Va'cIoo"CMЗtgޟ8Zhd'!  kCF +(DP?Ac栧E.!>{~VB9ӛ\0p'žH25[T<>J'v栳tRq +u +m~{3P>^n&cu71`V'e>?[7/51F%15tah @h;M JS7p2q QѵBp:j|LP0S8c5fpc[5MD5Nc(Ƃ*N}(Q;0`oE\`X2ѐEd7 P=*'yUqyeD@.~@svzF (I3T +xV ~m"=3c,Z45'ߺqxkp8N1&*G5aTHY?!6с~hV|f[`EIOzNК2DI' +endstream +endobj +110 0 obj << +/Type /Page +/Contents 115 0 R +/Resources 114 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 123 0 R +/Annots [ 112 0 R ] +>> endobj +111 0 obj << +/Type /XObject +/Subtype /Image +/Width 117 +/Height 91 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/SMask 124 0 R +/Length 4542 +/Filter /FlateDecode +>> +stream +x?ʵ]Nq y& B +UR*DE + !&`R&܀pi^RH-Ž˓ߚ%g۲-{{ fFco֟5O=ӧt:MӴa;`pһw1G۽#45AAeg&[A+_dPp^,w.NYGl;!\D ɄN*V[٦L(LfM +ʕdtL2Vd|֢;h`h,qiaoԱȋZ3A ȤPLF&EVئAQjXӿ~3US +^A(VUg^bxX/xxWyGOֽ6F1/[5QH +ڗv:TX&i+=V-/Ε,i'R(g|,%cv+uW6Du{Jx{}ؾ,اiI94`%FIeEMgo2 W&lWxaO?|X_1b›NZA wpej,߸NbY0\˲ph;4Ռ[lj .NeSYEQIP3W@(:v-Xe;GǷV܌L2@ݠ:~M[ r'ՍIڒ5֭"8t Bp~ʗ澂-ҠYyj)ӑ<[Eiæ9m%*TsWܩ_Gkm'J]n{LZ{!l܍ϝ~N,-f5;O[tZkTho2~6Uk' {3cÚv\8xs1]J8bF$/ߓXQQ#o[WS&ULgaoOӭB㒤!?HZVq}xwj ^50HM^5v]ΥLaLpFibZfʖa>{sK!>tY{D1Of,O^qYWĥq1Zhj|c͎zxxw;Y#2#$.~9o yƇrbBw7j/^skE/Qyapg'VUmV9 +sRTm^ާNdD:5YmH+S.DžC<ZMkIx Pd ܪ&>\1$*QE3x/go}2m,HTv,7mwCfWZ *PrKtk1 A|9Gm/ȴFF{X8 S%I ]xsoӛf̮q[=dq3{4tپ;Rln9lwyNM;x1X  +aR7]P>kٛb*LlHE7Ԯ 7{n'0Meop ޺ +dj(к7!ar(^ŝCV@` }ӎs~mLZ,5xX}nQM +YcmzUqqr!)mF:;nh UvGe?zJGy F>F^w9^1*"J3j+A +]jڃcĭ2'g, +2C]OTpp;Px)^^^v.lT[u$;ױ5>Uc0l7 =αH}x;f9/j/)a;Q=b/> A0?{eWB5 +Z1Tt+w^_h +;{%|qP&A/\7M7M?^_88iÍN!s^']:#0 Vh,-OYC]{q +qK9V_\eQ{W5iߧp)nrFO6p?*p04u'dZiVhH]7 +\ #֦] +*w9K]m:At^_ 5y?j_3iS4W3G,_IgQ彲N'63ц +qPZ/KE#yb 4F`@ +ewځ@MQ;Nir˳滸`{(,QmJd}<4_8|Uᘓ\ /E?3Xmyҍ#/4I-7* P>>c|ډ5۳9q.0`ތw'1AI, 2rfC#bV܁FHፐvls;y07@̰n /wQn؛{-{!UxO< :{ٻ(tKp_s#Bʲ@d]bd{tV Qnj8 o0\b#VGEّ4x*S{NF&D񵛃w:; +AQN@ DyQ`$VVBjv!(nﶹ.͸}x6#ePK$cњ*"\FCvuv^ᇻ]$۲_!xŔ݌qZCkP^\FzG0x** +A' +ZUv +e c0XnVxUI^SZsqBԟZ8#ch1=KI\exV#9uvN;»^~:q*or{#Q@y+~N}bW޺=Xg`V)BT¾F9 >WN«X)c85x +Y{NgaT.%J +f."^~):@DjPqfc*b)p W3kS? {-1`hu(r读R}//^&G!6H̽e#Ł̍PWKMٌVs^um6Um[~=^=.{ B{7vI{<ubL\#,/ϸi`1Ep eQ@fEP`wA +&:g6_ő䟽k0YиQ_^YWE_SO q'JS)6%~[Itr TQA_wEO>;}=*@,M@'GUg2?Ywd^*!$QMktOW +E2 +endstream +endobj +124 0 obj << +/Type /XObject +/Subtype /Image +/Width 117 +/Height 91 +/BitsPerComponent 8 +/ColorSpace /DeviceGray +/Length 427 +/Filter /FlateDecode +>> +stream +xa Dg@cmͼX]¹JXY`em-zw5k*MWfH4)$͘Z#SfgR6IZK8Bf-ER엪/izfSҬ/S"h++rix=Ҥ!|5N + 2wltSkGZ$e~+1c1c1c1c1c)F,O +endstream +endobj +113 0 obj << +/Type /XObject +/Subtype /Image +/Width 690 +/Height 626 +/BitsPerComponent 8 +/Length 140559 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +>> +stream +JFIFHH2ExifII*nt|(i NokiaN95 8GBHH'0220 +  +| 0100r Hq@B +2008:07:22 17:26:162008:07:22 17:26:16)d8 +B +-[a,Bd^._U& =SNRZ|M*3ƈ +wr٠>?n%f|4ȠQ?0J(UhD!{J?bCl8 VŵN/g+4\VD31fܼɫ#bkK4%}Of ?Y +Xs0ץqxdmp׍W}Q~nC;!aغ*1ۂtD,B._U& =Sۺ7 +NF[t'u3ƉʺCwj>^<ᡔP'GjyHcJ +#UC96b l5/gquL'~ZZKwO®ϵЭC}; _Y +Xs0ץqxecmνphW`]QVi:@9⒤!ؘԣ1taxӯPp_\nĄSX7 +NF[t'u3ƈk +w@>AuaӜLOGybQO^{{%gjumC]xpbbl-a5/g4\H:<~$Z?u!KTMҨU;4H: O &C +IXM 0?[xLmνphW`]QVirRE!M2ؐ1<wa帿_99h ~l cIӊN['<$z>Qk +w@>Ano(z|)vGjIy?V˸픢R,fCƂ#KKFٶ[o\H:<~$Z8KP#DkT4ВꉾLO ߚ Xʥkmkp(W#F>Vi^RE!M2ؐ1-a,Bd^._B!O( A[NN[uzޤDڡp-)~!ijIy;J{LC96b l5/g4\H:<~$Z8KP#DkT4%}Of ?Y +Xs0ץqxecmνphW`]QVirRE!M2ؐ1-a,Bd^._U& =S"7 +NF[t'u3ƈk +w@>Ano(z|)vGjIy;J{LC96b l5/g4\H:<~$Z8KP#DkT4%}Of ?Y +Xs0ץqxecmνphW`]QVirRE!M2ؐ1-a,Bd^._U& =S"7 +NF[t'u3ƈk +w@>Ano(z|)vGjIy;J{LC96b l5/g4\H:<~$Z8KP#DkT4%}Of ?Y +Xs0ץqxecmνphW`]QVirRE!M2ؐ1-a,Bd^._U& =S"7 +NF[t'u3ƈk +w@>Ano(z|)vGjIy;J{LC96b l5/g4\H:<~$Z8KP#DkT4%}Of ?Y +Xs0ץqxecmνphW`]QVirRE!M2ؐ1-a,Bd^._U& =S"7 +NF[t'u3ƈk +w@>Ano(z|)vGjIy;J{LC96b l5/g4\H:<~$Z8KP#dd  ( &HHJFIFC  +  +  $.' ",#(7),01444'9=82<.342C  + +2!!22222222222222222222222222222222222222222222222222" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?|FA<B)p2~ BnDZ\V+a^F#ksp@t>׉JCV cYR}9@aWR 3Rg2M9<ƾi q5 +OcXtfMާ'Oz_ )=H1U 5?QndCsRvKQIE$eS~`9ML2U<Sˠ81LG㊍$6s) &Ƣbf5Zf9 M*e_Ң&>PE6UɤA>ǥ 7UC6ߠxa8R1rOGEXC_A?ӹ$HrA?ttK[>mvY`X)m=* ;Fq[%Z&Dd*Z`gbiŤ|:jb*mlEyXLk?i&ܰ.ޡH̑v۞zxK)6;d19=kq;-D&T1G^k;p g!}hx-@-Ny=ddl>]_H qJOgVNUS9Fu:)< +%^xsQfh?Yr,KGfR*nEjmѓaIB;z(]BկS~@?>O(J#qdX݃,NGjx]- *8@ۿyi[}쎨bJ7*H/ FgA [{ rod P4 +u R΂C*( ++[{toR@#Y"jjydJ*gf?K7wD9O`AȤ:Jҵʀ7ѐ_SWQ)[[ bxeewo`q5Ar3]h0Ŋc8<!fmlEfPANjW5kXH-ժԖ5ԖWVD ̼ b6SM~1G +\u?hq>W/aKJq^HtJo\3Ey9FbUT@6 +J1c?{Ey&SW!F4nba߷^K52JͼmK|W{pIKo㳭E%wgR*G8;hoFE.w=1+h6Y"h'/p:s[ 7OW8\ +îA}w ڽ$rT%ʶlu#**C8Nn3Cݳp+h +mf5'fs=1zP|R!EfbPAVXRintxx&nN3Wpu; +.; +xMmnty.DB&e1;K`R9&P&u,#s;iэ*?4 +!br6s+1##?MV=M1S#-,7 c=E]7G<+Fڬ &9 $K$|ji6^ &,cÆU +T\17TtMmvJ"b FxK]NPW2ܶj2FgN¶ZcHG积ih;PT +WnXw֩%ΌmiCO#?=0uqG^S4q$6b+FWOars$Yq! 54O) RX +4*)\zvoS񡵸I2ᘐz&;(idf%7S.>?#*[.5̏`-egaF,~ȗ,>$(cڝ +fE2=;I)>t7T+m\:$fQpAҪ2օaU@Uxo(_38}"ĚmƠTJI,88XԴq2Ѯ.J# #>T~rYw a¨#os~LX3J|[!v\3z*K=5H$)ԖSڠKݲoe93?7=lk7l!H帎9%#sw +cM5qZYoq?1OsVR]iv +U@0  z8VŜ*6FvG} +QzQ7I#NP:}^]5f9c@TU@:}*dyBQ)\”Ru\u{ch>~)wH#=9.֤gbiQ+ɫ 29R@SynTjc +Лpg eϭekO%4d9 dvBkBXgO[iڜG ]@zj{ Mʲ_yxyo/հd\0Q9'F3:-mYYs@>{U_Z-4RfWzҮًKCH|0*VǥVlSwxLh*͞6rKyDt&౿˴g.~jͥ͹Zs{tED[d/皕&kԌ%7+YVC R"do]>m3ۜXhy[H->~?,Ok s0ǥ'ȫt +*PF7z+¹e˕Wy=*]'v{xo#1EVwVi"mF>FNAw~ >hWzh&7l1ׯksikc4yU'dcdjd5El~%K\q &g^_OP mXF[ +Oj_ DMs$n =v ebgOsGuk +_4s u>fV.iԽ%hEȨ# +zSn)۪,1aONWW_KrhpswsSr>#%,Dr/*.?~xkz,:sEͪn[`q:/ƇHڦ#4iRqp y5-}bJPyeWo,'8'UIu܇ +s֞!n:居jqIM61ڬ[ggSP*yDdg'Tg>HF\(<zzP;]jw` f1`y>~UjUJ9vRV.GC4[n+{{!4xӁ\/tmn'&4GnFP]LaZek(8h%sweokD`1 gm^@v{u +C7aҧrUI#=G}CI-1y$9-OuYl}=3@N`brہ*zpqZHI=6}nA85($R"2!'̚+_N4ϲ$ROr +yqKKODO˕81^mɢK9Dm'#+tS +9;_|r +ޣ`j4pTRXc'86\B$w8[bV<ޖqi +C#ÀqBIm$I,FEU6c8'5(2-5|KFԖݞ;gv?r~*է7V- &jS x eكWkA'PWToŻ_f\]J~#%3V֛Yt$ + .1QgKn6ɸmzWYsA.y;TؠrxOAɤιSdz<2G4bH]FSj#~N-Z-=b< 8gNӖ . +՝bh%۴ ʼh +1KSb>Ey|,]I zV>Ѥ[.aYdI+>'%TcSYň@9vB +Tիa%Oɿ¹xe8}ʏ.sǨuQQ+$ֵ̙]-<7-fhc3/Ovf׎}!yK'4d~֧k-3A}H?FFxf/6w} ]B>~bzO3=BfL0s4D&P]!6& +F +xAւ{GOE!Q[-Ht}jA}(h}N*aQR0oJ>@@ݩEU K4QO%:~QY[YPZ(lS*'joŠ)U" *GC  !"$"$Cr! ^  !1A"Qa2q#BR$3br4c%CSs5Tdt&D6E7u=!1A"Q2aq#R3B$C%45Srb ?j0Sh܀yCJ}Eby`Og儩0j*\2> +G[0@Iت1ƒdK(ql7]D`r>l7U,{Gp2 +ޤJK&ޢ=Lk놺u#([ae-ʄJvo#jv]>Bƣf;`g<s]A&qr°[0oL %[ v,T%8[!%)GpC ꓂pv-(xj]9;&R|K0#r8p_sDCb-zR7* +؆e,`R\F77 _"URMO`-5,@(P_}K|r0\S(V*-fm0!SU}-HvD1G\}d#D4hǻ9Zj5'oldX9܌'yuD!w0 {`!C"x +̄-tD)9!beRyi‚h􃥯qtJ|Yjkt`M:ZY#u:Ha}/9?"7"aI+;g36$0y-;({D{X_Lw&" j\UqrX TO}7{ᛛD>9u#u&bғaU<US5>Uc$Qyw6瀍aH nĻ;Es0wu II$T#1rGۖrwܳxr!g[W8eE߼4Ml,{X\4-4o]qdv%A6#f4z3LXc첫ܶ +$ekmn +.[0KF +=Rmh&M{\,"9kj;ӂw* !aQ{l%*JVꃤJ僽p kLi!ðjʤO#lQ\$ 6;m`njGpM$M(qrmJ-F5-ryU#sgcySOǖ0mo*?M|Y6IY]G^ Ye "O l<) ٷ/ EfO-9m~҄abe<>9$S?d3.Ù8W 8φr<1Gކ&iyd iWQOTec.d]0VSm>X\[lJTPkc :b9\qUb^Ə|9,;MZȍoˁqIھ6.ѩ5IY7F }[o7eH|0 Fa+ne9b18c|jrte5Lwm6%{y}o *TFGRĨAKw70fVPoMp-á;$Aux |F3N"nK%%mY{Nz{D!$t$ p2Mue|p-%[w\~&;"-)s l,ǖYBx_T 5;$b,-b%/q.Azn{<#iF!V AG$m jQ<ȷ aמQl%kc9XGPVD7ݷ._ ]zAiG6UUHPmc/|tq%ՍX`0S3B$c{a.57K*ʋavZۍ7yeWEW5LY*,Uױt=nV_a7K؝jUS +sg?>]Y>~;b^vfFK~$fAbf1SD(\wNͳ|1DCxO +.m-Li./y)}WqlKJ2\yFX$ s[̟Pʕe$s8-RaHW^~|6VRI&DZ@ e'nxE8Յ> 7UR7rfKp[@moh'`ƅڝle.gӢwg@WIb[fJMYM0tıeq7Q}]XL-+ 7캿ɣLQ(%1 +E[ +`S! +mD "/i'y%gr%[QmB-due ETmm +< Wԅ>h]T7iKzs02SZIqcb+Y8s>254@@- !6&Z7ck`cP2àM)kqH`[2ɀwauPDC9aɦ۝X3MW²uFݰ&]+-|!) +ğ|BM=~|&Qr$<X%dփ,pZPvk|&NQ> +-z%z]Mv %UA?_A<ȉ.hi{rw{~riШ.<2a.OEo~Oqs{& +cTb3.ۛ` + jJ{Guh,ԭa +0@v T~NZpMm,s̀0κ82l q9 yeU8i[SlZySVx8Y4)P%# +p͎^TrSpؐNkuSX.S +;{n;'|=Jt)DQjbFug[x,/{W;X*!` P2Čn@RNp!6ui>Kka +i -Tc!Fnq\GU36bXFx'aRq*I)p oD$16lN%Dlqv#<,G + I(81\rٔk +> ~a8ħSDH>mFŢ,cŽB0ߐHI"`o$6? P$Y ) +"jPOS{T@r +c zm "Kj-)Pv'3b.J1}Ri +L^@6NH1.2L~'OiZ'j;i&[8NIUamV +d}ڎe?*ya}k$Q!0RpN )F +gV=pɱv$``cQ Ɵڭ9# +ږt41;0Pq$W'9^_ +4qMyȤg U +S(nu_]=KٝhP ?z-)P;ܜU*-x)p5iA/Bl<_sE[TrR|HC'DcĈ ={m&f\ߖ!M}&2qfwo&Mug(Lp*e$|1΍qyyMQV"lgm1X؁oxcr=FAPۚfK9&_"/TG6LT4W|jk +8ʓ +%]FɠZ? *T7.!.K;1lsGuW l.[v(0#vSi{ߘJ<< +ԊMu^~`nG:Iӳńi 1sn{,BvA8O8pF.m\ fX᯷ A.;a-M]*YDEN)w)FJQ%.Pġn:! QTс +;Xs,9a* %PްZEB>) OR#azb(Zw'QdlpZy@Rp Das`65hpU!+6%.Iiչ)©X΍stR~WN[v'b/-`UlZd#P* $:r/aqhNhq v"2rqo;taU)Kh*C]i= .qk}A(9{*rXaLW4bq8"O8XaAR/GǍ{عM 1>b`#ń^71!-,kL=E.Ctj@`J65R+#܅.p~bkwM`|{`hw遦>2^SoNpi{8)"]pP|`l[f#eKaL,}N9qhD%#! +? C B@6hx۞+Nu{HI )n98f)E+H{%d) -C@9NfUx [倖(pem1 m@cqn +N[KaRHщ50NwjgkKj+{fE컆ܟQ[}Fd?c19z]1K t8cTʩrFi):ǝ+0>xU.'(-|)E/-n8Q!W+G2w94P?7 +q. .ʑ ҅<-dESRogZ &T"ۜ*j/BL]m @.aE7 |m"Nbo_|CCoj3;ٕX>R1'YO]ÀڞG$lU08HL[s +GL  _S܆l~wZ7X`#Yc +*ڢ$F +eo m@>ؑ,8$(F1)\tnx滐ԛB& =<7Z[VwtLŀd~||Z}q-v"-bm2mKJeeqA.EV([0p0 د)_fC&sEqS<+mp-Q:) `?Yiݕ7K'"T#ٹo eMELKS2ĚkaMzyPeE6jv;T,H|j$ WlWJ`;k`t0_?D~*263iYh=#odUGĐIMPD4+؋W0*^/'Gti?Ӡ`?;'"GK݁/Se[@[m +?a6൯ v-J~g-._mQ6*]'X$qjx#%90@IR* +(u.V(hZjVhbX\jҤ85\WM +C@AOkSҒᔙc׵ͺ\2q+2C\@?)8.Il` +m,W2ͨ]L*^U*d^e,}+;"MW.g9EgZ1 mlN¥q<0{}1;"}ܝ݄]Gyn=S+6[LJp@=D{Uc su]@3`=Ez.ϲʺ쾓1/uJ4QbR~F,L»4Q {^j6''r]'bWCUOʑTF%K l-}G;:3VEPzg {KuS=05Poۦ5=MY +aaUUMKicݝq|3.M?S[FHƁa6N v5.eAX ѥc$cEz^+O4f)GRU ؃l[-hv+cDalm[5pعe?EN +l +i49\ +I+iInx~1ͤۊnҊ- +sت{DN3 ҝÏ;2}68#CDGVbDv'4q݁$}+ۮF#[ aE$Վ!D$/D_1u $ +< e6T|^ؗ+8r} pNBa #_v%=RXK3: #"3ͭUg4&DJ4]<8O' &}GliN{ߖ++6I=.qҢpN)dU&6ܐ,pEBA vUc%k٭rWiR0{ K{~%'PcH<7`Ğ큾FqBd:R/m4I*Ė?ֽ 2r| V'tTcA$%vVk,2JmR}dqA/l8F~{Ҁ`%ȨdWIB}VijXbu^ح(&›#c\_ +IZQ,e]CSBO^]Op>b RHn#c=\I]~8n)o.d{T'bE99oeO?AGSkzfv+v⟕EI/u՚%Hܰ]pW;{Ͳx'঑'P +/z\Oe5<"mJ!@,ziu +[bU"F +{a=W^xǴd0)Av>xf{]%kM_[{T(&Y\+j!.^PGOlnJCȖ?9 +UlY)-4T!A$- IhgXrDl񨶓"\; +{6BRNN X[]YIH7yX'ŭ3Lis,0waeQk>V4>SNCgPd#0Ʊ>#wQ1i'qH+b DRe}îz2G9eOVB́@_4qJ*ܮݲYa$_q~54OOxФw^܉;m|労e41̀|';>LXmϗ􋃄dJ +Ӡ}FgH +˖7'Oqz$|[.-Ob0H.h\I("ؖЦtl|lS15 +i)y[Rgy_Wf]O$s#UԤ}vk,2#s:EmZU[@~x$ZE8Qc6 a_rRmiaǝ^e|TmJ, $Ao\-b|ʥcYTk`\29p6xK'lfPt+4"k +bph>/݉x9dWT1ZԔ{lϫs: TSKP +[7fMG{#ʁK+OUcaG,it/vm}2OpLM܃0gmzY⤻#'39Qبc@4?\_y;R s-[ln0&$ +SCzm4NV[Xb!wHeTm[˖%Gp*ik kum>T +\.a:HJmopvb娇TJ hPo6+HQ0^Y]):zp'Hj0~@1FU +#{3=o5/ +, ~D\‰ ыlPM<9_8ĽFK 6ml.9cݩ=Fo};ԐIF[j +0e]W?y_@T1&_u5$;W*lC9mΡ38@?;HKKFKU]=v7%xYx'#4avh5#]e*W, /t: +b=8<3!38jir8^si[m+&6hXda- ;H ڶqlcȪ-c%ʰ%h3qasSBmc[!\g=Rhk*gA\-ad~C ke +*㏂rH/m|F2ҞAt YE/~Q’Oc#7r|ZOQ_<ɤ +1kCaۍdFYU;i_\s]kszRַLd˖e/Z<>)_21\rQ |RŬ󾓶~7k,7S8cWq}`a){jHDNz܁^){;8s䬠v0*"BV]D@1Q? +NL!YhI?BG oIYh9(c4ymHKk1f0gj2d2gxsrZXPR%Rvccana]&gO[W$ҬM ]JAǞ7\7)vJr̹r:^ոŚf[p^]!C**sI)夙̒zSyr-9C A.E'N:i%FƤ5n?bi\ +NUZYȧ\3jSxonO}gk +6CMW-hK=uňc6l6^y4 +cVWHĐƧȅ +c4IyYPL~aVLPf=KjR/Əp6}fLIWAQfk4Kg!լ^xl>ۓj% Gy `1%0hHO#E/qM88i1&p*r(2x"[Y>%)Lv2ii'D''sIm@;"=S80?8SĻQI+ #ӿy)ٱ? .Ax3M6N' +%(8m@Ǯ8/஢=B=<;"t |l@,s%[™?aMoԱn>S_e3=/dSSLـZd-{F{zKαA}80^ov;k_z]ܐyS6CzoHx> +C smZ?5 + +*E5[YpFăbzbc)I2 E}YZ4ԲYŭ}ϥd\\χh?71L9ܯrv[KrR\l:h @Pr +)չ}.g0WML*y%E,T2ca#lVAl92 lWW{B@$ݼa~\Q221xJB$ + qUF'={D95((O4n*f1  +~e6x>%?12gqe"+do(+p +yأrDQHhW6LSUy! JH&G/<W)iᬂ*gU6B:qfY,3Ϋr"` +"@ؐm|)h5lj"*SD'sadzʶXbMR@-~f׻#hI7lqJw[[anM$O+Llʹ)itkT5kCj*zHR5Xjon9r$o.wӓ%8O(\ہjPNjym '#j?t($4<-{b|IBF氒+#sh{`'FdEz"N}쟶7wsV:4m7[m8_Z8bJ +f7T/&&sX2b;ٛH'}# \.ț1ͩMJFZF-blĜF㌝zĪE=Ml>p${U3V$ɏO|/pNjNZ[S%Ǩ8U&m4^K&dfv*1e N묨Q!*@Ӿ$P#L;Y){/C"ob_ =$VIL,@ؑ +HpbNø?a2x-st vrq?bG'ѫ*(ښzr}-`5z`7a(hX_A]τ M]mmB\<ᜤ7gw!z/'o{11AB, + nQE{O/ >]Rz_,j5{ė ʘ/oE̹_#y"h-6~%i +zjd)c@P"=LwFy?95ku5FUce1Sqsq勂H1Fߖ +8d]e瘵J }f߂Oř%3IK#<2ġ/Qyoe>iYRH$}Ҡ, +,T1#*8N!Z^uHۮ;&MƐ$.Y, +;$^{$sp}14re3n3J>h) ig + F+YbRgtU<ʲ Mܛ\F;ApfjlQXf FX(~ DKqe\#TB}yu!m{Q踪%J/Ҍ=Dh؟0>/!MS)jl.Mwg"(F'QRU4;[b:[ӣ?w7iJH\nEYÕ<9Gn[!V'hya\;J9u,6yi-O^GkVr#Tr}ɓWs ʇijΪt_ +WVcmj5dFS<fKQQRTZI7/ qEW:':25xZ W`XIdji\cctuSW&ǖ5"֭Is:u7Rwn[W*hE렶MuJgB+Bnc0f_aUS*%r,V28qǕx}X /cSI +B҆e(ځRq1}}0<#4of`7&'eΜ?&`Eu{U=BKSE`OC;4f+E"$ +J[լ! MpUR~sI["jJze +61[uԴ$>-,ϝ +v#)GԣS)8TZu;~ +r 6'9e}Wm{B%qbtpb͵Ee?8։@9 +6*n1dJ,ˈY*FfRRq%[I,nhR6wg쎶:^xqO ͊q},%љzȐ"I\8اzm{"ŰZkQaEr8G#x ?k`+yHXcE*eyZ2\jeJ9e ZE }E/!% S|=4*CL@$!uco/w$-ZuX@A cXk?v{5D*ȩfx%Ӄή 솂/U%dRmqqn kjF*jZGZ{L#UV[_9M,{fMl*5y)e4"b6d\ɭpT\_e@QQ߫HC }<ٜ +6gW +0"uB 斒hmV|Z?67ؕAQ֕H' +|=a򌶚a5=,RL$m"@9r 6O*–pd[nx5d7꾏++ ՇMXY2?jfS~QG1km#1~)[h1&] +0AS"0k#u(RB%Ĵ9؊94dj,9}Ф]0{eAa.Gv+x|˽[ed 6i_\? + fPa7u*HWNx(ˠ3 uՋ*vR|_A1N; +VP@#7y%0Ytou7<hT,["#?;_zyGu&iO)0WRe +}' 1zK6Jϙ}Wo"FK\`却YvqBʤ1d +7{%OohQua0*On +vWnXXzmlM+"Ӡcw +,-.l ᳙ >xMŞ莡!li}g1e +S +Wey |Z@eWɼWBo9lJZ!e*~0\qw ^^TT*`(-gk!q&ktk +E&jc8H ߮![480s9~c1u$u?0 -b +fѳ~_Ɣ +WR6s|a>f7cWJL֒-\?iV*,S+PAk ` a4Ʒ7LB/ⱰlJ3W Y勎rq=dJRnƞ8_fWJmZ El#?Dd31,w+V]UA,P=剜5Lު:ڷ,HЯKo,0jTѮiw=MWf=LȢiIl-e +ϳry X=oZ3])%7`7 I +#h['˘1ojj*chPu/H♬ mƠ WYQmCN5#ŵ>(館"̲p +qN{ 8U{bXӤry9ʫr+8LUX\ˑX9>xjY5x\샵Z꬞Yi˚>-IRs_i\R_eh +K +"׽傓Mq5+hI\/.GQ=ptt #Ix;L.0wȪ!W`/.wJI=Rzu"ٯko ~"m, +AӍ!7Z43#]Aߖ~X/d7@{<7s"`:*I0f΄ͧkCc? ^'{jR&M9 ~loӦ9/u*zu +~+*(֫X*Bb{.bW)߉ɥTKLp _o,Bo1ugtk56/9r[3hW.iU'oظ+;ʒ}*,:: cHͦߪy(hV#,@ݍ>\[Uz[6^ k>kTyX(A\K{F,a\9\Y(2ݲwW8r^}p1J%G攮7q&8Z-ؽt<2ƶO١4{WqI!XiYnZi%dAK#ۖ:0Ku-]Yf5MzHb6܈uۡS tE:=:Fdw(v_YSWqDU$TCNM^u|OR8ʪ)_/ OM21#6 [8gʚvQ/xB +5zePEj #q.szPtۥ_c z_?.~ʢ_h3v>8gRKZcJ[I6|)vXJZIoYsʈDy>j6A{7b)*O1_}N68|#h$Y^XWHXsߺ#"ۧgGĪ)#6U +n\N78%\j:䐳$ ?wvm}NHIٛW +yNi,2"p\t:Ը0Yrn G +JmroBsfOڽp;D C{Nc4 N hAQ.7qJW.#̢&CR~Xhס5&퇑U-Q++k0KvH-(k`;qɒf (TG .l!eĽEJ^5m B/CG3YXmkzὶƅyⳀiE>Ś\ +pi!j`DU@i`P7:am<qV[00bB[Yfb%Vbxݨnu5ż +' % u8*2H +!N?8D3GߑXXN_S25'?2.XH"ؘa8?ڤ|1pL+[m8.v42Õ˞aLI㙬[ 1wcg)]H(wo[qW +E0/J<߈ٛB):nobf[\&`9[!Y6u}'wEx\;z~"$=F!VjKJYdwsCjs`9b+i)>p\}J) +;Y~%]UmX;45|+ +9Н{k|93k7|f +C"d^g(+f_RO1Y@k&Ґ)6x|w|p-.Pm&< +h'UWE~<97R򭞟ru"<ߺ>8y7TC y;_+h"R>wƻپA#gUQW9}>~_zo +W}w)U%N!xr3ə;>W6; >ch;YsI&O¬2ʊvoPIƎ\}Yt3'(#|+x+3\n.X(}yQ|qO#b#cݖn5JБM~4&:HP)o?,''(l <M{ śova!yٓqRzacӘS>T8R~|OoVcrޓyᗴ 6#0bmT15jm5?m rwĢPEA_X?1+ÌoTGj^Pҥ ̟/<" (1g'SKf_, :|3Άzd ؙti#̯.j +H$[&S)ymU-I4,#uby0p}JڄD +Ĺ\ꢲ!n?lǟS*K + ~T1|"D@TĤ1Q쯹pLN$`w=GL!'e +g+&EIbǨorG+4>3N?TQSRuK"Csb5JSJ[F{lit<\ne+C:DXyv[%$FPm=ypUڞyCP(\HDkZX2zMpϳ3!PUHr?)c\;yvIoT[Mxd{Gڮ29 +*Hi*\o+y +Fw4YKi 1aPMRjeYD jBt/*bxZm?ϛYMa"Wk:/f2$Z2麱REǦ؄aeX!;&_3ȡIe>^OYQFήjՈQPy5B34E,l7ȍ ӻbxNǨ<\ioRGrſTf`$T%)[P=9 _H%!|11e"$Kw^<}Ce9̏S.Gbl$Caw=%mBT: +㾥)W@$|0xdu`lA< +I +i̬Q7'Q$JvsE:[8UΊUͧk,!sm +Or,Mq 6G(uo狿d3k)!YEy`5|=:%Ϊ1f9R;e_i?-eFr@x'^,ˈUɐJ[e"{oA$vZ}dtSK mp U ~8/)YEN\[$1n#=Y2id\foUdY<'SȌ<,5HGT[y?_''@1~D7m N , b}Cc4ˑ!ԋo~l53JK<ڦm%oʲ-ȹ",-mI$׹78,Iݯ7AsUč! +ŕ}Z| +Z% +oǞLخF:cv#M6Î:Ic(3h +8I13iخD6Gm*1]G6U[2s;ƧO#):QkQWއD) l; 9#s*IQYj6%d"sLwSw8o9*?@d[<;tBMaVYt64su)M8R34ˢibZwb_< +w&ÞxteN,ZZvGq),s7a๸zwX +Ԃ@[n#[sv<캿,x"+I +, Z͸,{ŕH9i rb6gR78FMSSX =g6VP\Ɔe@&G(mp}q f˘1 +fj-+G~wOpܚFEpeml?s*'\3v66c\>ŕ9%k$Yj *5B35*L{ YNw퉸܋cK%)I=ّvc{_OfUEz('5 QW7|rSQ7k_a--PjWԼeR̹rXhщ,|N( +S%LV\Tҏ''TL_t/AOG ĝ@߭|D9$ + r 26S4keV=q|gu"kHQ8`ڍ{'S#; iYeHB-T f5t}=tS2T8x擼Qfé8G/Gpơ@:[;{[OUR{ev+i[!6+pho4un n2͊yu*nQ?~AT7-3G]%\KPLtts}RUשڰ 툡-,a|qs{_W:kI`]SP)` ܑŻ~% fwd,GȻp*5xy{{eY&{C2$oB>OTsU*V` )}j/Yq9I.F^יZp<_;?w=?C\)c@΀wBeb|BG3 +Bɧ +~,o4ʗ!ce@ڀq1VVUL'Q)eP@*=NGbF+/Y))-qD;1 hُ1B.Xc + v'VVTC;PaP2/8HkI '\ eODHb"y>dㅣ.qX½ZRPtخm3ka{1 zXoEKA;VM4cjTaӨp"mc'na8,BiZNw a"RO#6BkvK<%d?h +ɫڻn#IF2jUNRO/[\b\ϗ~FgdkEEt+Lg1[7䋏\RcO4ylo;Ism::zv*܁s/Oa B&*ڗInx$=)Jbܟ<\; +p-dR'>S5K*e뀂܏9gġ*e̪DQ!DV]%Q6*5EK b#3@ʻ^z8O,1UVco|JTb74˨(Ha쫻6nGW䙱q]-?gթ%EeLPF/wY &!?IJ:؟_S'^!%f\~e MG(yG~C[PC2|*HbVX]$K#88wGϾXxeOF + +LsGE isTZF>kI[tQ :G;`V<㸻`Xnm0tknqI 4"6Nd7QĄg^eMŕdf +Xq *G{\+5#esi>”%\I1mձ1QeJF&;UK4ZiQUF#-,'r6s~W];0hO<$ez7ƒ;9b/qN5PA +HqrI7׹-zvqt5[1:!k Ter9vW?鍪^wG˥!ybV";Vv ;5]PxdAՕ>`߭lq>[am +]s )tsݎA&]2u:k0vv!눊V|8lsGY%*JTl 7 4I6牄nKAg^'nvӒ`Nj؍ +g +>QV 1;4(EH +5tjhquv1<<#]YQ#,٬76cd8{Ax⧧LC1i!.W:32٥9adeYI X -✙hELm{8,ё_RSI[fd7OjeO5s||z3lUǂ0=>}l +8>!)EQ|R7۠y;]}ZBji +vm9◊>ڿ~Vo/Q.$n]-裄ѹZsr =A:Rn*lH%zHB|>JYn.p<VE&= xn? *[ +sD1*t~8-BD/b96p.@ +M-?eTYT +)|Xlf &>Hl^\DOTSb0$iܟ2pȮ857.ݟ3[w̥7|Ռ<Ē?H1_'_]0?weE$"xة99/ln+?jx}J38ϳU7UceSaR_͖[.XB/0犖N#@ +@Afz+EsG/#Fkyq1y_9{ AĹ+ ̏v٥l?7|Xڒ>s5F 'ŷ̜nqf)/w +1 +j' @ɶß3>$sD*w;|g +A@P}DbT)\M6KZCm6LK";68tJ|[U=HC4Hb&ژ!quij(CGKϦTߋGۥCoNNK&:dsa$r7Fw' NL\1($wESUFu$b}vÅwP ~%cccNt:64_IRI>;$fqTZ5h哰tb[ +q 7gsr Q$gI_.eȤHJDvTu8qSO%)IO(U@?T}0IIG駗5Z}Y0%㌸c ˪67%Xe,t:['wgKS2nר蒳ERw~y uE=5$5 hI07V oWT4KY-k]Ez!N&ryf8C3'hV5dȨY܎^sX +{F*}ߖS(6}bg[ +~B|VG^|V,xa +hdcN018J'(4-4 T*~60rv,3ǴTmņ9[b*nVc@oF,pƛwbT,_-#',6a'~g# J/u<U+PR:Rjr/>x-L%ɨZ +DĒ~$l:b7,|%  2Ʈ^^Olmq )`vZoxid"BmXq|_AW"wp6y]R801Q૔#D"^xH)E&m1-{ }ϡ_ +sVټ/Yw2G[\7ϦDȣAyA!? b(: +ީ 5Sļcn?~K##~\6͉/ [<~nhwHSG4G(axhiZNMRߥ\.JVv=kłXrs,L]F,FH١ԭ'{\nw.=qa-0ie*Ve sQM.-5_NT9N9GFBl~$0Kra,Y60cl&W?`q#+Pz-bK?f|9KRVUY[@(77R.]$δ2BAvUkJw%t{CM;"^d,5Z%,'#H3 YE9tq[9vUm`O[*xۧ3 >q+ , +*%r3&iL<'65HQw'Q]w)S3^ "$a}ƿcˏ{A5i8eRts +aaJ-mB2ň|lE%4]T?F-낓|&yFu[FeV{8b3#c#6uo}A0@8FZm뎲ڐI`gۦ0`[!|r}֎q0wr +ѩl vF$w OY"71mrN∙4jv|?݉{Xtf#[ jKw;3+\YI<ߩos' +;14d}ǖ++\,u$~7(*L9`f7{ X{{>ꠓu#*Xߗr{9I*_1^DrSoW5F4"y) ؞CXk a-/qri~\1~!)Զ%Ա mb:5x/k<w0!zc>jSh9ǛT |Q.Tm78%>D P2,m54HѭD@T[\S|uC4:d"2ndGt7|ErN,YJuI1D5eQ`p4;ܚWvOV +# +%4"ѱ7js[ٸV/xS + OLEȺI|VN +J1ê1 lo} F9mn^X*TuE}*ymvi{q% ȞA_VO{ȋv>\"K:V +Vd^2$)+Ȫ { +d#+q*c *\ dZ=Dl$X,@6;b\7QBx0('-@rԔ-^."duc|OR&`M>d'V_̼* V8=d OݔY$j:vߟ89:i{N~qZ!o +u_氅O\8Πvla {8P -m 4WZ[5Ր{U@KU' w-9s9e#' +VUg+F\N$ k6_h +.$CF"DՎ[wy4cF-V_s*F(o2;7Mu{`%SG ߲'cUI1&RX븉V5Pd6CqTYj#J55WVRCUQH?w3o 1iAB66&*Hz\ gASI3Eӽ[){O #&E.*Dyţ+"Νi&O \s[b7g8P*` +/{OjŔ\ Ʒ8 US{,SJ+S?D(ꡎy0v9aճ?r@'i0KZnOĕG_n<0~uԁԜc&GBH_G8S> z~6Q)- A ARJ*B?ļTUQi;193l#p=~KTŘeSO,-uuWa3n-X5f]5 9vM>v؋p+iӅ'M 5VG8ݯ³7|ߌ.i %C0e /kc +Dheg$\byhY8hA 7؆MV㬷1IHUTQQР yME*T +բ8-dfkΙhHi-]1.AY|hÖoE93?1&?QF<ѯ}($\U, &vbw dEOUA4rPJ(Ǒ +)CMX>E,Xi̩tTH` 6\XVKTp.P>$$ 5gC, U TnM3S pJn @)q3~߇/S)ݘ-tFUn\勒Mw#,ª4BM3:/a+n|oLI3tv߁Gkȗ?o Y&(ycuG۾,(=& jvdsN0u9@|#w$ 7lLVE&dNCYt($o{c%n< +xR}k&Α :ty6:SUKwO9o;\ʾB]΍E*/N#N1IoTMGXXE+xP>Zjx};ܾ¦ۚr,ֿ˝fݭc +#f9ӼTU|$T<>yT~nRx^1(դ?Rn 6rJ~ȟV% +C$8i]|CV0wR>_r֥JԌ9Qq4TbA4  UD,sMT*!Z_ƶXmv?H- +[.Ƈ3OgWA,"4%eIu'\tCyZm ~V5xyG< bAXRǑW7.14YMݳ( +i#lpe9+t**r|hc7ǭ& ,[߮9Y/7-ĝq<8= 3 +R&1\0jz)9M9j +X-B^(5z}ovMO7imay~{㤶৬BL-)X;/.véT UF2Q&l*$eռY')t("+cs̲hjk(SQJQzr/lsk{R :˩sz.GY#dgw7_ߩ8aI6uWQ":ew*/}]gNqT{\n5_rx\sL̓*@PޟeCon³F'؃ +a-`M%o,UV-#pA݋zb@MyO\<Ⱦrm'cbơwz:Nk%s{,N0J~AͤHe-30`-Q|yp!8r+Ǭ= /$aqdCvp^ZukmH ǦvaٌcBCڻ&F?r{ kbgE޸UeΌHIVRqL{:CQ2@1ࠚ~gf;U6I3M63ɉ q8=F%s' +C3C"*TΌ%=@yu@` ?لq'w܇r6"]*s +7tx..K/b7V%/<5;3qb4r3!PPy_\5p8˔3.ɪb +w!T,jZjҢb6`apH§.i"ޗ e"ڗ +>AOUegHm$s*~wҕX.é=vNOiO=+9%B˗9d'hЪ7޻}?ߒ&S}@qB;&BA/er3]<d e%=GKSC݀l[6UsV wϘI =!~/%c>I]+]-ymʎ& qc)c1Em*MF#!0|/|ug({d:ɤRrMbSjaJ}{jah3QU}jUʼāz牔^Ҏ/|s,xX]dЊ]77þ#$2CY[ +蠏H zI6+ + trdRVTB]v7}e.pgm=$.!#y MDxnZ|3S+M`<1jt_,@qF)O%Z-?꫁Bv=EAI;a25h)gx%1H6#oJp\-` {(}bfnTvw7WR`v?e/)( I%Oh111|'Y)oo|*w_ŕqxaOPqce?L8bs=̒HͣiVVB~ W@MԊeigre1Ǡ;_RUfQ%4F>=:5 Xjݪx7h2.2PѦtnbm`=ksfcʪ:)B_zn/='p.P>KQ廳7HV0Ell:yݩ7KAH(ޒ,FoMv=;pUDxd .܎_a΀.Gy 2}Lǧ1n\1Kvw [ +?UX؞E // +zM Lt";M˛o,OsW{-`Y7{IiY[Qɢ6XBG[;o g055Sc5xW^~+`/&G=_c!HoֹgWㅯscϩ'n'ueK0* +ǼT\/\PHJ3lwR9/]$Oٵo`W3(3 'U+"E7uAnB{`^=L3ΩjL(U 㕍Q>wƱDJ@pK|pJ:2zxM+{66ͩV.Hڡf#nI'eKhNNaIjw';Ib(5ʸ>Qoq'n(;qNz 8q&bJu@]V0kءM P[// 1â9($дzX|b_?m.>y%&|+qakV\Ѯ|hR}{оpYUt5O0S@$7Sӑ +j|I),D_těTQIKv9nO|ɸG2y%$]y D+0?|VgjwYl$]$mk`b`4/C{K B #c透~"R#eRDq#UZ g!y"uRWr}19gU*=\}Sfw.nXۯ[l9Zgd8S)j!s\L/1r̸iJ׼H6<:VP|^CYӟrʟ+XS%MR;Jix6*ͳHJGpU!f`@=T|]`u4Yne- +d&*D|G\hԹGE\'0= +"6Q刕JR&Z0 +(XkG/sJqfei}?^8[ Ns_eJg7,;*iM ɏl}vYpf_6%Uzgc:cnJcF<@R&tװ E9j=r= |[fA̙wVG>15C͙VdiiEX\zWxҒiZ<̾ejn +bYTUgw}* ܰ2"I!EA!\v?dvR't^YyHwcϽuϒ&Nf u/SRs'SiEWҒO$nf&Mr\,]('ZT9*Tȅ٤h,w{* _\x"3%xfs Pq-b(Y*`a i#r>Cost YQHdC*IomNkOH3j :/,;LY@GڴEēoR +ص2vf-`H`Ǯ'8?W-2t"iMDŽO<:=MF,+F9-ZYڽaȴŤ6.@pYW q.$ɳI'K8Ӱ%zqd)>~&OÉ(kr%%q^+ɩ3= *bk{$*C-ro KH4RH%z<͐ə(u&x 늟fTUI<3MBc!nWa{_eO1jcU39]UpIS +tA2VAID̳5!E7MiVK]uEjӆFJ|%ļ Eѳg;$K؄[c) 5F:Ukss86|(LjFgocCaqqzu lDe+Lx8~i!` 6m@[.9]ƙK>#x]c! z-|iUD-Y2Ju &J +@*k{|9D䔬%4⚏KV{4ewoQ(j$2 k +;yo?чi͹woؙ|%Y,Nԫwon{Ng*2 |&ӹ;rIKQ(Saz +e1m5@a9>nL8sʮogbڠt0l0_<qk~JѠY '%t牋Tț ,*wQ >*h(["CooQMOHB"UHqa9`(e{cCVnr7ߥϦ4?Bqn Ɇ-~MTall0+zE_MK8 +qc~'9`]a%kG{XX|wbF!79ےO8mF¹˳NR#rU'0?tRT+K/5MTϼ@vdOckKUdeV_ + pIcV$a[M/媥|:udT E/Pn o´_N>eW.Ρ$CNԡY^A66ղ+y<'m9CL +>M8 h|;l A9tfm5Q@G#6v +Оs +,{˜4QBb6ƔK$Y2{T1Y)ٕd~F~U3PUfy +-dcE;A5r|rf}A7hU+zGY$@ʗ7 _CEd)DBѧScroqcE$Y +͑ZuO&}YGOKLʋqr7cmUY +nWP3hqK:ɥ@6]>d7RUś&~u|3p%LչSM]SvQUO-$/s} .g4ft$"..g͉i`WQS;EEZ +nq@"˳> +C5TX(;>|oQOͲӋjʖ]ZacUۈ7): !"uiYM%' +,YRTyK*OTþBo9OpWUqQ ii F +uE=։_Sy'gzhci!5?_TN_Y01PIԦt-Otx߶2(o{ug>: `Aߖ;[Q_A=$$lidur71q33 xSIJ9/b;.*7q=&8)_c͊׭JIe3Zxcb4& ,fTsWSkP 5Z* +f95WQݞɗU%43WRM dyx⺝e!MƏQuOM#E#Qۋn,mqڢ2'C҇5L)`Zlf@MSO3AԒ̆&0 +{OS82(u̫P=ztZ*UJ)4D+j8& =ˠˑ-T"ӹŷ; 1tu\ѤQhܛ +cǾ~E!K-(0T3 +=H#WFnilԹm:qO_E ,m[x<17eE ytYsy`a{s}񣉮*Y o$3j䑙 3]$ Y()0ͨY d]2>NT-純'8"ֳ +xHTS+v#V=6[.iʂJdh5HIA>%lvY{{X%zs!lXΩjrKiQFm0ҍEԿK(YTSHC!, ͫZAo-eW2:ou-Ŋ2%!~6\S{#E*a,\6r =Q  uFU6a fnN +QNX&fonc IGܩ7R]_бe;qv^e 0'vܬlgک +BƱXzYZܹ[cGT:cFE͆{ºzʈ+*/+Du'-Jƫփ<,?f R?9`&N!SȌ\ry>XkK⚉*^td=I!s:((۲GRڸ + +7s~Vbq%elkUVf((gJ]NyڗP +  JBgC$V}<-L\3zծ$LLcS[9aK<U9[1r1Te#MO\s9`v)ZϛL2i8_Abw'0&-ؓ_R5*\xr~%ͶN<ߞ$}i+Hko)0VFi‡ Kԑso$Ǽb +X0MܭT^Sa1]A<#[dsu"Py@A?.̌v2v?sӇ2@6);+Z +4zš-a7|2x϶ctQ_am3"2:\iBapi opŮZF2H~)9fn\*N/h'|6C+)iRMl֨Kmؕ'y\.ͩՂ +Hj&K_ +I9Z8ӫUۥ1eAG}) qkWHt_ad9O]GUUfFF![ؙۡ$ӦF&T-Z8 !9iB_S^{X(&FWè\c]P*c5&* wR02lkHf\T^?O P-VOSKqGzIH_fd]O{c{{ysB $} T4F.i[(zjpON sW Rn9mOo,τکRZBŗQaq1{B-+BëXE w;5ͺ2v}-%eY yR4ۗz:/o㊹~C՘fK~x}tU;0rQaS'~uK瀴wyKIl5i=n̫:A$k|2 o䥪/3u4ty_>`ꔽh;m+,d +Q>bU]Ɔh٠ڰsWYMo<֚7S3@b&5f9|lnDjlQ5Y8:i*. +\u(_1k \Os{^.l' 9E}~g$ܫ6Q_vaV?#B즢(xsyuCUT ^-c^$:h3XN;3}N$d +N)'ʟeYJkW[NA8N:۔nMu* +t Dӡhu_Q6tʮ* i]*n,/|)oe Zڄ0q/3+Iг@n^2qr끵@=6«$T忓uÚܟ݈#0wr"};A6I8 6'b`3$sJ]Y=7;¹]wd-l1{NlRZX}:0Ǩ|@ZaszR |jk_{o׶ .CNJ?Ǜe20j*VI#-$j 0 =h5nO-TByb( :;a2QDsm*bFqOSt!u +n,wocqyRo4~<9s +4og?tyO >o8o)+^SR`I7qLG^x.7s f ?r7&zwY4~hvW^"JvYi^8N^T_J+eA~ QF~Xл(Vw. +4z9 pP˫4H`IQY5 tHE~,r p~B5&HM̲\xC{ +4CLr:qQ}2-,K5| +KOДVCRDV؀cG3oK 'ǦW/ +=^t JK@^HtB5dhYyGRZ(ѦE+.`iT3eܤ@ISJX[|>!K%0iRFe` ۨ+c7jj- dˎjB. +`vyTLl\w?2'SϹgҞW`:x"RPNoyܯ,@;y6u0c+?TvB0emOaheC}{^[M(J=Zρu9֮n +A#Y5l ]K;uR.ɨ,,n9b晩%frjJ6:̪xU MZ Bor>*:2}m4H$w뷅.>>Xid&p% ݔ(Y+3jLVvv7]ɥ/: ꭳ +bI5Y +=wmɞC<rVߧHDKXordNP.cH"`(8#-q~_DU/3ʞjвJ"bmlF+K4]z r ++}'mD@\YwȢ)݀7df ؑ +mLXXd^ +~VգB| !8+58lEko r.O+ +(75IQpYL`0;^(c\ O\yig?x|~sEo11y0aw+VO3s51IZ܌kx9J<Z`wàop0(h⮎y`Zca pOŮU$˗?gٯ +dmMRJ7+pZܼccN!jxw*tUEb. +ŁqY'8i_(զ +WMFm=6L%\iMZ[Sy(ێsmNq42`9::aoyJ]$@{u)PnJh3](T.FQ'NPgKq!0:)RȜ"zcE.%a +>yK;2(>{:Ѣ<֥a?X$3[xNO]uN]86 À7>%QTO?~_S-]F~ (##"<*S,>C(܌=ldաVuY_ƒVE k, [bSjor y|GIuS Vʶ j*ט)׸2Hd+q $ kg_KUHihm'6mt,ɧZ7PO +#E$r&ĕ`- DjG +%R䣬a&\LX|8'2j(,񨻅Щ ѰfTo})Ғ*f$lř~L$Ol=Ԛ%e<8U@}vcZB*ZeEۡbIN-on>%w#/ùo :u;w'`5Tm!q#۔GS[s^ԳHTT<ʚa#6 +bt@WS+ <$0=p{:}XsNҺbИ^%y1aͅzj쎮DV̖-Ò#OƧ.ȱ&ʲѲѳ;̎*3͖MtHab出[=ݐzx׵. +2s9G t{*B}7n/_Ң/^Ϊxc,Pd;Y׵=EYM rtx&F85,,]:MC +{vQuidwJ-'FbVNEkBc^OVRy +vX[gnLwo㩁Graq̱?.{;pnx QIP/x Xcdd>g*i!WGr* mbA;  /!y}O⫻ȖeZmbSePuHk*߷⎘4B"#MA68T}i#_1 +F$tc7_,u$bnjeh +Y"P cvߩ#aFF{`zSm{j *}ةqoReLLiaH'}g0< ǎ}YF^ߙ>9cK mjoKO.xsLU=dj5ubO]LZjE¶ߞ +”i#eI˺BZnԢi3!g\ok[|rehy7¸ +<"iI4,-Ǯ5%u#uxZwiи$А?L"-y5_iހ4cd o>\§2@܃58JLqkLmr +׭}(ឃsI1qLr*rԗd2=lb$||N0>;P9()jb`{ڗԧbSUl,焬͞yvt{.Bsr,=)^E\ZCj[Ս ɸ +0e_H9 _P,?؝ðyKͰll}K6F!c(MF)jbǽyO1.8O9*Ǘ: (pyz5rZyq9+8'*uL,nm|Uj|1Ȼ fx˧U(ɥ@y(]nZ/R˖9Qa˧H1* ¸CQ|e=mx7_1,#˫"%пC,3O6'zlWpym2ڠ}N/g +]J/#8No"o-m\p娪_'$,&duS0X P$Lr̤.Ar1ͨkz9k&%K.Y,i(wUm$m|0oecCe%Kߑ{s#!P?WLXYZ:m3* <؆ny`iS[;Sqavٝ8 A˴-ϩ|8A +Cߖ.,N\u *t2O;[g|)+QᬮG *zaqŌA/Ĝ[45>\{VjbLORpJc^j!p{.IM=y\˙q)-RYfa&SYA[.e×8\jɶ{ MIFJQM +JK)Ho,hOUf'2hfy°B6c{a1yԮ"͆RXlc +9$KG'Zy#;?[*5 +ViXth3ܪs`M_a>h.K-?gUT`}D_k3_K$u66ŗWIz]:RqESn.x0/pps@IN\:3@޸ 6eya s=5$lF"T7ܛ[4W,888XLl">|m6BT@b"$ zi6W7 +X5}<~8PكCY]ei57IـcEOl=tt5wDc@n7lzuT|١=@|-wH!0cT4B8ŷ["(+ss**z娣iLb5`Z2뺆'3hRZxWdc^M ͛*!a[k^{?V{pOgWfL}TGtɯ%ӲJN#7`5l=Ge,Yau_mQO{|$3ܷ-XjYfc~\; +S蠨zZPtxFSqIBxrQH#OL\9ܻls=5P;=ܿsRdxPR43ָkDj- V;(l[ 1Ig1WsZ.҃24hrrqhn[{bT?E\,3!2|8[ijTr@Fuřl_ggV}|9T!F:~ba喒?L?yek,?W-6 \<{w.fK&˸z ũ8أkȎ{Ŧ_IGxT s*>^UR9?/hB_5Djv]U^Ob:poJ]pzǻQa|s\yC6y9[G*a#⚩D|ǘ=G\p7K=tbDa\n:0=AFNĵ`zw}{rG'|=rSbl7t3o3|bA*˜Ck#De[_R + ; +=%?f@}=剰v 2M T.rv?idpZȄG]Mk"QO â%GRE bߙ|=IdN_wjP20!aR0l eʎr%$zo^7''E +EM78Zm$GTH>(Ʊ/hSR-˻u$iܳᄗNS=ś3ɲN~ӯ"LJʥ#(E}8?qggy9'?fWLQM&fP׍{׾ Vqff#h7P: ]rj%IHp2@r|sepyP)(#JFwߢB{53|9m,'My:a&*fvmř_@\pEJ?o>샊ZL x +F6;׋8tfxuS eicWdtbV^Sɽ]*h.O‘7$yYˆ6&2=p_`CXB("b%b:P4aB%\@?`lsf PJ^}:ϒژ%  0è,eӖA2Evġ5{Zg:?pq7jeKuF[{eR/5m#Ok0%l"x/#Re1h|؞)orYteߞ8AFdͦeFA[)tlZ/N!/7>_af>MB[6Lrn\pKe4[]KHȑ6hjſ>hi>!s:xHaˍEtUu(<*/Dȷx/g^IęXJN4L'{ FO8oxj13X^3 +ip1OϲN1Pn!whvlXi +^%7,Aqkggy']?q._S?G%3Q4A}@=Fio>(3~x4ZL9I,]=P`v +\fufKYtUnw,M<+ABs㌳&z +tq}+}QBN@NxM:xA +6 Њڋ\_U\_[K?U9Qu!i}Or(zFóB'sq|+QD0J|p9F-obdDAN&D4 +tL< MKEA幾 Cb1aq6Qt/]TfAv2d bon2rxsZLfRXq`I l|qnNlƷ>%¬KKɭ~ob.K5K4*f5`  }t"7CK#erژ@4k-bv{y}?h}Ve[=:#3Ad6;oa8Q:z xWJ4S$EmcNjȧ/Jć(M-WԸ_cIgUGA]Qoq3޻b=keVˣ/2l7L. ƒM+/ުjQ6_,Jk,vz** ,΢jz8]P!Hװ:I8yUN) + tY#}6Ԭǖ+G$MB{sžL]Po\E:; pv8m0R睼Kx@-9_\)mr7n.oGv%3y)Y0\b!K`>?_T<}N☛M vvɇ5 +r?(1eQJ 03Ɵ`ٛqN4 +yR-=sOȅO6`nD?dAOM,Ț$v0*j=d, +y##nGCQOa;i +­)gv6r™,y.U2!Jg +Ĺs-Ǒ!w2  **rXam|Rɖ1V:2Sy5ϸ>b 3(_\jD &^ &ȓ_l/Li)WO$jAJRvi&ڍp^pywzENUL5جjX f9{ffRj<}TČlmŇ*:\yfZqgRW~Y#Q3%Hm +[UIS7@f&J٦p +=G~½Tby\ZG,~yMt1l-SAdecqr\|vbZDnJ^s{pK:gwʐjһXu{]S5d R5dee7`v뀓%~i F. *fQEETATy,Q6qȌҐ93!'Mw=l<&mHKɓ"N׺R01HUI#ncD?L?~q7+7EJ/l\30C_>AYvj(̵j-  ۑ a;QFͩk棆*(5 +jHF$Cqizi+` + + ( /.[f,CV["/dl=pRm2+$hMM=:2\t㋦_W[UWSTA ++RՉnf$ |o/1e-rׂ 5oŖ +֭73nvt+Yݟ ᘤWasj$v4i +3ʵIݳ'{yN;Q|8/xk'ֵ VR슀$C,<"*cxTH,A'|2Ykbkûpۅ1*N ȽÑ|UPXYX:05_. +V9GT:SlY$fFp>ZOzQrı7][H+4R/Tv,4x警 y[@ n9TpRo|c ؗ)9p}o%a`|>[{!N+\JXay |&{_[ey~KKB< l-F|΄ &7IbR:OQa\6iFv6P78qFm5v__x|z g6b'L:>i~HsTSfm2@0m|[ᐪ YYpv6XN$X6ʱ\H1h0 xqWK?3SYrxHǧ[#(0#s}^S5ܭrUv`H܏16:QRƋ>|1 LG}Luii +'.w<>Xˇn!Ϊs8"ˍC1S~[jeNJ2IPI[rULQ-_&URQFkx{rQ M$*zO={W6vž: +یrFK-cG,fyo*е\cM:1Q'+oX`GMc(B]ܛ _Q'RH6b|Op>o +X1Ɣb_A,AAl}y\E"( Bp`͏IQ.Ip'jx392aJi He]$e1Ҋpi*@UEms\*J0G?99(/*OIE Q2J}|r94ezQTܱ`|?/ELaTLbW`y ؒ +>[4_mF1yFYJG*WEUG &)=n (s_]tEGB|PQ$R u^`bI +\[܏{/2|hirL,YrmMKe>L`zp  A>v/2jdDߋv>|:l035Q 2PVS FupYa."+ܩJ2X 㗱WvkYo*㚢zܣ/Y0c3醠ר-96q6)i^KZK=1o+v)yzk:(6(gfG]O3cMf$ېBIqGF]l]9>pmI;# +,SM͗Nxc,-.fG#$jS,!jrlj'~W* +NLc0(NpfSp}PӴJwHn.z'$b%{nc{+dk1B-ۤ3Hd)I$YQec{lm-zmyi`CShO_ &F'6lXڔz- i_RŐEKwΕ5%DnVKY~6c!Uuq{[mwՎæ9Ҏ"apCdH Xl +p&7UI[Ss]ɻkꀥlV6/< >E>;%dquȜu@L tXǻN<|y)H҉,w7N A5a*H:I:յ"O|3̎Yv ݕX ."ey )VMӒRPV(?I.9LCQ!%QpAE=seR0P%7 $}?F#/`/F)﹉ɴ?KGO%;%Lqk~csœq*h3 *dw; +r\"Qo_\u8FҴjIBaDxS:ny_1)(Ѹ$2hfJL +TiUb[mN$Xk܎_EF* Q˛|1X5G#$T$O|z&ab90x!W^RDnn|遜Nzљ}6R"hw=EosϞpfpbI޿*pi0J0o!J鵳YRI <ǖ 3BUjw]F]CXu-I.z<ǖQ"nsdZX1#FAZ;=>{{7g0x\/Wd5<7[ 66\jx;-X"`Hx +"F۩culG3ʽD(,H"۞ÍL⚈eut%dMM܎]*yRt3. J:H*y:@3TT+cñw.NMX\bJhĐ&i(*=v.|vUu\Ը\ +L,S{B~jT)YNLvpr]D)It +"<3C", [}6wTКݙjnf%기bp(sڮ鿒uC 7,$:&k¶;nKv-`#J.r|.C4q~_hkM.0Lc4ؐo8MBȑ6 * +~[n + +8Z,I}e9w^B銘yfX;aˑ<$E< +SbȄNao/*BsZ]J& +b.TiQyR8YJʡN6ۮ_^f"[JVmv9eK%:C#:CnsR=@|:Xcq@9X ȒiRU|Wzc45Nwpѫ^0osR*iti[ɹm\se-㚓iv0=}VQ,E 2k\P-,F\n*-^e]KvWqE]Mf]4 Q +jG}TM# +kk +/._#HpApTq SQRr'vIm!uuecw§ ^8_1n'2&MԘ +yX;|ih8i2:ʀQi$t$䷩Ϗ6h +na⑼E? + mYr5"Z:R2mK/wZG$Y_Y>Һݘm̀ŜٞBy(MI(#ӬWhE0+6(ȶ$rꌾ5_aғ0?^؂ϳp󸏠Py S܍c8ܓ.<>6"#,wd|`ڂ*d`=,e<ᱬ}Ir5=y[̝vL |Eb>ǗB/턥WF+"a +^cJaro ;'mNqt+O(fC!pYii +,9(tر(x +wu:59WK 0XۺbD+rapPS_u 4,v'#zi]O\dO:cs +>[ -j*:rn+@|LCu-X`T`K>k0oAŦ8w( d=?,,Npʣ [}Mp’ *MVW] ycӑ6l5V^S,D9#$#Iok:e`OJ%CĂ㟽TL} +X*3Tlv_cN^X8 H"u5kGH"jjxEJ2|țq:tE'.WdzY^XmY<"v:}oD< +c*:y?_"@+N(J'Rr=&u_pBҲ eX%6&y\@)*jo(6;W'f5Qg dTaX؂p代$`<>$ؽSS{l\opԡ1;?z0a(Ul,9ZjD%*_ԅ}H9&u:0'ȁ9%]S1MJ5,{z7- :б'Ox. +:}Q$Y2„(7r؃o3Ch +?,D$$pY!?v)*XwܒzXr +.i: +ȱVz%!xϘu{FQTW-qDXt<)a!aNTр[%%쾒zʊT`A[E-ېsګ7$s|J~ +HA lqsġl5-IrE>8 OHUfLYU׹>K4@;ƛC-X +o=ObHqYЊB<<.ny{qp ݋(l%sۯs@RoJi>;o`p`,0Ylw傁]kiem_PM^7f@Xyb7`\׌3pY=UK3S5_t3Hm+}ٱUI{ٿ$psX0k_v=+g3:|/㩧}hDpG%FyMd|#Efҫl'X5 3C +(&Ė 7;N]JxŁ>cg"j2jd͊uc +mktɪǥJj.hR&#s}mk_QNF=ހ +ԇʻw~ UUSE4/A"x`I; !:""ĵU(:59>SJ!BV݀`[5>™A523}Nͯ{C~g(x%/#EFU- /{qz]rj)1uEo_]ZC!l03 ɞ{ǝ~)Xk|QXߦ +˫oYQH'qmܹzUˠx%HLjpmIcy8*:f &c!{ѿ\!ÜjJh䥄SL/,MIpO9$zg[I>S )aȫ}S.Qo +u|ňkM'G1?˩lʎJUc`#7R~vJf{42^.:-uh +Gkn:͒qKΈrzԈr)%:GSrT<#t/T+v ?nGF)uJjue&դèt#6rU4ȗ*TfVzؑo?$^]V +pw JF *RP"/& KCRP,N-)uEIg2O7qmuC2Fΰ0$x;CcnTH~@ "}͈9KR+yp˩5d>@a)4*/}G2obEg.iL|"-͙#q@f1wE1e"VUx IAY^IQe{'uKGz!c.ēa̓ɰ*b!vpc +ZeA?ijSIw=O'sH[/f}p,/FٖEf5nη`un!l,.6a4wg] MGKT H,,9cV.}T h`CjDtw!+ +sc=/.`DkFy,d+*)f%"Enmw)*OC!SؘJ m%(Oip#bV"۱ߥ +%O4Z8]p|?l)q`_!-Eʈks.aGݘ캘ݭ{[u<ŵ4HuU_ecdR=r>c~\tp6VH*'+YNf>G4d[yVA"0]}L\Pp>,DiiQ؏n=x$rO ҩY˃kFكi(:u&`onj͑s8E4/G[Q2&"Sםm >d\\a9h3aQqGQgt3R%ʼn6z[S\3@kǹ# 2cX፳̏s)jr1,AB" \s8ח&vkd|aƕeWRU= +O -i^B`BۑƐ!sem++$\%Fh vﴯ?y@Vj^x)>'dD@qF]­y%}%9jc삏*\)%jtX]^~T߹8.j1qJ-H;b|f啑 ve-Vܟc-jQ1eur$obR8gj&]%B +X;㥙"=Z\CZ]\AMzqZ*ĉYZڇMqcK:IO +DD;#tҊ5-2 +8yZjN1OS4-wy(e.2m\61w=pwh_mW4ɓQO%0oKTf4SSī{[9£f܋=q| +<ļ+(gI[D<ppz̳ ,JgrުnqEYN=XK.8\>Q"AE5\_JbWH UUa-bzlL{`W|4jyYGe\]7>dWiixyjMRߡ{1͙Kb\s++!P+!Ӻ268vzHE{PW`ESMA+PaI:H.Tk|1 +pJፀ<9;pmuWE9tl*$̮ )~n-ayo.( sJ~! I0ﳾۋ/#ɪL4auIrvow*NIMt3h"fs7Ca_%TtETjہgBܰI:yWHs&k +A6uQ}:o€\SQq^͸w.= +hi!/{)) +Y-bRF5^Xr|(t i%9^*f2 Jݘ\ߨ$r.3'MCn +e7?ݎBW 8 +b Ŧma!mTs%X=Gt; +|TY06~T+e-;k%=zXsSF}'Є` 1|z:4hn/U_,r4̄ m-=L7q::SpR5t.ORi+h92)`!,kh3 +j a[#"1nf^VyMNKs6\%ݺ3&C¢S'8*v*&IAQR}/Zڵӿמy,ڏdFWHs:GH{ޝ1UN۸ԙ&W|paF-|m'8kW\I,l ce*#$EԎ02,jиַO H6a#| +݀-mAtm5Z㈺"6s=MK$U_qkC ڂko8|Ah?o$5r 狷C4NLXIf{ķݾ4D%ɸv.'TjR +(*ۯ|Q +H<2omhjǠ 1.7Pm~~\ЫEH)xPr><*Op͆Q{#OEcpmk~܆1ԬDߟ?rŐ &Ks +9m7['=i5ѳa=fV\7;ME%Rl۶>uvuUd<4ʝ8< +JV;HdVv +`=y0IpIŭYTU%KAd>X(kECx+* KI +,돏Ba~Ѱ(\["8,YrEu$R~E=oDH5D csoK772א 0Is˥[gxTKSBX醚u=eʥ o0E)r^:tk:tmJI/`:V3oflǻmV^FNjxSjpBW}UA%ɕjU6`zJߋ{ٷ9 +&åH,õng|kEn ̪OWJɗ4]/}'րȮ/*.q_ZLne<93wk* +ج |H;U[y̺ +MIiIdsvwbXI;ċ*'ljxҚ2Wp_E#;4Ih$Ĭ_#H +ռ9MeUDdVNŋD zQPMC OL-S. b=J x@|oIL@eaybHuu5|+vGSTdrV_ ,sm7K%.Hӓ7TQ3E 4#"m?S3Xrq_ӱw?rGd ^m,4)m';b.W#Yb@W|c㈮L$^+y[w>0| +p?#B˔;lJn:xhsˣ,E-sMoJaϮa* =Ixy>1,B{`}ߘVEcҒ^HzI#E"SEYB)!es|:X2H +fj*ٔgߤ +^[}_X1罱ql!+)#MlK}-G_^c׌x;bk-\?x׍;Gkdqgܛk\KwkUjLXu>"*'zԝ$=+|Nv_$HM0={{tǢ?G"1$ɪ-5^-FvaykCF|fC +D +StYѽB;<㬁= L̓Hְ!5 +w=_._ ݏ!pOlt]|õG@T}MqgRfqoRPy +KqbP2Yj#*T. +#x>y +.X,f{ $̽WP2°L~7]E`RtWWPYl]%A$U<`%]0k= + S+F*Hgewۗ*e,5 +ǴltB1>x;@]7IOWiݝhy+GZHRxӵC3ʨ$L*Y Xo( vA˿GЪ3(2ꌢyR9) +$ŭ.w=0rW`$zSx>ۈa#e(~q踣HXEDQeUaAU3c[ P%=Ey&<|hS Mo6FZӵ(ѫ +|VĊ:hDjS ^d9^ayj y}8|ڔiyDH685 +dnpB*J}qq.w4]P O+{~.'̓kA(Pco>G,a>Y)NOӹ%Br +z*?l{;m=-|e'rm7wg )`y +o|X& (f!wII}Dxײ<>j,УD`oe`lKuЬ,^N)GWg=7; +)܀9bcݞoS5pRHQ*EK+\CRSPH߄acpϗ/q^^AMтQەa1yͭ~xv}eurI)%GSa*Çv\X8x訣Z:j&]S]mTJbr|?(nxHH;ܳIfX< Dڦ*W~ +N[X,"B>#;XTA 5|68ojLeQV7ZLi`$lqb6zjP3]/Y%rt`%oewA__@KI+ꬪd|nAL2I/mZ)Ag db2[!ocϛqa厲~x}@ Xv;׳"%2T~ay:M"|72Hr9_e[xyb%f4yӇj XKrŪaoŊykC-REC0A،um$ c^⛶rϒ0ps; +Nٴma +iP#U{^׵,N/j5FE^pwq7eycTyZw~۫44Y.` +76 +FTz ˨.ǖ͝A<0{G 22Ԇ)soi2w%57N6 +lE\WvCUK +WL EƆ_C✻<'-;I`@@Uu1uzDMA%Y9]IN`/;zoxw$N"X5Z8H魊m+I$Nsȩ)d YZk" lA6o,_ +Pft*4#z"Hm`Mh?FXVI~D$5ZPHLZ +ДCqOtA.ԪlƯ_kc<SSEdIQI.+&p +m$a IJ6R,y0$hjႚ,j}QgQ`6x2&+%UWݨmBװ¹Wmas|2SJ+#A?. +|xp}2I sy%ȹ;|ܞ , +eWv9Yhم^'Ѕ? s z}Ϛ +$[ymq=\ @\zbi8w3XSԉS~)׹}DQEYDj *b=p7rL&@@!#{ayn6вX-vPK96P:Ҩ`u #};CqbBrw4ןoQ7㪑+!C<71j87LVCfAŊME-_SAL;X`FNN{5ƑbPbvO75*i(Bj4O Uv y/ih)h$ou +R<ˁbǦaɧ. n9wC%L($i36pc 6ɶdܿ_2g" Z +NR}#V“PWPq57_ +22,oYZbyVk-6gR:RM6P׹;o`mq\\s.ņMC{b`hG9h[Wi;\[{nq,KD[_(`i;), +ܝvGOe5na0RyyA<>X*sl2d()cDi ,ů*H;G}c[-G ++ڃP=_GUfMUOwIMGVϡpe +?38 煸=ʜzJk` +U܁}G#ړ6+_z%I&0Ɣ>-D]Y8;lߖ-jq]K|EE߭&ב2?]߰& +F6\9DoN`b6$l+dE]< ®5iK)$[Z +de F.-b!9|7 )μҡ!b蒮 U[XZ.Z80 ؽz=[82~mY8qj9˲Wgӏ +x28ۯ4ܭ١8$y;0W$; csiM6Fg;S#/e_P-pdWfhnAW RKBu5r⵶0ghTٓdu4P}f<&Yu cIqإ +NeWUg]JZ#ku l:bWJ2sJO##|l*ڣU݃Tz# +Ml3lss(2~% +0| +Ť63SQ=p[_=#ܩ"g,Aɷ*$>M3$u 18Œ-pogy/$~ I6 {rA}qG p +,(E-Qooo g,I&\\1j~RlPE+Y +mАNoJXƐGi + ,FֱnxΖlvRc˩J=(MJرX ҷma4r-fSO]MfO+.\@67#0pN^jWS#npp/_CX p1JAx"q#e6ossyE䊾Qa(L0:"H-1?^T4qk1Pb?=,QF\~{~X"Ei>),e.N oKICn;[h }pk 'FN6$i8FZTg'8|&,uQ1f_d\LlMS~xg',VON”[/L0PI DRtܒCL84Yꯧ7fvA>QaHU#j*[P4>v QNy7>?Ǜf.rUapƸC[ŷ+IS=V{@6_" ,8M&,qD`a){%psGdnoQyDnP|"yrrU +ĶԲ9Eƭ~qV^e7Uv&JυM;U[WWS-*A8i[r-BO{E}(1&6JjHZy6bhP.vc[%N BBks+E(؁lt΅W *{#9Kdf^ڻ` [Ipj/}ITsTr|>>{b +* oPx7fp`ʆGVW#C6F^Yo]GK͔32R\J.Spy>sKOX2i;|7U?ߜ4ze2?dv(W7+0K3\sɕ&oTmPtUtV^?._M(˺2Hm 6r<`vmD֊vDGeC @j WyNǤJi}SH'q}v5v>H"dp'rw&݅8>rΠxc,o>dڀqQ2{c|%IIƹm=pJ jSvel7" nKf +A_MM<Ǝr:FMP>$_ +{:MS-iek၎8h9rKTReH#2YI6. +jDRRfVښ8-s|r\ bO!**!$se-_5DPs$uV7Cu6o<$$}"–¼|XښF[{D5|N:qTb.O/ŽOFrfxfD̏/.#-\.Z^5qm],o:f2o,F~q2YoIK4Y`o{l*&RHfMȲzYr1 +qI{Ř7EtW ȜYQ 9HQ@]u~[V88<pTV`GkU1㽌/^C3ZH& ::LtjN3Acf*5?t! ,NFX +ADJ##/gVa-ppȊ?NIbI*nz&yƇ1좯^#jV-BѹPp7<%GTq5$=RU၃`ۆA#IUAv.G{`<ѼLYJГU'+"򨡖O)[?qYe*m9{ײEB 6CG |Jt/sc{lFXb S,=vW.ֆ(Kýc|W3V]=J]1,ŝRirtL{nxx?S"z 遰!ni8>.&Z63F卼@q / vaRQH +EjTxҺ[ۥsʖvhkyߵ$ ֓|`Wȍ o8oo}ͱW#Xk2 Sȕc:Z%Ŭ7:U(T<9cpa`.9GxPGS+mH⽎Ζ̛p4p̀! XW[ ECMEtcK%YV܁~DiW'87}]*s׫ +j"Lԣ>Puu-u+x~8vjU*Õ߹? ܚК.|ϥ6&yO7?5Bu~:/"tЬ.,L>-dNuP-j= ^*"ѣ +llH&9o<*3?I.=d vY5E=mVkTpu*J!~FsxB)&Yk2s)ҡ$e ,0Te":bTET +F䏙8|TW/Hw (<> 6',M#uO59#Ѥ +g/YeD\D 7. +W +xŴ#Qoxj +ﰸ1 +W20;\G0 rHqt + +Ei_Owȹ{nl-'Iu4x$Bj\>3®.T5UUTM{ +d)ż#]$N~b,anOe|8-pC/A;ȫ*L2Ӛd;MW'욏jLφs7h$YN%^63zạl,v؟Vmes1~xҏ|S677- +_ǖ*zk\⏼R#+(G6vkmǮ#XĒkZ&>Co2>\&qBhR:EMH +oMKU Pչ +e,Fs.RiK\TLpEՁ1'3=!ORfO&f#nz6$XS̜eԌY;fg>{Ck7Rt]q5mǭ3\ZC%[0Ŏ;GXi霹6@ƻ.K+pnk^%qws Y6]=7q2%VGkPRg3-Au+ǕǠ 599zXo7aSnFgT]YWCY/j4:6 xw EO,w: NJ1^8KYOڽ\54#qowjpgtT51>Su$#ʖ\J"ᓄvm\1s +fbmalP<9JQ!fܝuۋ݌ϫLE̵sQ†dᨳ%u:mյ6mlU*k ۨ@^bkj35T`׸Q;`}6jqn뎲'6` CZܥaBlo.m'g@PZM%PSv}GKFn1GH>vS`eW9*^$EQSU +qϙNs×vk/]M +.۱4v8򷁱%2ɧs(T;[iQSK=4FrnnN,. ]Ny+Lv,ʁ:*`/~}0@7E$ZJSrҳ-;+ЯL뙬ŨkF>&x+$36+Kob֮R<^>_,YYũr c洙e/H԰ +ic8-B|{bgc&y.qڭqdK +uob> *e Iq]BڴFbזĽΨkYH7&oS$RE!M<F-7 HS(6}:t&'-U2,%J{9}:2jh^\%߁á;m;#AX1#>rﵸ)ďa9Mi%=󱽸q]z؏ky:eNSr`*]B&n>x +M&n锛 P %cwXu 䰶jrԞ#dngwho,Tlm_\r] s]RU^5*H:UTyK2"Z0T${m}F^51P_qz!g1q#N/;<)i@[2mDERfM"}SC/t#"@okXe A#ۊȲ\;{\s燹d( Wm_p^"jN1g{{eAeCᨑ婉*|,M*dGI)]M=I4)3'/x$E$o6ԜbFI&W*Ḥz幅._L0Ww$س2&kZjeJ9x[vFqw46tdua|uQt?.+Xe^ I1'XF@i;lV #umfꏰ&ҿ?Ol'8e?xBy +cWd + @+7=rtw[ +<4Mz~m4E7Ŭ{@Isb[Fخzv<FյvFt.-{ J_PqO(xK]EƷn}D,Y .gEB& S1(=R +meA{&pֶk؉Jv]e5onWL/YgvUg7 +e FX#m,I>ޓTy,bfqE3@0ȄOr\Ɠ8v8"Zjeف +pk ")|71c{a;7j5AEy!&Q21ݲQ6oh7LOL~h649OLGݠr;7˲2$Wv9PZ)WX31oX 9 Y$s|$A9Xdh$2#68SHѮ!Q`-&\5zft0YX[eK-\I[cc؄𤁣m1*t-.ck(%ghgZw;[ +VpK$҂^'2< qyF%z +!awQu(ッ,L7\_t$$1/ +;s(cFS޿~sMbXHrۇ!<wQCSFJ8uUD(%M+)soK '78♷dtMK2!VSte6̣++jn%+Ȅet5yJ:Pլ=K0&\__JY#vү;6)~#W`isdqѷe59iwSԶ*/=Oz +rW˂4u(NVÞ^r-٩ۿ]g|6oϦ;,&"@YL܀}0smv+,J0quUZ 9VI8~5:ʯ1ٹmLv6mB?u-J,K2b +[S5.kƵ Dp؏;!7b~Zɍc2|&j9g^,{1,Cj~7[ّV`W<( qȴrRкw7b6:+^r&ƾhAb+`Y4L$$``-,q1*?m,IS%Xs~I8SiHo/as#Y(3KّQR7J7R1Cm~a6[UuVDbVOwyYM(AAbo }j[~ D8C8+CR ܌5U*{rB%]T?ul俞 +K3+S|Z}FyaNұөCl\HwoS2{Qb1Ѿʡ` ^-⚶;r\A1 +&WS0 n ;I,I8T_粺ϲVl6fkrlSO Bf Gߎ݌_rf7na#J|ɪhx4|p;V3Y+ +ڂ\MRXㅑ$ +ux +6#ŷr2titӵ;}Q:X`y`lA"ܱi愱͠HI +x#k:ӘFD3uaZMKT(?;_c{[}q=ٮX+A˙wkQyX^Xs(/KKepM5N\eM"޽k ccUIU=y\q.(sگ5i$$[ϓrv%GbC$ͪ򪵚B>%1ӴId9_d' 9ۚe(iu1/X]Fr_Qb{' [Xd AU]ܰKIlInGkNdJ)5رO$>Q4e@;m}6C4is"$|N#&Tx5:idRU#RD|9h%HBH@6aqZv8#CZ nX6´qI-a +#GSUG+Oy{lH)G/K8rSMC3u2`6܆!' +OR<;S> <_MNlJd3wb ^ H +*w2 ~ߙ8qqWQEDcVd_]ZZ.M2Jhe'4"ȯLTbX߮\ǬG̛l6>-paؒc$F[ +9̑Gd?7IZӦGzFH +fm㢘جz7V2VcNXr"/ARp0Qߩ$VdFR}zDRiF2;]{ڪqEi}l ?㼢|L2STGKV$Bcygk]Wq.SGpTY3rV)!!*YOq>kSY2˰cR qJErz:P75*ܪ ]2E$aǨ뎪ry0= 3N=44͢:a""Zh_GY6iE= +8Irbޗ@-pm?&a;33S6KMPX2};ܑuoعӬU]SU &:+`Kؕx'&mP*VN y9[03&)++$:m~Szbĕ\jrקB96mrI;ol77# qTݞsS29AR$_}fCٽoCA%5Zg +<$Dj^][qܸ/f|xseg,͸O!ڊ\f2;;F Fvɵ_vsSҳShHE=usk*0?FK=gskdhа!X&l,8Jq#M6р܎巑#ḰnVCcDU]EK  +K!uh-cnfaJHy" Vm4R(TҠO9\&W +bgo(2N|*55t %7ob OP5P |) +oź +edיB,Ӊ-+ښFrFҊ_ϫ_`~'T=uIBz)bOLv^@}!m{_?q*nj7>+rd\m94\e!gͮPwvIyDƩF\b-+k࿨}V牊%L~yQXNҏP<#+g-UL{4fYB0F.M\ݳJi57(Urqwc|QOfTERHY[#pJ><1CHPˠ[XY<@rG.P:S MG/=)N+eΑM|IAix9}g-ջh +D1F6J52%iLͮC eͭ&Bj$z檥xgɮz牔wv:zX̂C<[&\wm0qPקЖ&7 fV9^/Dˡ^J#}Rhi4FFԽebfƱSMe;da,@zo5/}F_HCx72 +5 +!$fPIn1q4՚29#&oLq(k +:n X)cx]5fT.@?,M\w() ,t<)=jp@g\G1I 3F +-k{ ;z xm|$[UOmr=x V eJ"M-gɝo;w鉚d9@7=l*k 7z_fhIid΅oY(Poɦ־<3F(M +k!ogm,ʴ5IOHv'04cu +?6UH[noY2n|>881H)DϙgY衎?%/}:w.}a +9T[X] ,,H[~W]Eyf5-{TT4(Tƣ䚙-+;Z#ո-nmTrmmyWUȽ(SuČ.rӑxb|_+T"P )]6];s*۟QȥPlGQw;1$O쒎]H}bvV%ً=UBHG*;i MӮ.\ +4<S٦L9sFT /6o?+auM-TlK +qݡK2 +~M5u~IqN48;bQw+P쏮ZŠiKX]i  !R.'b6aa;uSƔGe6~~gMP5Mլ6x;Hi}݁Q~kZ\dLR)4!i@hnajÝ齺^J:9^|+mq/?yX q6ƙ +V`YN +MTH$'[87.dgV V2ǫ!; -lr+]xTǠG:G3W/YXJ +[K٦5)qK탂OR'd[WK6,_E +$){tؤ8XVQ:mms#]JsL^7Vֹf:%+iuIFYYEq[kAprS^0Ya1|6;rcQ +QR(Y&7.A=n9r\w3C%VU|(oKXQh0!8+:i +lOp}BĵJ~ yy_ >Dr, +G b&(}3>6;z[~X(3$d4z +$YEŭ,F +eEcp!z]`9YFKXz +kOw ~b42?|1P@0I+cQ`)bD]Qc|f=0Eߞ*I*BJ=v +NO,g|Wg7hjTPJwO-X2J36Y-i)noϼ<6W(OY-r:rso=PĻF!t̼ĝ!iМs*} U:Ҟ)W:ъ#FKsȟ2WdtLw0=W6"d"㑽*d +hĎ|+q6'":rt$)0X7pFᇘAamn ##0:o|s{o)R\b$wDg}<75zǩɾ LT3摳ѻ#Mm{D"6y"Y\/l:IaY<`1^pGҢ[PMT+kZ)svJhˑ+5"ZĦ=:Q@e$xThroS pVuǕdJ>'xž4i8L,<)Sz:X[c|V"ɳ*d F=] &*GFHp`*bZI-SȠy&#\:m*/ +.mVsmlu@=~bl$5*;o%Q%l9a|4Џ'/<ϟ:;R +i|GaFө/+pIǟ3jt, ? +ݔr +k5Oq +cqǭl8O/ԟ[ c=]-< Jj$1F|MiZ44+q6Hxo8)E.k>X3lʋ(&3:u+*2p6bYCvd**[_w0ډgV?Bl51݊|/p3$oWF9݉0rO8u#^s3"=GP|q@uu[ WbG.ʺ**Vq{8":j6he7 +@j&dkrI' )rp-: +Zw\ؒCFC1f3ջLj|ljZhpy|J`\GeQ@it(i-ܺć +:#r8-vZ/ビ1`7Qj]m3 JE1~]/԰M;s :-0a{ocjJ,Ar:_`]ed|3oј$hZ~ +0ΪR̳ r]C^?\D+{S)_o " e\1!dM M4j+HX\SJ#yY.0ŭ{A-l &zZq:mJAm~\𪫲ěMIm_3Δ +`@ܞs' OI%B ,Ri q$K +G m1ɋU V "l9#X i<0p[&ױ­Ep4zգM; +:fM®#R,4kMMpnZ*i;.:c/l@N@/p R?<bp.Ro<4evڏc\ 4m'Yh⊊-&k~wMUQlF+W쨛n0qO Kر{Pf=RW m!X1N;W^h%XVa"|\t1w7\apuY2wq$1f6!Rq+DH*FSG)~G*u.XꆴI$rI&^r}zpI6[ \o\HTqO XJH$5Y? +r-߯Zv=eus}[?šɟF1Ct\VN>B-Q,P9f1/f? N,%:34 $XtoG g7c}D|n")SBc0, +pEŮ ،!QlҴCT v9E)nK,Mpi y-f_ZJaRՆނb#/S=kPH,-k[cR!O=N)&6 \2;U V*]D$oAn'ER=1i#~G#7&5+>)7/m[a-lMvaco\MT@QѯrYgrGqrۆfUэQic)pTj $m0=ZYENvK+<͍~x@{í-. +|џ+ HjoaG̥6]0G6pDq/adu<{6\6I*OU2 1;;"qr"G%bG+x*椁3FAp ({rɡ4/lHT%9?#z`ar=D#܍^ݲ9xE4yHgMR7REo& 㳁2fu؟bas@qq ?oiֹwvMLd_/y+*ՖZSp ' +|Y.TMUPHJY' `; +.wOSNSkc=ÝvE\B[<T42Xe#'XAhx%H%8R+FPG99 =wq/Q)r5}WK؜Z*[AG-8dqά j1/ )?eQ"G@avSP.Kc]']ǜmJ'QL͏<]\f2$%@xAfnmzy[Z2SQx("2:2>nٕX%~b`+C/u_PURn.5>sy(Butũ^SK:Se$'y|pBGƌҸ +Oh~lwqK7ev6NO`vXb9 *^EU`-$%ySs_ /(ؖHZWcji[M[,LpC(bBbZ`b +ꇭhѲmKompo~E|L2E5V^9 +y +3fJ +[=ɛ.$P|0pm h3 jR8Ap,;ғ⢜5ٹ\N*"2b%.W#gBP)`|e2rR'1 +#1S=-FA]NdV\hNJ;Ar l\(?r5Z2yQeHEܯIDSˤYZ;0_Q> aak#` + #2M. +_.CuRArjv_E,>󭿓ؖ + + Rh*XCs)>K|¶="J$B:OZJDpK!(Gōs(!0ø=T9>eRW.\_&/c8>#cFIbC[kg + +l\M5Qx3)5ە5b5\-$4\7G7e5JW{(GdpO[M0!jؒ@_i((yf +4q&]UMo_OwyOFBeٕE.YJCJ3#.nl8)342ݿG(4/f&]<[2\b:lk.ik0`QK0P9*߆{XH#Teŭ+H) +bvd໠dmJD*eXI1`~ ־7H%7GX[bvįDhK*hYL@Ҋkp)7"8wP;}0eז2D]A +Fa[GSNf>tԱP-k\m¼s\pR+K4XlY;^F)rp XAuD??ETSUdAIJ^ yM5 #J`$arwag6='/򼾋0ș=`vAUn~;z]ҤxG,ڰe-zrPe9|ihdKujT?lȎeU;Zu=4%b5U+.x&xZ8j}!늸2H0ߦ-n\#=:X85-;s~j!jdw {1O ?~3|t|vl3$fjnuV6@UARdRuxu[j,Rݭ.C@ i|+zU8{at2FkQl1r).IIeO9iSe jR0ؓ +(R02˫BYRO zW +yK&oM IH40~x<)6ie3[RB[UdvtSpL5j/d,<3F8{&}GQ%SHK7oSsFjk;'˲?"͸/n#M2؞1_B0UۖٿH'>3SLۆn"Pwr4bͤ-rNNW컎oZH"IIwDMHx6L*DLʾzOv$Xq-ŶĔ\waYX{0cj*  hy5>L$Z)]Tz[(5[_q0Wko݆u1OQYCZ白>c%ɶOAzv/X:!թ +pso nNyb1FfMЂ1  [[Wbߑ7"o6jyԠ: r'9Oc~A!j `-BieI 307>mJ<^1N@ +Y +pjiw[|6E-N*Ob7Ü6a1<1җe!<;mÊ %rp21>5aDbal +f,dRL Ke_sxgumlG@"\n\+텭qb⫎G"V/5K q0GĞr&×K_:1RϚp*ݯ׮uk +Xb9D@kXqM *zQ<^V_+| ~d`Uo[;pa #E9mvabN6OL+_Jo<5H>!ݕ@= ݾ; DRHn<*Xe7lAǖ8c +*$} +}3odn{FJEV\Ng1Hk&Z^I,؍mᦗr2HO&M;l2O@QW6S[צ_僸<1f!]9as|AUZ@'kc%IYo#c(U-9a)>02y8,J 䎥0@ V +rd/j6 +{9ty£)FNK,RQ5#jPZmĦZWR,ރ1db0gE7MJsas 0i:)&t0}r.TT1' #匏I'zܚPݒd- |&yF\?[bjKo4[$tܛ=NFPS͋} ׸0 + + }0j=9e{mIwaKDE>YellX\?ViŔLxR./w[08nI2͚&#B'OҚgUs W 8 38T1W?,8XLV0cdTmGH0ӪBpp@N5S=NĀՌK&TĞ#Ͱ,]h +as*,(}&gg7^ +0Ix]_*on Zn.zw[xkL*8mn C=G?/ᮀ-{ +բ֥'aJoU6ĪxnDXEj ORW~c`Z ' PSHS{U]W0 ق%:U!`M?oȏcnx5} +ȭKW!R0D؛wQf51PV8J|2֘z=3A{oZolZ/FŇI3 j9p1طeG,bH]a/ȁZc6A|㔲_D,'I}?lȝ3t>'#R-qz0n ӎ#S%u;L,^y` +o1w%r-84F-r2IA6Ӟj(%aU4%@"Vc[QJ )E8%gTbu×OQ,wO-, /"68 qȻ~bqG\O[sLJtuyNOg Ȯ4p|#2ނ<?j׽ fTn.:#f9arFVxiLړ;\KvaOùx_+"Hj$v*G! 8HZuhLj-k7U`J~ +LC-ʳ#'q+`)+$=*(r܍2N30.y&AWGYAWY##SV̯L݂ٵrpu䌣nMkL9l:=(KTM5G ˩i3Dj燤2%J*k)zDE/cb7?IzJ~?uD*= b)&Ii~{ Ng/77ָ7aɆ } AS35*[H;Ydd锍3I78Ty8 6;`Kf?KI]QJZShٷ,NG(4.Tl1Im`ttNFDU$crI +FJ8gB7[9^b\U~_|7Y(VDŰSFNfX V!p^^X JqQ}A)ψqVccuI==YA"l~D}pڨ}ܾ8 rRhf ŅExZ*4k +BRُ"EIRc1H#uR'*ɳ&"EVm;qK-NiAYtXlV1l$lH6x,ؽnJʱ;Q[ N.|]t=*j8F!7/22۩-5ȫ/YC"gRXy&oC)J]US' JH +b+=1OUIM"{al3 mrWɏl|p +pf9Zh$k޲|Ɵ4ᮤ}Qʷ<i_G67$rLzE'asĥ|1|1!ݍo4zϲ)y>oR6\ * |1$ڳ\Pz\>j'Xh]59߻ +"DvG)q{"ޜ)I#4F$ /kK)Rv#&sk煡*:M_}q2|RBv +DcK x_mv'qk -~̹k`𜼩kZj7ZWzsW¹+rkuEK9ݏojjpU:eQmpTp(βUce[_{|?$@/Sad8Ov.M߲K +3;UoaN ӯ*3n_SqK3!kzq~xOlwߪ(#ҶU$(|`~Ckࢠ͹4N +T&wAB)i'WvǐA[{;i*&xrܺ^Y_vz/6yicC Y~]U`5[hP/~9O TxQj&Ǫ?K-t0̱_Ҳ9I5<51-ב=|] Qp[9RkXQݠ$MMrŊXQ=&3dXRX؎MvTKYY;Q3jyVKPlIϜ\G̨c HXTBUiku mm-bږw5>c.fŨ5o9IEKQv#c/miaGcE cJ: +SMS!-,&UTx+!)6m{[Zi#%hjt1ӥ>c +]!F3i>^4o#2PTC?~[a#BTEzu>Jt/ȳ8Qnv>xMSȲcRGcKgf96y얥mLz6Xhu)t"nt \kg -YEqx8$# +mo|Y3E*bRemLm6j7m1qɖۍ[q^˧E*jي< +I') P ;y`(-vKF?ҥZk`>C/4Q1`ɾ~sZ> (,-aoN^2&K[{y4ǵ+  ht_UW#Xd'rmk/`:q)EGL҉hjZ2#ons`5);5K2c6ǘEll@q$r>z +k8%ۋX$.@KѶWP)ͨRM; scTdSfʞ1]Sz6f[#?.4;[zS`q_>lpu+W@ ++bkroXTꐰlsC#^ +/g}:P3M'e#*IQO[-:;qnLgUjJetR>7$[&1ri#YE4|+U=.wլnG?jż1g <щJTQԠԛfauډsS jr`o? ASiٍء=JF)գJ)цN늞'%Hԃ% +⒡܃P/x{JL58{Iʥ̋ +*`z狸.C59L (%,C_qTIE3H 'ٝ$|٥z^&uϿaMs҅UEczf r !63Mndͭ~-ؤ1EuEzK9 +T1|z8t>}/o +l QW3\C:H-zGxVsvi\HsyG gsfyP=]LYHm.@:b=,U$}YVIQe{'uKGz!c.ēa̓e< +qE|\x1Ϫ^r5b\c83K첦 jDU$ݼJmlY6]C1W)؎M07{ ΢x֚F)vMvaowR֙, jUgV%W ډݐWJgU9f?!&95Gy>MD+cnOՇFH@{s2' 2mrOu/W +n9Jw}dwY`=Dwt;-=<*8 +oas冮Lҙ$k7$W~}D# ୹LS{bGvaa/|e bK, $6wȣ#5j\ ,maϦ +˹=pIXn o/k +=*]E$}q\_`I;sP@9 j@n +9jz9?$}C%?#2:jfucC@y[n%']n+ջm +Q=E2WB-ç3|U4nŻ^ϻ)^spԌ֕i]|- +ҾX7/'g،={^|MN[Sy )l1p +f?™ng]JЮjxCE!AO Kn6˞ +U2waKr*VS,EL%Yqw{LIUÍaw[6K6$i$c}tۏ,GveYr?7cLۼ/$ 2؞wNXjk= 7ݎn*hI]%?> +HGoI>QRu.}d8Qy\kiۼD~2n3ɩ8Ǎ?4 LG[\䡔"Yrۨukvu;[~9-Pn"l5hTi,FCCBZ +X5rf:W4A>Թ`nPsw•$I I{lFtf󡍯lvq|:'X՘jPk{y\thQu7)o819Ր{ >{`$BR;z=D"y +:j% +eIa#>x[~"s +7g,o#X.y\鉕E7@bɓ$wujؤj6 W1r{ZG3SeG +/ +5ȱDŘ~#իU# R؋ATл:)P4tw8{. zmn*[h輻FLR9]Lw&T@c`IR mV]7_} zP$]۝ 2ě\~Ei`\p3Eݸ[\;E +ms nVɋʓr+I"0^' 렕2E#cr[RN@2Pbmk0eCL#as"ۖQ|niZl@6}HQMYQ@GwsÌtdX(>v]/a_gjΈ[F5K4oMCQӱAհc#+k86_ +IX`4\$@ݯ傛"V6ǯO,p罯AW# ZlMu\ n1CUgMZ+B +q| KAKԋ[OMXԻͲBBpዻZpSzg\.\2cQeR(dab\P'ګ+^6~2TS9I饍FR15. +idc*)]b=Źm!)Y2Acݮv[Pe + |T!m8Fr4ZO;7`y_K$5X[Ǡ;.7ͥ|͡wMϦ+`ӬMS[zFkڟ|tH a'3TDpm#-*==2悙cPF2S(m1e\.OQČw݅{۔,@^@lw ?/$*8DR4HJ#o/ +no~iJcLm هigffE/q¬$ +8}3p12e@~7'm#& +>ɔ4R;3s~xJ;q п y`nS +,R +nTSdk?]=eg4IyGܛƆ/zZPٕ4wKdwClp7no_H& ;c z[)잊XZt[Go>X(]#68%R., +#rGN-˥bşQvI>eWW,S)xg" +RmS6ɨJKFpW(Jꚥ4lv!X[G ++W8#>ۋ nT +uX] +??U=9مig~P?i㿩!LÚL#5A[ o5PMei$SҮwDRQ oU/fɅRjQɥO+1UJ@7JmȀ~%4.SѩpseO])ir{Mo:{JLX2˙7 %6W#홻$uMLbulJ4:Hr[}qr{*[C}:R{^De~ yb# Wۀh&u-lm9=+;dΚK{>Q#1+3^S4YC y+ۓShAљ\n7QIM;aHISvz*N(Mdj;y?fĨ`}JZ,hhr}~Sۑ9j4Z X̴/89asKK17 ԭBs"{v ` +:V17h,p?XܞsW.8.)F30=yZ"a@g._TyB1gU;d+o- -爵(v͕^ğ4ȪCjy6nsˋlˇsrLzrPK Uo SJS0]#ˣ.\*h> M!:6cZ=)0RbLz|]sيى}T0,qn_曜m9bI|=a~xseR[b~5B_i?p˛gGI)Á[:b<`ܩ-|$i?`Igj@*T#zc}@~cQI3[w0=4w|dPPTQ|Du[VQqEԟ +X5_lW8$x?L&H|Űk',~ =[*[Q +I%(&zFS|J.{ػ[{l +7 唹WUTdLƑ!iўq⽷ٰ x)eY{j5BQEPl*%TKĶ_oO&Us)h2m s -dツo8NJ5}su?@б?wK#Gso +"?t/T_t?^/֟7T1!;G +ϡ#y^Z-=o w=^UդJ76--0(# 0#8͉6]y`kߡ+ׇf(/#湓\5U$w]`{0l3UU*Go{YG-p_e:?_]-,Lo@X\i?o۷Հ8b&3RqAR (`.4ө-^ٯ +k~Fck,*Y}$Q>qnpk5#o 7#@hHi#q&BKdžՄyKl?wsnP_3gy +l|*%}'K߇/L3Y&b/H=O+fG^fr +2NOso⥇DfiGK]Oše_v?ef+Us(N-p+S]n 2Da, +\C`:TK'cK:S) +vl%f)0j4KrFާs.x3:)ˑ•.wcf7=x MJ2'nl'bx!g]{"WՃK$$ ^H1][8^T\t&M/,/eD @...#fț`ΦDkB|7&[NZH#ٙIk{,jolFS c,tP6u/yc| +uvvĄLAJя +-]Lw?8ή($"QiwC!)NK`V){OOX0ok[fr^&XQSHH [13.NyA}cjE]7-oȜ&B4FomvM1%gכHR(2dB@v~Uv.}iO [JѠsf(No*J4#{O*+~' bfI< =I7$s{܃b~C|JOPUBIn{ϭl:8k}$XHI>k! F>!p}pU;;"@,#nA Wmqn +@I0=g~.76l!I߸ݒn 1cSӻã9J!.C\ 1 Y%74~,"T/f幐|Rh7 ,Ʀ'F[?sNy5xn6o⿒~!Ҥ0cשm$2:ZG踇 K"FY +X(w{N +r׶/z_hTT*ƑT栛&MrG{zi/@pEJ3wmwu7-#$\s0DM$XM-XoA#J49lW +00_{ +{H]pU\byv$\2V/`JHta|@R% Y)'қ0 +L1&7;m*;l$w o)t;g=02Օ[HpF^e otl""ozvq X;}(@?il,5{= [ X"SK-F{`%@H rj*ڲSUK3. ûJބ`9bdI\r; ~< I 5@4 uv?\} Ԧet?˒vۣI;nv=O!mA&$vV#TY}#n9r=-߬ +_ UPPP\~6*)PVXo} ;"9{H`b1""HyT + +~qø(@9 +&}\+i7Y +Gc#7M!Ac'DpwJ+,@OQ݃p Bn!t +oԃq +t IkM-G l9!4K[ak1^O@9~XDLf6X̤fB `7 +xesjN- +GC~T}wĪ$ߎ +i#SI6h*ԨW [ Ҹ:i^s%4-@wF,uKWZԛ}nǙ'4tѹ$[%S={Ў뮐#]A!FIn.<hl1ƙ6U-]8AXs5ς +4uX|'u\`Aˮ,g]S޾h(ЃX a2d[xZRAjd@u,*[=&4f }G21w'8T#.ExҥG#d-Q+Kr6 +T[90- Ag< BIow٩۬.Vš8U:fR~Y$r$Ga> Hឥ0V.L.jk7MܗeM=P 38W~AUFR-I}x2xSoЃ9"R^‚m[ +E]hmp<Mk +s{]5FA;l%/o}`dօ @{ +)28 wksUrEXKqP7$v; N)i+oLJilz8mR"EuSik[MG +!ccw^gbe(D(1Re>-"R(ݚA^?p,?UPx@p'54ZwXB%j[Qq>l$@ZgZ*I5)HAt%FH,Yv7J=INΎV-]6!onTέ[p#AP+"W.R9`*t{%!m \A 58BS6"樋@m>gLBm.u[# 2A^<%iQiFI~AU[ſ +VbԏM17ɐfoGP? +ujzx9EH+-ki:O)51c6{7|ax[KeP`ˢXXmrשBd,Z5{sX;QXuqdܭT*dFJ.Ҳf(U3jCm&ro<40:h#</[K,k8 䋦dhpgƭAn;j^P=a=ƮGo!k~XEn܉5D*k  rUjS +HYg +DS0,p +g}D' wyaCIB`|DC !E l6Ake;Ҋ%n[ y~ؗQ ++tuIKy( VJL7sR}}LıdVE}56M4 D +7<Nn/L9-J!pݿv rgbz\&EhS +ʩ:n:U]-L(PE7n*LTVE) +} l1MRDǨ4ؑv "F\m\2)n@9"5 tcwkS]ϋ#HfV$$cU]}2{v99J]F U"Gs}^ +4d>#5as *#: ndTvB"t; 䊥7ݝ5Iݩ-{c=OV;Hyb\1Е+G0f&׵,9 +H܎BHm^xN8(OD1{m.r<_Dz%=u %/Q@'e9np{ +f˳%hxOO Fb:"N*/Ǥ}ӗ$msb1d˰dFwa\sڒ` wd_I .E`3l(m&*NGMu^\,+utؒIߐ $!:1X*H>-+Li ]=p +4VWG0V⬛S;~z/Ewio/3i* +=I%c$@H&c#d+YxoC$R4CRą&~0WTDf9 t)N{ueяJG/ +`H؝8v q1P_lD,G ~&)iՃ,M7]97za-7aA$ycDV@;uk+ |ŘE%+LWSxG폀@"zK x([sv=u:J:m6j6:qPAwvU5rEubB +endstream +endobj +112 0 obj << +/Type /Annot +/Border[0 0 1]/H/I/C[0 1 1] +/Rect [235.479 620.89 359.797 637.527] +/Subtype/Link/A<> +>> endobj +116 0 obj << +/D [110 0 R /XYZ 72 769.89 null] +>> endobj +117 0 obj << +/D [110 0 R /XYZ 72 769.89 null] +>> endobj +6 0 obj << +/D [110 0 R /XYZ 72 594.342 null] +>> endobj +10 0 obj << +/D [110 0 R /XYZ 72 436.664 null] +>> endobj +120 0 obj << +/D [110 0 R /XYZ 72 165.385 null] +>> endobj +121 0 obj << +/D [110 0 R /XYZ 72 140.868 null] +>> endobj +122 0 obj << +/D [110 0 R /XYZ 72 118.03 null] +>> endobj +114 0 obj << +/Font << /F32 118 0 R /F34 119 0 R >> +/XObject << /Im1 111 0 R /Im2 113 0 R >> +/ProcSet [ /PDF /Text /ImageC ] +>> endobj +127 0 obj << +/Length 1780 +/Filter /FlateDecode +>> +stream +xڭXYs6~#5!8yGxZrg4ӡ$T&']`)ղ%goR D**!Y-d0T* +?Le~)4Q.]!|5e5``Q&a!x8-BO=tϹӁ׸zy~Y?b ?L`Oc J\, +_z )^%(g@zcdt8;QuN}UWDŇ/HmgxBs1YzOQ\Ӫ,r,,d)(7^uK^-l +nL[E,WV{u8u\.!v,F&2|a57 *t:`<5YhѹhtuMu+;n8yqőS+˲r76=qlJ(W)%,*]3E@1y' uks|q7wrUܺ3=Yv 7B%ƳH{ +S +mk.<92zj9nekeg +R4%[[st[-wǖCsE`hw2dIS +yLx,`Js4Xy)U^3|Jrl@J!#i2H +jrC̑dk(U3aT"Óp0!c6ݵ Ȏu5q.l5,yėz?jWt1u @ +'o'?N  3D"VLO} &"$x'pQ "#Cq">4p>B gvM؅Unc21t !H$٦EÄVd1IBcOU W$\=˘eA7zL,DLbvH +'L&qq)<4RDA?8[]WfB[;q6s0ϒ=r`"Ƨ|3<d8|I]kֵh 'm'o\vG ut8)WTCztwl +ۊtq0Bub2͘5(*rW3蟾/R?HK庚/ʻ|HO~i7Yӳ3{v W[s7\En3<ЋU.a1 WXg +On4@'vF)/Hc.b;'u%jx^Ӆsxw|֦N +ۓ. +/ils1a@k6Rb?lh̪ZEy~['hV"@+Rk_&(Wǁ@@?\ˈ n2 6N^Ĵ y~7GˉFy_x۾yN ==x}Ρ}SqV>폢6${YbKQiSPц'c f{"H^֦%ҦS$y7NamNn1<UFpdY1lS,23E?l +endstream +endobj +126 0 obj << +/Type /Page +/Contents 127 0 R +/Resources 125 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 123 0 R +>> endobj +128 0 obj << +/D [126 0 R /XYZ 72 769.89 null] +>> endobj +129 0 obj << +/D [126 0 R /XYZ 72 769.89 null] +>> endobj +130 0 obj << +/D [126 0 R /XYZ 72 738.506 null] +>> endobj +131 0 obj << +/D [126 0 R /XYZ 72 718.426 null] +>> endobj +132 0 obj << +/D [126 0 R /XYZ 72 680.026 null] +>> endobj +133 0 obj << +/D [126 0 R /XYZ 72 659.946 null] +>> endobj +134 0 obj << +/D [126 0 R /XYZ 72 636.455 null] +>> endobj +135 0 obj << +/D [126 0 R /XYZ 72 614.329 null] +>> endobj +136 0 obj << +/D [126 0 R /XYZ 72 592.845 null] +>> endobj +137 0 obj << +/D [126 0 R /XYZ 72 570.718 null] +>> endobj +138 0 obj << +/D [126 0 R /XYZ 72 547.551 null] +>> endobj +139 0 obj << +/D [126 0 R /XYZ 72 510.913 null] +>> endobj +14 0 obj << +/D [126 0 R /XYZ 72 463.268 null] +>> endobj +18 0 obj << +/D [126 0 R /XYZ 72 432.836 null] +>> endobj +22 0 obj << +/D [126 0 R /XYZ 72 321.291 null] +>> endobj +26 0 obj << +/D [126 0 R /XYZ 72 215.268 null] +>> endobj +125 0 obj << +/Font << /F32 118 0 R /F34 119 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +143 0 obj << +/Length 1722 +/Filter /FlateDecode +>> +stream +xڭ]o6+t79~swjbtJ" \I?JT)JhyyCBg˓_SFk-#I"&<150;-_LOi@AG HImӫ4^L%m~l[ѿ_w3dnj6N}oē0p*% m̳OFT[mD0CSt{X" +o+JO" +Ǣ@&(6?qqlh#:}xB +Y-@ ;t&kwwl.|9ެyNmk9m`@+v*5)TR"-HP)'R,6jy#PMX*F vzYisJJ)!ܺy"dry`Ng21ׯb&tP'rt0ӮﭞmCz7^kgv8zo<&&n*mdfYݵ\X;}^rsKh-rc8vY~x7_0S?1?؊y1}xSJ7z]l;oqWO+Z؋4(d:^/E뺢x"| PCf3+Ӏ<^ E_d|J|1#qJU]C2L6>BXQ`#UWArEyl^$Q MP:DRoT ۦa +F]8tdyF$2T+s#~vɬ ʏ`s= +&T1ɍj0i< 6zcMA8(972EE67W;.[łK]ͭݕ˰-9<+{cOUdg}|dsW NB^ڿ]i֏]g}FcM ֫j_z_{x_4K wIU(+BY΄2C% zrwtIBA=q; +~$nAp3g5HTzt}SVSqR Ef{8ݔRZg +:mg= 65{0\l +2Jq@?.=yeQg]C.D!O 2Nq~\-h``]F2I.ge7-m%hLWJ[慗Fa+9a*5Ÿ[T?+#8aH%jZ.2ܪ# u U u Uũ?H-ai+eV2/ 5 31#łQ"> endobj +144 0 obj << +/D [142 0 R /XYZ 72 769.89 null] +>> endobj +30 0 obj << +/D [142 0 R /XYZ 72 769.89 null] +>> endobj +34 0 obj << +/D [142 0 R /XYZ 72 543.205 null] +>> endobj +141 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +147 0 obj << +/Length 2372 +/Filter /FlateDecode +>> +stream +xڭMs6糛;69%1C׺ܟ_0VuTBX%Q<j_~Zk;xN8 qdE8^, DmSBc$/d.ɱ^%ت3֜/ÅJ O È*{pSŖ%e}qIlkBSi H +vs5ʃM 76l<7 \7rc+`lrR,F2Maf/d"ոD!ŃL +Jo<Ȅu[,H@Ld/ιX5ҰK ',Qv3J +L(+Fq&04 woac1)N$95prI_L)F1fdlW +RI89"B[=kLj_m:&q +ܘ+WOWB׾(ܪ3R-'LA-ϒp)d8n8lQ9H[/u˅9Yq/y.ˮ9lKg,؊bBK +׍Jׄ{ 9_:Gir +a*n< .؊"_qIẑ[饗`+,:K3gBR7VDp2K%\&J<-F&!NӒP$(p=pbYV<+ 5b ]{KMg.t)vϓ" ıMbK,(R[H$,Q +JCKQg %P֧I,T ᛱxHnX,C)5b ]Tm:ÌCFK$QᛱTdQuıṚ_#*е_BFq!N +;I +/$y1ϊg%R8V,+)52z+Fq&`Y^yLŠ"H +>Ja%N[G+<+ 52q`80H +{"s'H+q2ܬ:X$Ȋ-JQg + +J4yEPı'xVn#eYuı2p_#+KOn>pH4_a9}m 7+8VnSkde0+FqfdNNT" +!⛯xn#eYuı2n_#++ϺmQgs &Ҽzm=˶q*ܤ2H_M[g6ئ3X.)LP')`pHNR&:"fIIK*FqlYNa= *yA8nRlq/&3Q 1A9eOI0E!{9Fc~# > czWPզ;418 e};?0M_0"4#‰wX6VWkUDX}ѭf'4jtkYEd;|(Po|}|xù0_cj*澾4eNߔ—j!\5`k3m;\w]A\=4s4깽 +~݂7kܾ\nXY:&py(7Dw6X~۴t +pQ5 ju?y/tLf +o&ۦgh;p06+" Myw&ت{/tAhoߵu8xiZ-|OmOiewF8^K7f#7ճYy=_Eo3I3c$Nq!)ÈS{O`{x M{{e"ރ;ӅWJ@M+%a.f 8L`#8%-Dq'gf% +L\ImUg+)$*_)& OeU&!~~1v_uJq2$:I0 R_"?8 +6D _ttiVሒPi +Xd8N&B#8> endobj +148 0 obj << +/D [146 0 R /XYZ 72 769.89 null] +>> endobj +38 0 obj << +/D [146 0 R /XYZ 72 358.051 null] +>> endobj +42 0 obj << +/D [146 0 R /XYZ 72 150.7 null] +>> endobj +145 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +151 0 obj << +/Length 2233 +/Filter /FlateDecode +>> +stream +xڭmo8Se +,I %5I%b69rV?JT3bM(P=Ӑ3f~?!6H5ʙ̔̑I~8Wm̽ #{C4EssNy1@T +Q!:y,Gh~rrP9eMyyXǦկ~]]\ی*$%Kb1$'Sw՗ؾzø>5:nc +}߬ +WNu}0?)Ipvqѧ}1GD &\-w[moҌ"#ow]هypxlg2=[C2ZD$0[\>>5n[|-eRN%W(Q/_Γ#Ik/IV 0Nx@R( +8$tRN%WhJQJ; lĀHDJIJ zmnT3Jh!3L)9$FJ\<$FΰX#ՙl+IeNүEs_벱˶6 b]8{۷jr<h.I:m}כj/ݿ5 kz iN};i+aէۛ?myZo)7U1$MXf.vvu7`}_ 2YN<fuKpKB{;Bc~Mt~+~&137g4lfBE"az6*@&7gwo%tٗyH3),[}{:*np̲V͠nx{l˲1<=}z1kJ˃=q ae>sM +٢O(T)JwۺB)ѥ{ +46Qpdn zASH t]|))b+Ƽx.\](FWNM0/L&c# +@|;#'FU<2 6"ʼ%MU f9AfFdVW³X7P_GJq4/Y`lxnƑyE=N.T Li(EdVξY +qu{ 6 +X+sW06qԉTECӞ(gAr +8؃fcʕ*iwnuKYw o۞UPkƭn@uW%$e&_0P$=g&j'|ٱn 9D 0IQn}H500z)6tÍxYHI1`N\1L᫑Wpl1FZ-,\2?Mf)itFqmtȎoV +6 +Ɲ +l&mQ=<. +endstream +endobj +150 0 obj << +/Type /Page +/Contents 151 0 R +/Resources 149 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 123 0 R +>> endobj +152 0 obj << +/D [150 0 R /XYZ 72 769.89 null] +>> endobj +46 0 obj << +/D [150 0 R /XYZ 72 679.805 null] +>> endobj +50 0 obj << +/D [150 0 R /XYZ 72 324.21 null] +>> endobj +149 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +155 0 obj << +/Length 1923 +/Filter /FlateDecode +>> +stream +xڭ]s6+z Nl7ԩ;v3I2 +,m-BWB輼كвonO~2b$q(Q$,-O+A=]3Jꏛ7_n3x?FkHZ$y4u6mU|Z_fbCQ>WDwy1gMy]&7ͮnj$ݠ&o 2ta +P딂>S~x)ت{itӷ}1ozUU5Q]{(NocO0﹬w03#r.ڇ^@rG$۟AMf8hR7yﶦ/X54 +-$Ubk2pj }\'l5±w+OB }R +>7MVu#|N٪.Vw.-tt+kS{dn/vݙAqob{0rtUUWkr{VMZoGyli94I>AO{o̟}ed˩?ovԶem,,$n-ȇӓ٩̖]#>3]\zoӮٓۓOt0KqNḥͷO_hT1ODF/CoH9QT691MÑ1C3$c2R f&mnV A\Ado[C|-AҔ4 i*LȄW=;3/ Ͷ UfE + +,fS&}dW= \: +8!ۃs -e~PG9I!ӒD$qZX;'MAK:AKEM[[2;3p[F$, +힀%F`RfŖSYiV5b< +ܘ,º"3xTb+AO[+r2ϱ2;#Ӱ 느$ +"%:RVŠ7)R4)9E~NftfX,0@. ;6W'~RܤZ|HӤpldŖyՔAVi1 tU7+V4+QU^`s1Y@v 48)tO)NTB'Q ؞rA|!- xeAhI)*AiXaxM[@Xԙt)3{bH^WR?%nRl)Ӥk$Vy܁u-HH"T𪂬z*qbK`ez6_#+Kdb;?3BTG0eE(8/+Ȃ7*TkC5bNTfu䙑 8+ pF#dS[+!YU~XԙgwB9< Є0PV[O%nVl)L׆kd@BXԙg,+\+PNI +`ʁVWlCg@GAyrY] ˃$$,z*qbK`ez6_#+w+:,WDvgPpdS[J4+YUC~ ԙd|EH OaLDK.&7)#"kDŖ+43| ܓ *S[7Α7B5bLs,- +Ã; +<lZ'Mç fX*2;#ǐ P +aJ +$QXI~:ܠB|HA&Ie^!L3ϖ&R ')Pg!ڃbB~YәcpA3KILbt +-ćB5b˼Ff):LxXz(IY + +7( N?aՈ-̰̏Z7Kj? +endstream +endobj +154 0 obj << +/Type /Page +/Contents 155 0 R +/Resources 153 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 123 0 R +>> endobj +156 0 obj << +/D [154 0 R /XYZ 72 769.89 null] +>> endobj +54 0 obj << +/D [154 0 R /XYZ 72 769.89 null] +>> endobj +153 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +159 0 obj << +/Length 1251 +/Filter /FlateDecode +>> +stream +xڭM8 +*ѷcl C"츊DDƖԯZ?&8A ""d{?%;}]*y8O" d505ǹq|MY +'I L4Yӛ츭CvmئD4qJ)/~vEg )@*#.^-`?o r< l)Ț#!L6LW' )Nh!͕繖+f&zf.T2(FAfl'D, +'Qd$LS9IG$zNL ۨ%\P0$ApGBPdA1u"AS;@6j +2NGq"RRI(‡9 a $zN.TaNZGV(B7q*0Wɰr#6 Jgu\\;* c=2 f[}ʕi24_50(2a"͜_Q +J)ux@tcʢذUe]K`]߲@[ PO&1x1&ߨye +R(*:CY  4$)zA4şM+;]=ϟŸH!f옅.숙v}F9>"8̜Z #GqD^fU~˪m +ض,tﳪv[.;l=oAN#-{LwмmZ!xdF{MyC +c؛Er9k6jCl݋-8)$zTڈ +#E޼߲=Mɤv@(*uSM7} OzZV3J{xn7ukE]w|+뺼o7g@O?i_hZLkyWW[瞵7iWzNw?fwf$Dghd +o +0z +endstream +endobj +158 0 obj << +/Type /Page +/Contents 159 0 R +/Resources 157 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 161 0 R +>> endobj +160 0 obj << +/D [158 0 R /XYZ 72 769.89 null] +>> endobj +58 0 obj << +/D [158 0 R /XYZ 72 518.928 null] +>> endobj +157 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +164 0 obj << +/Length 2497 +/Filter /FlateDecode +>> +stream +xڭ[SzO>\ rRIkp3I~ i<4<QtiiyGdGb5W9J?;Ia87of&jM__.v~s8'ws߶By +"fATpWwˇjywtp^6: +KpcVM_,?.^wo7VF!# M?.N / ؠ{nVPOV +o4heXg||WHia_}3D0jQq<}%T5{Q|b^!;YPyD`Y3C{!e1H^鯮.A Q36M d3J"FsP.J yzr»P"2wow/7_,nƛ14LؤdL 2M2bSsT5t/i3R i/=v +0O~; +vgwUq8)1(S=*Xu9 gt 6ܮƇ/SKh%vKNuM2dyj(J +xPC&4X˺& ʚ&Q7zEEr~{_j7uch01%M1?O.YʔPeEKٜ?֣ܮu9(1ϔFh +V~S~ +O?Ieq@WZXX2ng +Gv%vi +N$rHxq'5?nO<{I uQrE)9(rL}=ĥ* +QjyXkS~rYU!"Lڽ9:Gۊ3c`ϋtB,ĔfZ.V}øQRPO~,J5`>g + +6ho*sTteU&i=*PT$SLt)˿O?r5xX;arpqC;+ue7E[T,u-*9Os{T9X 9-"= aJBE"DfJSlY0 ^옦(HG" lQIH(-bHdJ4>de$2a:E$"$lݡ4fJ#T֠@mه|x!ԇ  +v$$9d1$2KTrs2։2'C;TuӘ*1QZw%aK'u5V$l8WRHdv|:0@Ǿ[I[⌖"@YWaJBR#9-*9ߢQsT9I%biE (H!j" ,QIHHշo=c{TZAhҊ2MiE +VA&$jDn-p.hQAdTCH/Te5$$IT_Vs$2KTr>4hK9B"lE%!ɢ#٢I& Z{T kO[#LdkOTw(! k" lQIHHd> endobj +165 0 obj << +/D [163 0 R /XYZ 72 769.89 null] +>> endobj +162 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +168 0 obj << +/Length 2535 +/Filter /FlateDecode +>> +stream +xڽmo6S峨}]d&{;-Z[snFP6E$)̏HۿNdD2F2&}`b5y|0E''<`!7Q=Ob"$(&`l2_N~^bv3sjni3!)YqﳧM29=4c +bX?}8/<"HTVwF8NH8^˺^A4Y.wiLDM (mোRuB"12 GS &29.E7Mhhͼ#PoUg9!UtB܃0::x7Bhͼ#ƒPoUG02 + +@R IC0 au3!9Qz:OQXHIC ̆!ڍ@Av"!;BU#! '0ՐTA04>'C-0D +Ɔsy?ϑyHFH)$\Y4f6pCn4 fCUgd\I-EJ !+j@My}hے_u=!e`͜ꁥ3RL8L! + + H@8<*aa- /maXz:B,Lf؛Y|0Cܰؖ S6Yz:ǖ28F,!W 3 +mX<5KXl3o[h,M7`XGt"[!``3!gtc|lEݕwMj +U d[T\''Ӳ _w߇*MnFH/Ii4o_bϵgYhv +;kWWWsMvkM*^ #wuch3my7-ӶY$۠Cj"@z HB2[BՍC Id ?mkGHьau (([JChRBq[ͥCFA,0E Pa"¾*[ԵB6XD+[UoU'?188^ >S= +Z-U +"Z +ِ_Չty!.i֭,[Uy +pekFhlM?WUTP2PH0¦X?{ + jU +X@Ӏek꤂8g(aW&az*VTA4lMOBpek"Yb@%cH45{}S.+AZ; k!z>fÚ)ChlM?kU{O3Լp;6Og4n +@6(/GrST oußU78VhYKvK4;Mo7U{'z5֧S\MUPU5@zx_Fk}v-vYOhE5fE>}%ջ`7{mgTՆSe^hηzԊvzfb{:4后B99-^tv:ܤ wٶ+t8crtYV6ozu{e4b*|ܮǏ>aQ;chܝ)$gy0\oN Ώڢ$HNiw:@[7,3eM4俦Y‚@^؏LE/7G=R?2@aW9'醭P>2 + Dma +͕_4D|:y9v7G2 +qS3U[}ذQzzӡҫcjJs8VF}(oD"]OÝ֨]Ç\o;y1䕒Wb3ǻ kz-rz;=GBb +;~H,MTZ‡Qy{Kzm}xne{$1qb(dke51}165g, d#|M!C@ׄt8!Ҕo7 +<(L"~P)@o8G5R< >zrudQT*{ZUo~|be A,Vujy]~M,[tBqSdm1\zj> endobj +169 0 obj << +/D [167 0 R /XYZ 72 769.89 null] +>> endobj +62 0 obj << +/D [167 0 R /XYZ 72 592.054 null] +>> endobj +66 0 obj << +/D [167 0 R /XYZ 72 412.359 null] +>> endobj +166 0 obj << +/Font << /F34 119 0 R /F32 118 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +172 0 obj << +/Length 2078 +/Filter /FlateDecode +>> +stream +xڭX[s۶~У4Y.3ȺKF֡w3f'a!-I~N3Ⱥgu Cd]%#sX$( V,ӞuaяG10L"GE!kLSVXŎx̋Ig aqJS) Z +EE͇wNm`WN&L @sV- +zQ)R7jSB:D-+]%C%;m%@n_H-)j +$$5jOHeMMj&g(rtJUKTǰWbkxzYU/ne6ZPeZxj²[a~Hɘ3$Q{:h(-å5g|fѲ"ymJ6-Q̔QXcMMX +FF4mGIRldi#6 :.1^7POb 9H%M0C ߝ4a2fa- ۙT@X.}\ k@FJ^ҰP֏Jkp8 +A +T}5v9G-uynbSsUlin<gByB˚`7b½ZʎgL*?߸FR5 +Ӆj瀳뻏3\~" ..hhq +dmRR +wۤc_3]eXsvx PQ:,h &m_mv99 +R!gppf̲RDJY_+>0I3=c\5$9?m ,0<ڙϬSXI,'Z^L~8K۩54?M`O*af5*l2#8b§W,tZ/ݏ^{3 +"<=q /}mvaRm[|W6Z@hھCP<ˍ )M&3c2v0r}zӗ5w@#?8-XAjE=%;1fW-]8?zfK?Wn7&ݫ۹ E~t~#7Mc'Y^d!Ҧ9uM5SAW>Srv՝jP.Lfwܱ?>#mmOvS0@LK/}K$j}Nz>&Yh,uE} +wLnYNo*tPMG?\N-B?U]c>5EnIyiJ go5|P60RPњdQ#D ~ȷxlT)lAОb:rjW^Ѹ@pUw ]b +endstream +endobj +171 0 obj << +/Type /Page +/Contents 172 0 R +/Resources 170 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 161 0 R +>> endobj +173 0 obj << +/D [171 0 R /XYZ 72 769.89 null] +>> endobj +70 0 obj << +/D [171 0 R /XYZ 72 593.099 null] +>> endobj +74 0 obj << +/D [171 0 R /XYZ 72 489.187 null] +>> endobj +78 0 obj << +/D [171 0 R /XYZ 72 327.457 null] +>> endobj +82 0 obj << +/D [171 0 R /XYZ 72 246.033 null] +>> endobj +86 0 obj << +/D [171 0 R /XYZ 72 178.835 null] +>> endobj +170 0 obj << +/Font << /F43 140 0 R /F32 118 0 R /F34 119 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +176 0 obj << +/Length 1319 +/Filter /FlateDecode +>> +stream +xWr6W* n>e;5l@".fO7beWKNh6<~w<%~ϮW$a\D8LXay߾/z6jTA5V!F9$bۨ3qO /sTӌL;KFyRNgKWofτg _2!b̂x_КgMb`2b%6b{#F CA-JPJ*=7 +fLWDA* b!r1|٨@t$DYe˖z9wiţ.V@PZ1 _qeܱ) +J}vX{AQ4 +$>5Q7<*w@d?E6nvX!t@9K}?DAĢH9U7d +XQ[Uu^gqFQ0Ts_#ӎZIaCF>K?)*Ff [#Yڎu?dIj.C/oiWx]sjZ5zlAvoV3ީcJ$9 w,Uss &w-.]|Ql +Q;(lE6LSH/lr#>E)ۍkTi#5}+,poi}6qD䘲VeI +֪R +y;mU%/˾PG>GotE`h]AU {"U-\f_D[lMKT9!&W`RmB*'WVJhM +پ\xw=C妪@$slw2ޠ/g-tkHƱ˾*]òYXHT@QG ƾ= _5+S}Vv%&s[K)"[$+Q: + :B +q /)ҬNme.܂w3Oyf/y!V]_ש5A|"VuƉzvD3$Ĝ'ˑ+x⑱wtyJ`t0'о5IMx0Ӭ'@\ح7_v%v|Bq|i=aNgѻǠ&=H% )J(_؛Cr?oh +~N؉A8MVpcRSaEx/$4/ӷ^Ճ +endstream +endobj +175 0 obj << +/Type /Page +/Contents 176 0 R +/Resources 174 0 R +/MediaBox [0 0 595.276 841.89] +/Parent 161 0 R +>> endobj +177 0 obj << +/D [175 0 R /XYZ 72 769.89 null] +>> endobj +90 0 obj << +/D [175 0 R /XYZ 72 612.362 null] +>> endobj +94 0 obj << +/D [175 0 R /XYZ 72 581.453 null] +>> endobj +98 0 obj << +/D [175 0 R /XYZ 72 486.057 null] +>> endobj +102 0 obj << +/D [175 0 R /XYZ 72 418.754 null] +>> endobj +106 0 obj << +/D [175 0 R /XYZ 72 350.178 null] +>> endobj +174 0 obj << +/Font << /F32 118 0 R /F34 119 0 R /F43 140 0 R >> +/ProcSet [ /PDF /Text ] +>> endobj +179 0 obj +[602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602 602] +endobj +180 0 obj +[348 365 696 696 696 696 696 696 696 696 696 696 369 369 838 838 838 586 1000 776 845 796 867 762 710 854 945 468 473 869 703 1107 914 871 752 871 831 722 744 872 776 1123 776 714 730 473 365 473 838 500 348 648 699 609 699 636 430 699 727 380 362 693 380 1058 727 667 699 699 527 563 462 727 581 861 596 581] +endobj +181 0 obj +[667 667 167 500 669 324 500 500 0 500 838 0 695 527 500 320 0 0 0 0 838 833 838 838 517 714 796 657 500 275 318 402 460 838 636 950 890 318 390 390 500 838 318 338 318 337 636 636 636 636 636 636 636 636 636 636 337 337 838 838 838 536 1000 722 735 765 802 730 694 799 872 395 401 747 664 1024 875 820 673 820 753 685 667 843 722 1028 712 660 695 390 337 390 838 500 318 596 640 560 640 592 370 640 644 320 310 606 320 948 644 602 640 640 478 513 402 644 565 856 564 565 527 636 337 636 838 0 636 521 318 636 518 1000 500 500 500 1342 685 400 1137 829 637 838 0 0 0 511 511 590 500] +endobj +182 0 obj << +/Length1 1469 +/Length2 6373 +/Length3 0 +/Length 7207 +/Filter /FlateDecode +>> +stream +xmuXTk VZC`A{CPJ AA$E@QoGIQjvpzoQE߉Ҽ"5ky#;sz5-J6qHp]iKM;]f[ I#睉ɸvP;Q^2_PĕXX OCfqYi\o:<>}s;\E>KTmzOowbJ jY}r\ jʨ+v'nlT Oz5Qh +tvS65Nt# }^C:s&Nn ~r/N"PMG֏5pa喇Rs<=+6GgIu܍Yif+QC*U@k>( +G`Tg +YtF7·f1')]0xp;v/%߳TqCeyJ?#7YJ{S>%y +&M~|ANLJm3!}g%ߛ7Li3#ێ RѷwkeiTU;(l" aٯ{3Ͻئ0ZˊHL`kDCy']}P"leΠB>#t&}0_H# +EN +j]ڿJy c(BZ:UT#}:(Ei̚R2܏ـE@0h$-)$;4q9:99 P8T]}~/7ugmdrݫiS/Ys0m=掚~I *u1D}V6ev's'A7R]/@jxcjYmU"eL,yۋ{t%1m ;4e_r_o3}1>ʵ饞l|N{FZϜڨ$Sc@pWdTx5 +2,;%8K2&rA!p6%鐻A4fzS>ظRJv*7̠ +>J uGp _e3s&:h,/4eE j̆mC݆cQf㙾Y_u{S GP>AiBk9k'̈ћO|3)hߊMt]M-\E9Wiم-oFP)]4Et镥ޅR\By\ɷss7ZuVu'j%le_w!mgu׎ +]( +,~Wgd,JIaJ@uz#ٯ|nwA +5CsxnX:)C +J-T "꺸b%%=H@-Z&vWTd\0*U05jJBs3yrV)qtvbSqXAWp /P?'N*9 @Z5ҭ^)#3"2zۮTQuȆ:"Ԡ|FatEE;K)N͵H(}!<Ѷ|tRG]sM #V^!ifȚ>ú+v +)=.k}OoGc̢cK׷lg`OQӦ nۦ_x'<:)/TK#^XGV&F\Φ:M\.&Zۣ@34Elk7#c0U;:$ +mJs=Ѫ!̮eWriWٲ3MjXzŕl0BT[Kv:b[F}\6! Co@c! )y0<^ֺN%C Pg9^_A[{}r̴c +1ýiH gt*ꞕ5(:)lUMwrij:G +a8s=&BW+Be&*y~k2mg a>*Jnj$YRmo$䪝gm<;Թa- +RK2/¿j.^x+aQ\6ץ~QO*Ebe|Qfgșv|D*Z&I^ap9@RRHi ;Pbpy~߳%wGNo|g(A)(Z~XJ +Ȯ?j;ELx>GJƬq hĴQb=> [˲UIێ"Pgj$ +`F=;I+ʸAR1Ӹ׺iC(j]Wtep%>ʠ?QinXuw&T~ʮyMzo=Ȓē_QDĿ^TS-˕n4ڸ=BnN0O +@H(+|D&DJsĞ(L5R_֛nl;#=:aZz=N'Iة _'^ +RV*d併u!;c8%%$:2-2Kz-LȯWC*Asէ0TxXXyZ̴`8R|"\d0ѣ+ooXv~ iPy烑h92 hfQ3tRT +2CAwPIRc4dنB À2x +|(b_I=i*{sl#+&?ثc`9y+@m@ s[?b?7zJ}2FQZ:Lߺ|#uU õ=(bX,<m|[KU}Ŝ?ɬۤ:3j/0y3*#X>Lm#OXfU6"emLw" +RsXZdeOv ̢$42 ۹E/eE)Y5Y:F8L[%ruXLEjEW.'Eg5ZG +Tf*0z1Ԉyz+̈UaS̉o23WuWnnO :Mս5 +U(MB}k +J.ߚg- a9( 5: +njU;W4נQU0≠Q>z gp] %*8tHѐƱcͬ(+'͘\,;+|/us']j#ѓB,aN9mq,夀|Č3'@8)٢7פO>e7!m#r:۱v(PyЍI{+ec%ֵ>!`L8@$/X{ }:ι77w`#p&{b[oe"#YSq(L} m0m+VwkYr[ Ox2-K6 1~1:'_\=;+걔L)^AT vUU]ye˺ڊ^`OZW ^4<ː>+eB t_sۈB; +$/.)]ձ66薺s 3GDdN^M:bB]W.=oxxC{ͱ_&,AW Ƀɡ \أ[En9Fn +pon#7A;tԚd[46oE G2 D6OYFRF&t׃S6ۉћ +UYhf|aF=L"1h|)GKDJf藱"p^C9G}iW;!c,NY':<.L磬fp~¥ti)g?>n{(}M֤1C&A`ٛi?7z:w׺{nbIwE{E +Hl= +Z]Ftd 5|[2ԯC$_z>Wrw–8AlXg{CL2>zlNzuejve[Џt;}#o[X9C^Ԛ5nkbJU1AGT|YBZt>άnQu|<-ucvW%e9~Mɔ@*a#Y)Z.çs-tk*B8ǯ,&oAhbӨCQy53qQlW[ >Rb:lGG +3Vdlr5(ΟR^K!0> endobj +184 0 obj << +/Length1 1871 +/Length2 8053 +/Length3 0 +/Length 9077 +/Filter /FlateDecode +>> +stream +xڅuTD:nafn%$EC:KDJ~_<޵xg{ *e5Q3S=8AYTL Vvvvtqg ^ +[` > s5]]L,`>@ YS=:۟ fqvUOu' buU2Kcbge󒅚ZE-l!\< fVP%obg ;[Zm!..n/iA,,z%g;7oٰK4[ ;Y[@\ggOt؜a`j<~6V{(, +;83Lӿ&D<6'I<MaI=&D qd?L(>LxaZE`ZTE`ZԟE`Z4E`ZEi}"XL! ',!' qN?;@c3 aC2ǁ y&.O_O_i`c3A4VOY9SMh?EX6soh f aBX=6Æh@XO`:{'1`X5 Kh ? +?V5'L#:}I8`.NPFa"_5/bT֥Uz*S tU`A +p}ڙ.:/ A!_דfX&/罨lbeUtsQOhu@{5sxa  v@ vu}]连*_60~bD?"7#Amw\ɒ7Gt?[zu?-c|H?b:x=l@Lji?MQ/ڏo1 +‹eUɹus5\mQ'iC">ev'h +("9=ߗ>o͡s&J>^D%pOF^N8]ɿ9ޕX^ Y +R+7ta8/>@QU_!(ݺ@G/oC;/H_A^V ]n2SQq7d:)ZXtݤP*^d^6-Q$+7 +稞/5J +В$9T¹IwRj^T6.YE3!Ni[?S&s4sں>, \(w%yvLUYXkoYx+a꿱gE>f)T[Kjlj(7GNv<[jQ+#F-7wK X|\+Ot]._ULH+ l?zȿp bK [M7≌=eҡ-5 _ٯ~S/|z0TPJMVজl3:h><\3W +jT/;=͜ +;Egm"W=_$8'jg9ɯ_ +א5C^10 +~b.+d;(CݧQjOЌa#N +M-VΠ9wϣeoI*4Y}KtQ«'  wݹq?>Z0u+1ȡi-4y;E^/F$;q%5:v5"ݞAȨC>h {qELA}hҎ +mhcnˮ/31qYTQrRAl.8wצetf [ĭ Thʼld[<m4q:g'P7tITZ0qD=_Kp*/1cUmk[b*3E81/*motX믍]f}{kӐ{4$X{|I/ Fk2y +ĢZ' +2P\h{1]㎴>q AUR"&6P5׌B:aj5Rp}bÖI%u6LtgF-v\~qD:;ƞ9iㅑՇB;l޳qWjC2 u-?Γ~ܮT(3㡯Kp*ɾuUpdrꚭc`jc1H67N_XQcFᔱF%8AA̯)U֍;8[$bO2Rjkw6-.N)oq= + +3tLh`yGG;y ئp|E:E§Vևgw]!C%𦇶X{_JB#Yd;ďyhom#}}o}[ +OEA7uETH +2*eq9@ߞ k +@M_KX<.-g] +j٩1tܿ\#KKYlC7_瞳=J*2O(6ZO5n.M +m]|ѵQleFzİ@gĚ}bRzh&e3nGTn(ZP'ɢ~@|CISE4[#x5Gd3DC/2v6@xe&!\Vċ` l<<?WGƼ=lζ/QLq:N4I2GILUiΰʏj)=3ePPiS%!8q=ss7S~~:b˞CmMYOɛ@7rg@gj́~hkW3晿GQ2-]$kE+?F!!h(L %NP.⫴DUOs)Uꃝk, +F2Lo2@~ .* H)l +"Nf]nWlU'z|4_}i|ߴldUcl{ii.G6&bPut*49D2l9? Id >ӉJ%R({K[Fx <7=g߷}{t/68k{e=mrj#&ѺDMR2Z0,_iZ6p­';%𶟗`[uE$CFq*VRYD6n*ņz!Mgp\*nmY I <ō)4'&WY~KdC9Fwwja`C +JY[b'-]n?5Du~ +CL}[苒bM >k q{ijsM} wPqSNz''1!s63H wIbElZA?#YrȜ[_:#l>/ysFIYh(&4ֳLQ,S nKJYu-yFOޏ:-g@OƵBBg,*9>3#|tgRڥ蓫z>IJ81?iW..$:Nw|HU8V9~I*h +UsS +*Zb6]quy{s GtB(B ?ܼ?o `J*M/fy/zsL]<3 +۶¯0El2/ԏ ,08F8,6k^\H'ڸ *ck3te'gӳ> +n`#~T<QMBr"|=]xM_ +4v`ϊ+6 c5c*鴶pƵ~7/5O2,5W`nIo +lX1vX 5BܟTcLRLs؝8wߨ'z'M`y8OH̦.eUFxyfr(06Y/ +}{&ժs2F1(T5jrCz%}ǯ"ogR_^. gB@|ꩌ# +"Cg:!e +!{]KQ^=\aI?֮obI,'k-y'@e3hz6͇r{>;t5FuW:F?vrvjk'yC׵-;Mf +&49Tg*CZdxzk)A}4I<&\Ե!4{cW/ǒŵ=n̄>dZF +u3ѱ/< + +-0W$XA]! ȯ K\L1VV,JGޮX(@r@3Rt0]u{ҧ:92z׬LԼ蜅"Q-VQtN[%mdBb$esF gQ:BdHױ']Ch")c^lm3h%Peerߕ@;G+ +lzL+mAL0r-yiz[0=7vl.{Rwkt{ +x}KK,1[9* |Yٮ#Sw;c{"F}}fr&5D 7)/}˺!O*.>7qI߉P@v 94Ѵ+`gv4R̓~8n*+plT!ry r`gF]I2FXbK3k@_QNz)loDq_,KӶorWAe"ڲ +m Hޥ +pW}0\6|t67%!űm))ȫo]N8︲U{&1}5Ί|lЛ"ԉB_ #NmSoUZm=jT7$>tzTΚ${Fohq?4}EW)x% %y.f,֕edݰ0pSOz>t6?5:-3DUoinE| +~gȵap;;>ywGUHR1J ReP}㎼u>tGj, +6x*Xגu<>J==9m/.ZȘ{}5Օhfa\딖4!O)_ySw< bٙ8yaVBtlm +DMssއ0% R)va.:Q>̰_ ۍʚ{y;ޡcWAr/cUmhNHх"VWYM‚ %/ެy'oinPZG\/(%F)z[HLoߙ#hnc=r6s ƎgaMd DXb +=/ NRԕ%Ͱ֒Sci{`Qʲ:TE>ku+ˁf~1EEЏMF\D8>1dQ)”wg{%fNn[amh=;m6 +-1[[l&v&Ѐ7eX^t;xOBSDVJBÚWr¸tDa$`HhNlÖ!=U$-:HY]HzR'cT,l|vתFЌ B:7H's1aS;(.Dgudϩ3[^(52^K_1-o)߽"<4:a懒ޏM|ՕƋ~#~@'E~5X977C [)LkZWabo&}$q]@V'ʀ7d)I }e̮>H +qKR|V:8*<*C/iV IEW +6c-2|aUݜ~); +ȥ. +8Az/`e)/?;gqPHzx1I}G^L6xA=O]k]f(Jn]Xi +f0fcwAGFsg~xdi q8(BҊ'!ѧ^~j]}䥸w@!)kKk8S҆>5u,t1A?V߈4v,A3)L+ +_{2W)@jM),2U3dQű׽h +Y ʖBC +O0 +Z *ɝDx4?ߡLC{$+vEIjA4ޅiI5ok#)ȳUh/SsJmr9ut dE8WWbP}C5pݸ}2ըxގ4Qܣ~?:"GX!\݈U +3sJ|_۸#S;1w|{1 ENw!d MOz!7A^@3{\ΝDp׷ \tms~)-52֙farsIW .jUC'W9Z94;L +xtɀM=U@5UV4]=X?L,-͆noF,Dv +vh հ;$&iw/>ub +v2[zH|ۙJ2ыy!2`}hj `}w;y%r |3fA&ż3[h_NS&xVx&%<|E'ONӮtV%p"9IbOV6F,?8]YAUHa: 2[xM0)zٌ⠱+Y3*XZSFXGX) Rz;">/C4Go4QA*֘'0`ms~E\߲ +endstream +endobj +185 0 obj << +/Type /FontDescriptor +/FontName /JVVDJO+BeraSerif-Roman +/Flags 4 +/FontBBox [-183 -236 1287 928] +/Ascent 765 +/CapHeight 723 +/Descent -222 +/ItalicAngle 0 +/StemV 106 +/XHeight 519 +/CharSet (/A/B/C/D/E/F/G/H/I/J/K/L/M/N/O/P/Q/R/S/T/U/V/W/X/Y/Z/a/b/bullet/c/colon/comma/d/e/eight/endash/equal/f/fi/five/fl/four/g/h/hyphen/i/j/k/l/m/n/nine/o/one/p/parenleft/parenright/period/q/quoteright/r/s/seven/six/slash/t/three/two/u/underscore/v/w/x/y/z/zero) +/FontFile 184 0 R +>> endobj +186 0 obj << +/Length1 1699 +/Length2 5897 +/Length3 0 +/Length 6874 +/Filter /FlateDecode +>> +stream +xڍTu\l %Q K]m +Ftw +ҍ44(!)t# |}}?s}ι{  i SD"\@n^1, #Ց$6qȡ``4ab] @E|B}u3[xy@_@ +0k87 + + +bsLsP$Yh8`C:6h;7=? G; +*7@ +s\`P>Qco;`=!~OՁJ4AXΊp7T(  +C0M3\@^!v3@Ho $l_0cn]MmMe*T@@P8'(P`wbb c`nX<$pĠVH+GؿJ]`Y]`Y]FbP4`Kaֿf? v,@<r v)v  XG0 +Y]+`CWvk3 sw]lvc0@, V cXh+X AzE}0m4pZ{GWXFaux{oc"\.>~! DDAaGkcտ0a07x+"69-]A+o2\o@TAS݆6<'{- Gk$޽h۫^8]۱,^+UU<H2mzfKgԌ_?#7lsdӁuT $o `C c +LWB +& +u;Щ|XU`9UhܙmG,[AsǍXX[9ʥ߹xOL\Ҍ'b`w'&w6w+A}{,/X nz'"֟@ 3?ƺh^7]e*@Nvr4yb~+Q w[eK60MZOKs;UWJ/>N<C" ?հld +Nn<0FQLhmPQ̝COUhU)$oO$rH2kµtV͐m|ᮕڑ=B;z8#?|<LAZ1Sm̸Eq01;+ꑕ[.;jwWbYW%Av +$Pٗ¸a +hm[5AɌ~o]XˇoD^0y+'nsN?+-e ͇K`U}'|գEdJoO/UCh=*JKV>Z|4{^fݰw#G @tZE +iÓ/D͜M8G.{{`I;Cp ;2 +Qbي=<:_jJzE|}/IB؎)q f15֢ c whr3S!JNٿ>HiW]6IOM}땼reVE=mm9[= Y32GEsu#gWf__wt4$-͖yv߃RV^*0]&䲽4@mj'T+tl4y3zMcB;s5}(teu(`h,1lU4J=tb>Emu:GHP^`BCyb +iqAO58H"a]K~'Oh8JiZ-Sňj= 26S( m2 +GȰT6,;+D7kุK1x^дQ7hUuN*}n 'R2th|Zvȱ[ u;ʀiE' z@ |э.>B&Jv|5+bmg+HFQJ:{ +ا YCT,控.9,'3iU;v&+qRKI^KK|OE8NYAOS:M, O}l 0_-즈0L٪:Ntu> Sx엱jf%R +XUzXh14L99A|=i`\"kt@30>)RRIJY3G +)-]_GGf$УVz2Ƴvyow;"6Sj[!/Sv2j_}__q }Ȉ9˾&5~wS]K p(6.{j~{4T~L/;q4k։h4l)1}x~xssF 8oۖ](e$RmŴp9h1BLSk48jޮ0W3e8!gT"Ѩ"I@xhö+2@~1L%ּ?IzFL\Hu ۻ%6sxʪ)󻲊ݣyǮBc?wdj,={1K'~.-=ȅ"RVnF`^&ǟI*#ٱ܃0“PC#&lT@aBe7#9'Cxzb?_&Nˋ-/UJ:5/!yc_~OG\d/j.}h^)~ /=K|N̷> ѳʹ6"H;҈7Q +gc{}pPז4`d?L= YL +0u32z#lpZX*8S+X-ݚ!(I;QJٚ )Z=8ȓ7 XxSQ1<<䉝YP-,) 30/̦/WQ51-wȇ37: yksFS +O%ؽn^,H$`sx9!f3(2aP+h+nhe6'I +7퉴Ԟbb<܃.UniȟЊf}C +薼Fϵ=L27$˳vie? +'H< pVvgZ}18y=q\K|˻noBv}FuHAwhŇ|^еpҠ."˞0y;Q{Z~.G][907#${`E!O~z%er53eT$k<I'9/ljS5N^[ДRw,ccy%R̡'$ rƆ]~T]xΣּ%nC<0BQb!F)'Šîsd=ƅfVO7t9/Gf}:Dq=rPi +Swֶ6Y LX$;>u3w +4|b7Ukc_$k>Fg,<5R!֤%f(5Mw  +ze׌sluCx>h3tz?7t{BM2gdSm||l|zox0yR ļ&ڊvX`(Sg6Yڰya8|/<ȺYD5 ZZVYgE%q}q5LFB7En_V=8F1vyۥ#`D ++鯌Λ +3s%Z\ՌY#rk:^;|UEI$ۻF?14|C|ǪYVN%D'Xʕ + +3pP9ʏלeZ2'֢-UѾ&rH,WÊpͫ2(=]W ):%R T0rׅ +I)Ӫh={E}-c| -5qj'_|Bˊ٥#I[j/c,!4J5T +g9ݍ :# +\|YOf/ ׌v}i0&TZ}@Rh H$8ME`~wJO_w=⋆ +f;K 9vx0]xF3զzѫ;9?9.*3yyFºpPIƁ(ǠҮC0+xD?Zm^! ,mI:Un|39S$QV+w=";H Vk:&?q&:zLD}δpCL(> =쎍 #4]4BLn6pc X|.7h?8*x|9:TMPFx'3f߶:uUy<ŷ$A9 _9㲿@kCFKۘʘ ,wo0\*C( e-0^Ə$ֻKe؛]aE + +-Vr#Qf> u*h3~*92;jՒt68}J7c +6)V/ǴNl]'pO3 $h>xL$mz 5֛wl&)u |{3>('Qa6~mɲ]gPޣId +M!:Os&͉욗Y~Va){G`%z(rmoиDR핦y (5nM!CuMz|*sp +qi.kVČD:+`.q_R&og9VBWDUrrOS7m9Hz'C[MtQl>ZҸ.w1-.9y'Г_gr'H5 ,̉@}xNWrBy&n]p E5=}Dh=xxWuc>e#z7 +(j!8aǗ%nQoeRVO{[`rŭnIT .0M+vUAMNMq}15<ΏuouK)'BO^Xbνrm%c'ORAJR"9Jo0O +endstream +endobj +187 0 obj << +/Type /FontDescriptor +/FontName /TPRPHA+BeraSansMono-Roman +/Flags 4 +/FontBBox [-5 -236 606 928] +/Ascent 771 +/CapHeight 729 +/Descent -222 +/ItalicAngle 0 +/StemV 201 +/XHeight 547 +/CharSet (/A/B/C/D/E/F/G/H/I/K/L/M/N/O/P/Q/R/S/T/U/V/W/X/Y/a/at/c/colon/d/e/eight/f/five/four/g/greater/h/i/less/n/nine/numbersign/o/one/p/parenleft/parenright/period/plus/r/seven/six/slash/t/three/two/underscore/w/zero) +/FontFile 186 0 R +>> endobj +178 0 obj << +/Type /Encoding +/Differences [2/fi/fl 35/numbersign 39/quoteright/parenleft/parenright 43/plus/comma/hyphen/period/slash/zero/one/two/three/four/five/six/seven/eight/nine/colon 60/less/equal/greater 64/at/A/B/C/D/E/F/G/H/I/J/K/L/M/N/O/P/Q/R/S/T/U/V/W/X/Y/Z 95/underscore 97/a/b/c/d/e/f/g/h/i/j/k/l/m/n/o/p/q/r/s/t/u/v/w/x/y/z 149/bullet/endash] +>> endobj +119 0 obj << +/Type /Font +/Subtype /Type1 +/BaseFont /PQKBOS+BeraSerif-Bold +/FontDescriptor 183 0 R +/FirstChar 46 +/LastChar 121 +/Widths 180 0 R +/Encoding 178 0 R +>> endobj +118 0 obj << +/Type /Font +/Subtype /Type1 +/BaseFont /JVVDJO+BeraSerif-Roman +/FontDescriptor 185 0 R +/FirstChar 2 +/LastChar 150 +/Widths 181 0 R +/Encoding 178 0 R +>> endobj +140 0 obj << +/Type /Font +/Subtype /Type1 +/BaseFont /TPRPHA+BeraSansMono-Roman +/FontDescriptor 187 0 R +/FirstChar 35 +/LastChar 119 +/Widths 179 0 R +/Encoding 178 0 R +>> endobj +123 0 obj << +/Type /Pages +/Count 6 +/Parent 188 0 R +/Kids [110 0 R 126 0 R 142 0 R 146 0 R 150 0 R 154 0 R] +>> endobj +161 0 obj << +/Type /Pages +/Count 5 +/Parent 188 0 R +/Kids [158 0 R 163 0 R 167 0 R 171 0 R 175 0 R] +>> endobj +188 0 obj << +/Type /Pages +/Count 11 +/Kids [123 0 R 161 0 R] +>> endobj +189 0 obj << +/Type /Outlines +/First 7 0 R +/Last 107 0 R +/Count 6 +>> endobj +107 0 obj << +/Title 108 0 R +/A 105 0 R +/Parent 189 0 R +/Prev 91 0 R +>> endobj +103 0 obj << +/Title 104 0 R +/A 101 0 R +/Parent 91 0 R +/Prev 99 0 R +>> endobj +99 0 obj << +/Title 100 0 R +/A 97 0 R +/Parent 91 0 R +/Prev 95 0 R +/Next 103 0 R +>> endobj +95 0 obj << +/Title 96 0 R +/A 93 0 R +/Parent 91 0 R +/Next 99 0 R +>> endobj +91 0 obj << +/Title 92 0 R +/A 89 0 R +/Parent 189 0 R +/Prev 71 0 R +/Next 107 0 R +/First 95 0 R +/Last 103 0 R +/Count -3 +>> endobj +87 0 obj << +/Title 88 0 R +/A 85 0 R +/Parent 71 0 R +/Prev 83 0 R +>> endobj +83 0 obj << +/Title 84 0 R +/A 81 0 R +/Parent 71 0 R +/Prev 79 0 R +/Next 87 0 R +>> endobj +79 0 obj << +/Title 80 0 R +/A 77 0 R +/Parent 71 0 R +/Prev 75 0 R +/Next 83 0 R +>> endobj +75 0 obj << +/Title 76 0 R +/A 73 0 R +/Parent 71 0 R +/Next 79 0 R +>> endobj +71 0 obj << +/Title 72 0 R +/A 69 0 R +/Parent 189 0 R +/Prev 15 0 R +/Next 91 0 R +/First 75 0 R +/Last 87 0 R +/Count -4 +>> endobj +67 0 obj << +/Title 68 0 R +/A 65 0 R +/Parent 15 0 R +/Prev 63 0 R +>> endobj +63 0 obj << +/Title 64 0 R +/A 61 0 R +/Parent 15 0 R +/Prev 59 0 R +/Next 67 0 R +>> endobj +59 0 obj << +/Title 60 0 R +/A 57 0 R +/Parent 15 0 R +/Prev 55 0 R +/Next 63 0 R +>> endobj +55 0 obj << +/Title 56 0 R +/A 53 0 R +/Parent 15 0 R +/Prev 51 0 R +/Next 59 0 R +>> endobj +51 0 obj << +/Title 52 0 R +/A 49 0 R +/Parent 15 0 R +/Prev 47 0 R +/Next 55 0 R +>> endobj +47 0 obj << +/Title 48 0 R +/A 45 0 R +/Parent 15 0 R +/Prev 43 0 R +/Next 51 0 R +>> endobj +43 0 obj << +/Title 44 0 R +/A 41 0 R +/Parent 15 0 R +/Prev 39 0 R +/Next 47 0 R +>> endobj +39 0 obj << +/Title 40 0 R +/A 37 0 R +/Parent 15 0 R +/Prev 35 0 R +/Next 43 0 R +>> endobj +35 0 obj << +/Title 36 0 R +/A 33 0 R +/Parent 15 0 R +/Prev 31 0 R +/Next 39 0 R +>> endobj +31 0 obj << +/Title 32 0 R +/A 29 0 R +/Parent 15 0 R +/Prev 27 0 R +/Next 35 0 R +>> endobj +27 0 obj << +/Title 28 0 R +/A 25 0 R +/Parent 15 0 R +/Prev 23 0 R +/Next 31 0 R +>> endobj +23 0 obj << +/Title 24 0 R +/A 21 0 R +/Parent 15 0 R +/Prev 19 0 R +/Next 27 0 R +>> endobj +19 0 obj << +/Title 20 0 R +/A 17 0 R +/Parent 15 0 R +/Next 23 0 R +>> endobj +15 0 obj << +/Title 16 0 R +/A 13 0 R +/Parent 189 0 R +/Prev 11 0 R +/Next 71 0 R +/First 19 0 R +/Last 67 0 R +/Count -13 +>> endobj +11 0 obj << +/Title 12 0 R +/A 9 0 R +/Parent 189 0 R +/Prev 7 0 R +/Next 15 0 R +>> endobj +7 0 obj << +/Title 8 0 R +/A 5 0 R +/Parent 189 0 R +/Next 11 0 R +>> endobj +190 0 obj << +/Names [(Doc-Start) 117 0 R (Item.1) 120 0 R (Item.10) 135 0 R (Item.11) 136 0 R (Item.12) 137 0 R (Item.13) 138 0 R] +/Limits [(Doc-Start) (Item.13)] +>> endobj +191 0 obj << +/Names [(Item.14) 139 0 R (Item.2) 121 0 R (Item.3) 122 0 R (Item.4) 129 0 R (Item.5) 130 0 R (Item.6) 131 0 R] +/Limits [(Item.14) (Item.6)] +>> endobj +192 0 obj << +/Names [(Item.7) 132 0 R (Item.8) 133 0 R (Item.9) 134 0 R (page.1) 116 0 R (page.10) 173 0 R (page.11) 177 0 R] +/Limits [(Item.7) (page.11)] +>> endobj +193 0 obj << +/Names [(page.2) 128 0 R (page.3) 144 0 R (page.4) 148 0 R (page.5) 152 0 R (page.6) 156 0 R (page.7) 160 0 R] +/Limits [(page.2) (page.7)] +>> endobj +194 0 obj << +/Names [(page.8) 165 0 R (page.9) 169 0 R (section.1) 6 0 R (section.2) 10 0 R (section.3) 14 0 R (section.4) 70 0 R] +/Limits [(page.8) (section.4)] +>> endobj +195 0 obj << +/Names [(section.5) 90 0 R (section.6) 106 0 R (subsection.3.1) 18 0 R (subsection.3.10) 54 0 R (subsection.3.11) 58 0 R (subsection.3.12) 62 0 R] +/Limits [(section.5) (subsection.3.12)] +>> endobj +196 0 obj << +/Names [(subsection.3.13) 66 0 R (subsection.3.2) 22 0 R (subsection.3.3) 26 0 R (subsection.3.4) 30 0 R (subsection.3.5) 34 0 R (subsection.3.6) 38 0 R] +/Limits [(subsection.3.13) (subsection.3.6)] +>> endobj +197 0 obj << +/Names [(subsection.3.7) 42 0 R (subsection.3.8) 46 0 R (subsection.3.9) 50 0 R (subsection.4.1) 74 0 R (subsection.4.2) 78 0 R (subsection.4.3) 82 0 R] +/Limits [(subsection.3.7) (subsection.4.3)] +>> endobj +198 0 obj << +/Names [(subsection.4.4) 86 0 R (subsection.5.1) 94 0 R (subsection.5.2) 98 0 R (subsection.5.3) 102 0 R] +/Limits [(subsection.4.4) (subsection.5.3)] +>> endobj +199 0 obj << +/Kids [190 0 R 191 0 R 192 0 R 193 0 R 194 0 R 195 0 R] +/Limits [(Doc-Start) (subsection.3.12)] +>> endobj +200 0 obj << +/Kids [196 0 R 197 0 R 198 0 R] +/Limits [(subsection.3.13) (subsection.5.3)] +>> endobj +201 0 obj << +/Kids [199 0 R 200 0 R] +/Limits [(Doc-Start) (subsection.5.3)] +>> endobj +202 0 obj << +/Dests 201 0 R +>> endobj +203 0 obj << +/Type /Catalog +/Pages 188 0 R +/Outlines 189 0 R +/Names 202 0 R +/PageMode/UseOutlines +/OpenAction 109 0 R +>> endobj +204 0 obj << +/Author()/Title()/Subject()/Creator(LaTeX with hyperref package)/Producer(pdfTeX-1.40.3)/Keywords() +/CreationDate (D:20080727184113+02'00') +/ModDate (D:20080727184113+02'00') +/Trapped /False +/PTEX.Fullbanner (This is pdfTeX using libpoppler, Version 3.141592-1.40.3-2.2 (Web2C 7.5.6) kpathsea version 3.5.6) +>> endobj +xref +0 205 +0000000001 65535 f +0000000002 00000 f +0000000003 00000 f +0000000004 00000 f +0000000000 00000 f +0000000015 00000 n +0000149704 00000 n +0000204894 00000 n +0000000060 00000 n +0000000090 00000 n +0000149759 00000 n +0000204808 00000 n +0000000135 00000 n +0000000164 00000 n +0000152781 00000 n +0000204682 00000 n +0000000210 00000 n +0000000240 00000 n +0000152837 00000 n +0000204608 00000 n +0000000291 00000 n +0000000315 00000 n +0000152893 00000 n +0000204521 00000 n +0000000366 00000 n +0000000397 00000 n +0000152949 00000 n +0000204434 00000 n +0000000448 00000 n +0000000471 00000 n +0000155081 00000 n +0000204347 00000 n +0000000522 00000 n +0000000545 00000 n +0000155136 00000 n +0000204260 00000 n +0000000596 00000 n +0000000620 00000 n +0000157918 00000 n +0000204173 00000 n +0000000671 00000 n +0000000706 00000 n +0000157974 00000 n +0000204086 00000 n +0000000757 00000 n +0000000792 00000 n +0000160615 00000 n +0000203999 00000 n +0000000843 00000 n +0000000882 00000 n +0000160671 00000 n +0000203912 00000 n +0000000933 00000 n +0000000972 00000 n +0000163003 00000 n +0000203825 00000 n +0000001024 00000 n +0000001046 00000 n +0000164663 00000 n +0000203738 00000 n +0000001098 00000 n +0000001125 00000 n +0000170459 00000 n +0000203651 00000 n +0000001177 00000 n +0000001210 00000 n +0000170515 00000 n +0000203577 00000 n +0000001262 00000 n +0000001284 00000 n +0000173003 00000 n +0000203452 00000 n +0000001330 00000 n +0000001367 00000 n +0000173059 00000 n +0000203378 00000 n +0000001418 00000 n +0000001457 00000 n +0000173115 00000 n +0000203291 00000 n +0000001508 00000 n +0000001548 00000 n +0000173171 00000 n +0000203204 00000 n +0000001599 00000 n +0000001637 00000 n +0000173227 00000 n +0000203130 00000 n +0000001688 00000 n +0000001721 00000 n +0000174956 00000 n +0000203003 00000 n +0000001767 00000 n +0000001807 00000 n +0000175012 00000 n +0000202929 00000 n +0000001858 00000 n +0000001895 00000 n +0000175068 00000 n +0000202840 00000 n +0000001946 00000 n +0000001983 00000 n +0000175124 00000 n +0000202763 00000 n +0000002035 00000 n +0000002071 00000 n +0000175181 00000 n +0000202685 00000 n +0000002118 00000 n +0000002145 00000 n +0000003210 00000 n +0000003349 00000 n +0000149419 00000 n +0000008688 00000 n +0000149985 00000 n +0000002197 00000 n +0000149592 00000 n +0000149648 00000 n +0000201970 00000 n +0000201800 00000 n +0000149815 00000 n +0000149872 00000 n +0000149929 00000 n +0000202314 00000 n +0000008083 00000 n +0000153005 00000 n +0000151980 00000 n +0000150119 00000 n +0000152099 00000 n +0000152155 00000 n +0000152211 00000 n +0000152268 00000 n +0000152325 00000 n +0000152382 00000 n +0000152439 00000 n +0000152496 00000 n +0000152553 00000 n +0000152610 00000 n +0000152667 00000 n +0000152724 00000 n +0000202140 00000 n +0000155192 00000 n +0000154906 00000 n +0000153103 00000 n +0000155025 00000 n +0000158028 00000 n +0000157743 00000 n +0000155290 00000 n +0000157862 00000 n +0000160726 00000 n +0000160440 00000 n +0000158126 00000 n +0000160559 00000 n +0000163058 00000 n +0000162828 00000 n +0000160824 00000 n +0000162947 00000 n +0000164719 00000 n +0000164488 00000 n +0000163156 00000 n +0000164607 00000 n +0000202431 00000 n +0000167570 00000 n +0000167395 00000 n +0000164817 00000 n +0000167514 00000 n +0000170571 00000 n +0000170284 00000 n +0000167668 00000 n +0000170403 00000 n +0000173283 00000 n +0000172828 00000 n +0000170669 00000 n +0000172947 00000 n +0000175238 00000 n +0000174781 00000 n +0000173381 00000 n +0000174900 00000 n +0000201432 00000 n +0000175336 00000 n +0000175695 00000 n +0000176022 00000 n +0000176623 00000 n +0000183950 00000 n +0000184319 00000 n +0000193516 00000 n +0000194000 00000 n +0000200994 00000 n +0000202540 00000 n +0000202610 00000 n +0000204966 00000 n +0000205139 00000 n +0000205303 00000 n +0000205468 00000 n +0000205630 00000 n +0000205802 00000 n +0000206012 00000 n +0000206234 00000 n +0000206454 00000 n +0000206627 00000 n +0000206746 00000 n +0000206846 00000 n +0000206932 00000 n +0000206970 00000 n +0000207098 00000 n +trailer +<< /Size 205 +/Root 203 0 R +/Info 204 0 R +/ID [<90EFC5CD6B7B2253FE487B3195AEB8BC> <90EFC5CD6B7B2253FE487B3195AEB8BC>] >> +startxref +207429 +%%EOF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.