OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc
    from Rev 327 to Rev 328
    Reverse comparison

Rev 327 → Rev 328

/trunk/Documents/CPU Instruction Set.htm
51,7 → 51,7
function fnBuildFrameset()
{
var szHTML="<frameset rows=\"*,18\" border=0 width=0 frameborder=no framespacing=0>"+
"<frame src=\""+document.all.item("shLink")[1].href+"\" name=\"frSheet\" noresize>"+
"<frame src=\""+document.all.item("shLink")[0].href+"\" name=\"frSheet\" noresize>"+
"<frameset cols=\"54,*\" border=0 width=0 frameborder=no framespacing=0>"+
"<frame src=\"\" name=\"frScroll\" marginwidth=0 marginheight=0 scrolling=no>"+
"<frame src=\"\" name=\"frTabs\" marginwidth=0 marginheight=0 scrolling=no>"+
312,7 → 312,6
<x:WindowWidth>14805</x:WindowWidth>
<x:WindowTopX>240</x:WindowTopX>
<x:WindowTopY>105</x:WindowTopY>
<x:ActiveSheet>1</x:ActiveSheet>
<x:ProtectStructure>False</x:ProtectStructure>
<x:ProtectWindows>False</x:ProtectWindows>
</x:ExcelWorkbook>
320,7 → 319,7
</head>
 
<frameset rows="*,39" border=0 width=0 frameborder=no framespacing=0>
<frame src="CPU%20Instruction%20Set_files/sheet002.htm" name="frSheet">
<frame src="CPU%20Instruction%20Set_files/sheet001.htm" name="frSheet">
<frame src="CPU%20Instruction%20Set_files/tabstrip.htm" name="frTabs" marginwidth=0 marginheight=0>
<noframes>
<body>
/trunk/Documents/CPU Instruction Set_files/sheet001.htm
1,4 → 1,5
<html xmlns:o="urn:schemas-microsoft-com:office:office"
<html xmlns:v="urn:schemas-microsoft-com:vml"
xmlns:o="urn:schemas-microsoft-com:office:office"
xmlns:x="urn:schemas-microsoft-com:office:excel"
xmlns="http://www.w3.org/TR/REC-html40">
 
43,79 → 44,87
 
<body link=blue vlink=purple>
 
<table border=0 cellpadding=0 cellspacing=0 width=1180 style='border-collapse:
collapse;table-layout:fixed;width:887pt'>
<col width=86 style='mso-width-source:userset;mso-width-alt:3145;width:65pt'>
<table border=0 cellpadding=0 cellspacing=0 width=1406 style='border-collapse:
collapse;table-layout:fixed;width:1056pt'>
<col width=98 style='mso-width-source:userset;mso-width-alt:3584;width:74pt'>
<col class=xl67 width=74 style='mso-width-source:userset;mso-width-alt:2706;
width:56pt'>
<col class=xl67 width=61 style='mso-width-source:userset;mso-width-alt:2230;
width:46pt'>
<col class=xl66 width=64 style='width:48pt'>
<col class=xl68 width=670 style='mso-width-source:userset;mso-width-alt:24502;
width:503pt'>
<col class=xl66 width=138 style='mso-width-source:userset;mso-width-alt:5046;
width:104pt'>
<col class=xl112 width=140 style='mso-width-source:userset;mso-width-alt:5120;
width:105pt'>
<col width=64 style='width:48pt'>
<col width=97 style='mso-width-source:userset;mso-width-alt:3547;width:73pt'>
<col width=679 style='mso-width-source:userset;mso-width-alt:24832;width:509pt'>
<col class=xl65 width=64 style='width:48pt'>
<col width=88 style='mso-width-source:userset;mso-width-alt:3218;width:66pt'>
<tr height=35 style='height:26.25pt'>
<td height=35 width=86 style='height:26.25pt;width:65pt'></td>
<td height=35 width=98 style='height:26.25pt;width:74pt'></td>
<td class=xl67 width=74 style='width:56pt'></td>
<td class=xl67 width=61 style='width:46pt'></td>
<td class=xl106 colspan=2 width=734 style='mso-ignore:colspan;width:551pt'>Open8
Instruction Set</td>
<td class=xl66 width=138 style='width:104pt'></td>
<td class=xl111 width=140 style='width:105pt'>Open8 Instruction Set</td>
<td width=64 style='width:48pt'></td>
<td width=97 style='width:73pt'></td>
<td width=679 style='width:509pt'></td>
<td class=xl65 width=64 style='width:48pt'></td>
<td width=88 style='width:66pt'></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 style='height:15.0pt'></td>
<td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
<td class=xl66></td>
<td class=xl68></td>
<td class=xl112></td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
<td></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl69 style='height:15.0pt'>Instruction</td>
<td class=xl70>Opcode</td>
<td class=xl70>Operand</td>
<td class=xl70>Sub-Op</td>
<td class=xl110>Operand 1</td>
<td class=xl113 width=140 style='width:105pt'>Operand 2</td>
<td class=xl71>Flags</td>
<td class=xl72 width=670 style='width:503pt'>Description</td>
<td class=xl72 width=679 style='width:509pt'>Description</td>
<td></td>
<td class=xl105>Legend</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>INC R0-07</td>
<td class=xl77 style='border-top:none;border-left:none'>00000</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-left:none'>-</td>
<td class=xl77 style='border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Increment
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Increment
Register (Rn + 1 -&gt; Rn)</td>
<td></td>
<td class=xl76>ALU/MATH</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>ADC R0-07</td>
<td class=xl77 style='border-top:none;border-left:none'>00001</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Add
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Add
with Carry (Rn + R0 + C -&gt; R0)</td>
<td></td>
<td class=xl79 style='border-top:none'>LOAD/STORE</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>TX0 R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>00010</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Transfer
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Transfer
Rn to R0 (Rn -&gt; R0)</td>
<td></td>
<td class=xl82 style='border-top:none'>BRANCH</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>OR<span
122,64 → 131,72
 
<td class=xl77 style='border-top:none;border-left:none'>00011</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Logical
OR of Rn and R0 (Rn | R0 -&gt; R0)</td>
<td></td>
<td class=xl94 style='border-top:none'>STACK/FLOW</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>AND R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>00100</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Logical
AND of Rn and R0 (Rn &amp; R0 -&gt; R0)</td>
<td></td>
<td class=xl104 style='border-top:none'>SOFT INTS</td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>CLR R0</td>
<td class=xl77 style='border-top:none;border-left:none'>00101</td>
<td class=xl77 style='border-top:none;border-left:none'>000</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Special
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Special
case of XOR (R0^R0 -&gt; R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>XOR R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>00101</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Logical
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Logical
XOR or Rn and R0 (Rn ^ R0 -&gt; R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=60 style='height:45.0pt'>
<td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROL R0-R7</td>
<td class=xl89 style='border-top:none;border-left:none'>00110</td>
<td class=xl89 style='border-top:none;border-left:none'>xxx</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Rotate
Rn Left - Exact behavior is configurable through the generic
&quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
 
-&gt; Rn ; Rn(7) -&gt; C. If the generic is set TRUE, the carry bit is both
excluded from the rotation as well as not altered: Rn(6:0)&amp;Rn(7) -&gt; C.</td>
excluded from the rotation as well as not altered: Rn(6:0)&amp;Rn(7) -&gt;
Rn.</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=60 style='height:45.0pt'>
<td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROR R0-R7</td>
<td class=xl89 style='border-top:none;border-left:none'>00111</td>
<td class=xl89 style='border-top:none;border-left:none'>xxx</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Rotate
Rn Right - Exact behavior is configurable through the generic
&quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
in the rotation: C &amp; Rn(7:1) -&gt; Rn ; Rn(0) -&gt; C. If the generic is
186,227 → 203,248
set TRUE, the carry bit is both excluded from the rotation as well as not
altered: Rn(0)&amp;Rn(7:1)-&gt; Rn.</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>DEC R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>01000</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Decrement
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Decrement
Rn (Rn - 1 -&gt; Rn)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>SBC R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>01001</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Subtract
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Subtract
Rn from R0 with carry (R0 - Rn - C -&gt; R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>ADD R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>01010</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Add
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Add
Rn and R0 (R0 + Rn -&gt; R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_Z</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>000</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>Z</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
the ZERO flag in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_C</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>001</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>C</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
the CARRY flag in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_N</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>010</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>N</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
the NEGATIVE bit in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_I</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>011</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>I</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>set
the INTERRUPT bit in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP4</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>100</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>4</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
General Purpose Flag 1 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP5</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>101</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>5</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
General Purpose Flag 2 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP6</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>110</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>6</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
General Purpose Flag 3 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>STP PSR_GP7</td>
<td class=xl89 style='border-top:none;border-left:none'>01011</td>
<td class=xl89 style='border-top:none;border-left:none'>111</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>7</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Set
General Purpose Flag 4 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>BTT 0-7</td>
<td class=xl77 style='border-top:none;border-left:none'>01100</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Test
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Test
the nth bit in R0 (!R0(n) -&gt; Z, R0(7) -&gt; N)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_Z</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>000</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>Z</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
the ZERO flag in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_C</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>001</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>C</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
the CARRY flag in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_N</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>010</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>N</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
the NEGATIVE bit in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_I</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>011</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>I</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
the INTERRUPT bit in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP4</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>100</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>4</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
General Purpose Flag 1 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP5</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>101</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>5</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
General Purpose Flag 2 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP6</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>110</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>6</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
General Purpose Flag 3 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl88 style='height:15.0pt;border-top:none'>CLP PSR_GP7</td>
<td class=xl89 style='border-top:none;border-left:none'>01101</td>
<td class=xl89 style='border-top:none;border-left:none'>111</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>-</td>
<td class=xl89 style='border-top:none;border-left:none'>7</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Clear
<td class=xl90 width=679 style='border-top:none;border-left:none;width:509pt'>Clear
General Purpose Flag 4 in the status register</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>T0X R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>01110</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Transfer
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Transfer
R0 to Rn (R0 -&gt; Rn)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>CMP R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>01111</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Compare
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Compare
R0 to Rn (Performs R0 - Rn, but only sets flags)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl91 style='height:15.0pt;border-top:none'>PSH R0-R7</td>
413,190 → 451,209
<td class=xl92 style='border-top:none;border-left:none'>10000</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Push
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Push
Rn to the stack</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl91 style='height:15.0pt;border-top:none'>POP R0-R7</td>
<td class=xl92 style='border-top:none;border-left:none'>10001</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Pop
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Pop
Rn from the stack</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNZ</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>000</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the ZERO flag is NOT set (Branch on Not Zero)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNC</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>001</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the CARRY flag is NOT set (Branch on Not Carry)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNN</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>010</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the NEGATIVE flag is NOT set (Branch on Not Negative)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNI</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>011</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the INTERRUPT flag is NOT set (Branch on Not Interrupt)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP4</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>100</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 4 is NOT set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP5</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>101</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 5 is NOT set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP6</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>110</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 6 is NOT set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl85 style='height:15.0pt;border-top:none'>BNGP7</td>
<td class=xl86 style='border-top:none;border-left:none'>10010</td>
<td class=xl86 style='border-top:none;border-left:none'>111</td>
<td class=xl86 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl115 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl86 style='border-top:none;border-left:none'>-</td>
<td class=xl87 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl87 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 7 is NOT set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRZ</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>000</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the ZERO flag is set (Branch on Zero)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRC</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>001</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the CARRY flag is set (Branch on Carry)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRN</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>010</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the NEGATIVE flag is set (Branch on Negative)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRI</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>011</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if the INTERRUPT flag is set (Branch on Interrupt)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP4</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>100</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 4 is set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP5</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>101</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 5 is set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP6</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>110</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 6 is set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl82 style='height:15.0pt;border-top:none'>BRGP7</td>
<td class=xl83 style='border-top:none;border-left:none'>10011</td>
<td class=xl83 style='border-top:none;border-left:none'>111</td>
<td class=xl83 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl116 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl83 style='border-top:none;border-left:none'>-</td>
<td class=xl84 width=670 style='border-top:none;border-left:none;width:503pt'>Branch
<td class=xl84 width=679 style='border-top:none;border-left:none;width:509pt'>Branch
if General Purpose Flag 7 is set</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl97 style='height:15.0pt;border-top:none'>DBNZ R0-R7</td>
<td class=xl98 style='border-top:none;border-left:none'>10100</td>
<td class=xl98 style='border-top:none;border-left:none'>xxx</td>
<td class=xl98 style='border-top:none;border-left:none'>Signed Branch Offset</td>
<td class=xl121 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl98 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl99 width=670 style='border-top:none;border-left:none;width:503pt'>Decrement
<td class=xl99 width=679 style='border-top:none;border-left:none;width:509pt'>Decrement
and Branch if Not Zero (Rn - 1 -&gt; Rn)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl100 style='height:15.0pt;border-top:none'>INT 0-7</td>
603,21 → 660,23
<td class=xl101 style='border-top:none;border-left:none'>10101</td>
<td class=xl101 style='border-top:none;border-left:none'>xxx</td>
<td class=xl101 style='border-top:none;border-left:none'>-</td>
<td class=xl102 width=670 style='border-top:none;border-left:none;width:503pt'>Trigger
<td class=xl117 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl101 style='border-top:none;border-left:none'>-</td>
<td class=xl102 width=679 style='border-top:none;border-left:none;width:509pt'>Trigger
Interrupt n (Triggers the specified interrupt, then pauses the processor
until the ISR executes)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>MUL R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>10110</td>
<td class=xl103 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl114 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Multiply
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Multiply
Rn by R0 with results stored in R1:R0 (Rn * R0 -&gt; R1:R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>RSP</td>
624,11 → 683,12
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>000</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Reset
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Reset
(or Retrieve/Relocate) the Stack Pointer <font class="font5">(See CPU options
for exact behavior)</font></td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>RTS</td>
635,11 → 695,12
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>001</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Return
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Return
from Subroutine (only pops the return address and jumps - does not affect
flags)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>RTI</td>
646,30 → 707,34
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>010</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Return
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Return
from Interrupt (restores both the address and the status register)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>BRK/WAI</td>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>BRK/NOP/WAI</td>
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>011</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Break
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Break
or Wait for Interrupt (See CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>JMP</td>
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>100</td>
<td class=xl95 style='border-top:none;border-left:none'>Address Low</td>
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>Address
High</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Jump
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Jump
to Address (Address is assumed to be stored after the instruction)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>SMSK</td>
676,10 → 741,11
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>101</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Set
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Set
the Interrupt Mask to the contents of R0 (R0 -&gt; MASK)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl94 style='height:15.0pt;border-top:none'>GMSK</td>
686,134 → 752,151
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>110</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Get
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Get
the Interrupt Mask and store to R0 (MASK -&gt; R0)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=21 style='mso-height-source:userset;height:15.75pt'>
<td height=21 class=xl94 style='height:15.75pt;border-top:none'>JSR</td>
<td class=xl95 style='border-top:none;border-left:none'>10111</td>
<td class=xl95 style='border-top:none;border-left:none'>111</td>
<td class=xl95 style='border-top:none;border-left:none'>Address Low</td>
<td class=xl118 width=140 style='border-top:none;border-left:none;width:105pt'>Address
High</td>
<td class=xl95 style='border-top:none;border-left:none'>-</td>
<td class=xl96 width=670 style='border-top:none;border-left:none;width:503pt'>Jump
<td class=xl96 width=679 style='border-top:none;border-left:none;width:509pt'>Jump
to Subroutine (pushes the return address, then jumps to the address specified
in subsequent bytes)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>UPP R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>11000</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>-</td>
<td class=xl114 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl77 style='border-top:none;border-left:none'>C</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Increment
<td class=xl78 width=679 style='border-top:none;border-left:none;width:509pt'>Increment
Register Pair (Rn+1:Rn + 1 -&gt; Rn+1:Rn)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl79 style='height:15.0pt;border-top:none'>STA R0-R7</td>
<td class=xl80 style='border-top:none;border-left:none'>11001</td>
<td class=xl80 style='border-top:none;border-left:none'>xxx</td>
<td class=xl80 style='border-top:none;border-left:none'>Address Low</td>
<td class=xl119 width=140 style='border-top:none;border-left:none;width:105pt'>Address
High</td>
<td class=xl80 style='border-top:none;border-left:none'>-</td>
<td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
<td class=xl81 width=679 style='border-top:none;border-left:none;width:509pt'>Store
Rn to Fixed Address (Address follows instruction)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl79 style='height:15.0pt;border-top:none'>STX R0-R7(+)</td>
<td class=xl80 style='border-top:none;border-left:none'>11010</td>
<td class=xl80 style='border-top:none;border-left:none'>xxx</td>
<td class=xl80 style='border-top:none;border-left:none'>-</td>
<td class=xl119 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl80 style='border-top:none;border-left:none'>(C*)</td>
<td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
<td class=xl81 width=679 style='border-top:none;border-left:none;width:509pt'>Store
R0 to Address referenced by Rn+1:Rn (See CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl79 style='height:15.0pt;border-top:none'>STO R0-R7(+)</td>
<tr height=40 style='height:30.0pt'>
<td height=40 class=xl79 style='height:30.0pt;border-top:none'>STO R0-R7(+)</td>
<td class=xl80 style='border-top:none;border-left:none'>11011</td>
<td class=xl80 style='border-top:none;border-left:none'>xxx</td>
<td class=xl80 style='border-top:none;border-left:none'>Address Offset</td>
<td class=xl119 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl80 style='border-top:none;border-left:none'>(C*)</td>
<td class=xl81 width=670 style='border-top:none;border-left:none;width:503pt'>Store
R0 to Rn+1:Rn + Offset (Offset is stored in subsequent byte) (See CPU options
for exact behavior)</td>
<td class=xl81 width=679 style='border-top:none;border-left:none;width:509pt'>Store
R0 to Address referenced by Rn+1:Rn + Offset (Offset is stored in subsequent
byte) (See CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDI R0-R7</td>
<td class=xl92 style='border-top:none;border-left:none'>11100</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>Immediate Data</td>
<td class=xl120 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Load
Rn with Immediate Data (IMM -&gt; Rn) (Data is stored in subsequent byte)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDA R0-R7</td>
<td class=xl92 style='border-top:none;border-left:none'>11101</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>Address Low</td>
<td class=xl120 width=140 style='border-top:none;border-left:none;width:105pt'>Address
High</td>
<td class=xl92 style='border-top:none;border-left:none'>N,Z</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Load
Rn from Fixed Address (Address follows instruction)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl91 style='height:15.0pt;border-top:none'>LDX R0-R7(+)</td>
<td class=xl92 style='border-top:none;border-left:none'>11110</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>-</td>
<td class=xl120 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Load
R0 from Address referenced by Rn+1:Rn (See CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=40 style='height:30.0pt'>
<td height=40 class=xl91 style='height:30.0pt;border-top:none'>LDO R0-R7(+)</td>
<td class=xl92 style='border-top:none;border-left:none'>11111</td>
<td class=xl92 style='border-top:none;border-left:none'>xxx</td>
<td class=xl92 style='border-top:none;border-left:none'>Address Offset</td>
<td class=xl120 width=140 style='border-top:none;border-left:none;width:105pt'>-</td>
<td class=xl92 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl93 width=670 style='border-top:none;border-left:none;width:503pt'>Load
R0 from Address referenced by Rn+1:Rn plus an offset<span
<td class=xl93 width=679 style='border-top:none;border-left:none;width:509pt'>Load
R0 from Address referenced by Rn+1:Rn + Offset<span
 
CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 style='height:15.0pt'></td>
<td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
<td class=xl66></td>
<td class=xl68></td>
<td class=xl112></td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
<td></td>
</tr>
<tr height=60 style='height:45.0pt'>
<td height=60 style='height:45.0pt'></td>
<td colspan=2 class=xl67 style='mso-ignore:colspan'></td>
<td class=xl66></td>
<td class=xl68 width=670 style='width:503pt'>Note - Indexed instructions with
(+) after the operand optionally allow for auto-incrementing of the register
pair. For example, LDX R4++ is equivalent to LDX R5 when auto-incrementing is
turned on) See CPU options for exact behavior)</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl112></td>
<td></td>
<td class=xl122 width=679 style='width:509pt'>Note - Indexed instructions
with (+) after the operand optionally allow for auto-incrementing of the
register pair. For example, LDX R4++ is equivalent to LDX R5 when
auto-incrementing is turned on) See CPU options for exact behavior)</td>
<td class=xl65></td>
<td></td>
</tr>
<![if supportMisalignedColumns]>
<tr height=0 style='display:none'>
<td width=86 style='width:65pt'></td>
<td width=98 style='width:74pt'></td>
<td width=74 style='width:56pt'></td>
<td width=61 style='width:46pt'></td>
<td width=138 style='width:104pt'></td>
<td width=140 style='width:105pt'></td>
<td width=64 style='width:48pt'></td>
<td width=670 style='width:503pt'></td>
<td width=679 style='width:509pt'></td>
<td width=64 style='width:48pt'></td>
<td width=97 style='width:73pt'></td>
<td width=64 style='width:48pt'></td>
<td width=88 style='width:66pt'></td>
</tr>
<![endif]>
</table>
/trunk/Documents/CPU Instruction Set_files/sheet002.htm
55,7 → 55,7
<tr height=35 style='height:26.25pt'>
<td height=35 width=185 style='height:26.25pt;width:139pt'></td>
<td width=103 style='width:77pt'></td>
<td class=xl107 colspan=2 width=954 style='mso-ignore:colspan;width:716pt'>Open8
<td class=xl106 colspan=2 width=954 style='mso-ignore:colspan;width:716pt'>Open8
CPU Core Generics</td>
</tr>
<tr height=20 style='height:15.0pt'>
101,17 → 101,18
depending on the status of the PSR_GP4 (PSR_S) flag.</td>
</tr>
<tr height=80 style='height:60.0pt'>
<td height=80 class=xl108 style='height:60.0pt;border-top:none'>Enable_Auto_Increment</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>If
<td height=80 class=xl107 style='height:60.0pt;border-top:none'>Enable_Auto_Increment</td>
<td class=xl107 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl108 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>If
true, indexed instructions such as LDX, LDO, STX, STO will automatically
increment if an odd register is specified. The effect is similar to a normal
indexed instruction followed by an UPP instruction on the same register pair.
For example, LDX R5 (or LDX R4++) will result in R0 getting the data stored
at the address specified by R5:R4. Afterwards, the register pair R5:R4 will
be incremented by 1. If false, specifying either register in a register pair
will result in normal behavior.</td>
post-increment if an odd register is specified. The effect is similar to a
normal indexed instruction followed by an UPP instruction on the same
register pair. For example, LDX R5 (or LDX R4++) will result in R0 getting
the data stored at the address specified by R5:R4. Afterwards, the register
pair R5:R4 will be incremented by 1, possibly setting the PSR_C flag. If
false, specifying either register in a register pair will result in normal
behavior.</td>
</tr>
<tr height=60 style='height:45.0pt'>
<td height=60 class=xl73 style='height:45.0pt;border-top:none'>BRK_Implements_WAI</td>
124,10 → 125,10
flushes the pipeline and executes an extended (5-clock) NOP cycle.</td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl108 style='height:15.0pt;border-top:none'>Enable_NMI</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>TRUE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>Forces
<td height=20 class=xl107 style='height:15.0pt;border-top:none'>Enable_NMI</td>
<td class=xl107 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl108 style='border-top:none;border-left:none'>TRUE</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>Forces
bit 0 of the Interrupt Mask to 1, causing Interrupt 0 to be non-maskable.</td>
</tr>
<tr height=40 style='height:30.0pt'>
141,10 → 142,10
by clearing the I-bit in known interruptable ISRs.</td>
</tr>
<tr height=40 style='height:30.0pt'>
<td height=40 class=xl108 style='height:30.0pt;border-top:none'>RTI_Ignores_GP_Flags</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>If
<td height=40 class=xl107 style='height:30.0pt;border-top:none'>RTI_Ignores_GP_Flags</td>
<td class=xl107 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl108 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>If
set, preserves the general purpose flags GP_PSR4 (PSR_S) to GP_PSR7 on ISR
exit, allowing them to be persistently set by interrupts. The lower four flag
bits are always restored.</td>
160,18 → 161,18
instructions.</td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl108 style='height:15.0pt;border-top:none'>Unsigned_Index_Offsets</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>Determines
<td height=20 class=xl107 style='height:15.0pt;border-top:none'>Unsigned_Index_Offsets</td>
<td class=xl107 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl108 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>Determines
whether the offset calculation for LDO/STO is signed or unsigned. Default
behavior is signed.</td>
</tr>
<tr height=40 style='height:30.0pt'>
<td height=40 class=xl108 style='height:30.0pt;border-top:none'>Rotate_Ignores_Carry</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>By
<td height=40 class=xl107 style='height:30.0pt;border-top:none'>Rotate_Ignores_Carry</td>
<td class=xl107 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl108 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>By
default, the V8 uRISC processor included the carry in rotations, making them
effectively 9-bit rotations. This generic modifies the ALU such that the
rotations work as classically defined and do NOT involve, or alter, the carry
186,10 → 187,10
TRUE)</td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl108 style='height:15.0pt;border-top:none'>Clock_Frequency</td>
<td class=xl108 style='border-top:none;border-left:none'>Real</td>
<td class=xl109 style='border-top:none;border-left:none'>-</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>Clock
<td height=20 class=xl107 style='height:15.0pt;border-top:none'>Clock_Frequency</td>
<td class=xl107 style='border-top:none;border-left:none'>Real</td>
<td class=xl108 style='border-top:none;border-left:none'>-</td>
<td class=xl109 width=893 style='border-top:none;border-left:none;width:670pt'>Clock
frequency in Hz of the CPU clock. Used to configure the 1Mhz/1uSec tick pulse</td>
</tr>
<![if supportMisalignedColumns]>
/trunk/Documents/CPU Instruction Set_files/stylesheet.css
289,16 → 289,17
{mso-style-parent:style0;
font-size:20.0pt;
font-weight:700;
mso-number-format:"\@";
text-align:left;}
.xl107
{mso-style-parent:style0;
font-size:20.0pt;
font-weight:700;
text-align:left;}
color:windowtext;
border:.5pt solid windowtext;
background:#EAF1DD;
mso-pattern:black none;}
.xl108
{mso-style-parent:style0;
color:windowtext;
text-align:center;
border:.5pt solid windowtext;
background:#EAF1DD;
mso-pattern:black none;}
305,14 → 306,87
.xl109
{mso-style-parent:style0;
color:windowtext;
text-align:center;
border:.5pt solid windowtext;
background:#EAF1DD;
mso-pattern:black none;}
mso-pattern:black none;
white-space:normal;}
.xl110
{mso-style-parent:style0;
color:windowtext;
font-weight:700;
mso-number-format:"\@";
text-align:center;}
.xl111
{mso-style-parent:style0;
font-size:20.0pt;
font-weight:700;
mso-number-format:"\@";
text-align:center;}
.xl112
{mso-style-parent:style0;
text-align:center;
white-space:normal;}
.xl113
{mso-style-parent:style0;
font-weight:700;
text-align:center;
white-space:normal;}
.xl114
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#EAF1DD;
mso-pattern:black none;
white-space:normal;}
.xl115
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#B6DDE8;
mso-pattern:black none;
white-space:normal;}
.xl116
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#DBEEF3;
mso-pattern:black none;
white-space:normal;}
.xl117
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#FAC090;
mso-pattern:black none;
white-space:normal;}
.xl118
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#CCC0DA;
mso-pattern:black none;
white-space:normal;}
.xl119
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#F2DDDC;
mso-pattern:black none;
white-space:normal;}
.xl120
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#E6B9B8;
mso-pattern:black none;
white-space:normal;}
.xl121
{mso-style-parent:style0;
text-align:center;
border:.5pt solid windowtext;
background:#93CDDD;
mso-pattern:black none;
white-space:normal;}
.xl122
{mso-style-parent:style0;
text-align:left;
white-space:normal;}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.