OpenCores
URL https://opencores.org/ocsvn/openverifla/openverifla/trunk

Subversion Repositories openverifla

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openverifla
    from Rev 46 to Rev 47
    Reverse comparison

Rev 46 → Rev 47

/trunk/openverifla_2.4/verilog/verifla/common_internal_verifla.v
13,7 → 13,6
//16'hff00;
//{LA_DATA_INPUT_WORDLEN_BITS{1'b1}};
 
parameter LA_MEM_CLEAN_BEFORE_RUN=0;
parameter LA_IDENTICAL_SAMPLES_BITS=8;
parameter LA_MEM_WORDLEN_BITS=(LA_DATA_INPUT_WORDLEN_BITS+LA_IDENTICAL_SAMPLES_BITS);
parameter LA_MEM_WORDLEN_OCTETS=((LA_MEM_WORDLEN_BITS+7)/8);
34,9 → 33,6
/*
Reserved mem words:
LA_MEM_EMPTY_SLOT which represents an empty and not used memory slot.
It has sense if LA_MEM_CLEAN_BEFORE_RUN and
a) when the trigger event arrives before the filling of the full btqueue.
b) after the trigger event and after capturing LA_MAX_SAMPLES_AFTER_TRIGGER
*/
parameter LA_MEM_EMPTY_SLOT={LA_MEM_WORDLEN_BITS{1'b0}};
 
/trunk/openverifla_manual.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.