OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_sg_dma
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/trunk/rtl/tlpControl.vhd
39,36 → 39,36
mbuf_UserFull : IN std_logic;
trn_Blinker : OUT std_logic;
 
-- DCB protocol interface
protocol_link_act : IN std_logic_vector(2-1 downto 0);
protocol_rst : OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act : IN std_logic_vector(2-1 downto 0);
-- protocol_rst : OUT std_logic;
--
-- -- Interrupter triggers
-- DAQ_irq : IN std_logic;
-- CTL_irq : IN std_logic;
-- DLM_irq : IN std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv : OUT std_logic;
-- ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake : OUT std_logic;
-- ctl_tv : IN std_logic;
-- ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop : OUT std_logic;
--
-- ctl_reset : OUT std_logic;
-- ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv : OUT std_logic;
-- dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv : IN std_logic;
-- dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Interrupter triggers
DAQ_irq : IN std_logic;
CTL_irq : IN std_logic;
DLM_irq : IN std_logic;
 
-- Fabric side: CTL Rx
ctl_rv : OUT std_logic;
ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake : OUT std_logic;
ctl_tv : IN std_logic;
ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : OUT std_logic;
 
ctl_reset : OUT std_logic;
ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv : OUT std_logic;
dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv : IN std_logic;
dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Event Buffer FIFO interface
eb_FIFO_we : OUT std_logic;
eb_FIFO_wsof : OUT std_logic;
128,15 → 128,15
DDR_FIFO_Empty : IN std_logic;
DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- Data generator table write
tab_we : OUT std_logic_vector(2-1 downto 0);
tab_wa : OUT std_logic_vector(12-1 downto 0);
tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator table write
-- tab_we : OUT std_logic_vector(2-1 downto 0);
-- tab_wa : OUT std_logic_vector(12-1 downto 0);
-- tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
--
-- DG_is_Running : IN std_logic;
-- DG_Reset : OUT std_logic;
-- DG_Mask : OUT std_logic;
 
DG_is_Running : IN std_logic;
DG_Reset : OUT std_logic;
DG_Mask : OUT std_logic;
 
-- Common interface
trn_clk : IN std_logic;
trn_reset_n : IN std_logic;
371,10 → 371,10
 
Link_Buf_full : IN std_logic;
 
-- Data generator table write
tab_we : OUT std_logic_vector(2-1 downto 0);
tab_wa : OUT std_logic_vector(12-1 downto 0);
tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator table write
-- tab_we : OUT std_logic_vector(2-1 downto 0);
-- tab_wa : OUT std_logic_vector(12-1 downto 0);
-- tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- Interrupt generator signals
IG_Reset : IN std_logic;
501,31 → 501,31
component Regs_Group
port (
 
-- DCB protocol interface
protocol_link_act : IN std_logic_vector(2-1 downto 0);
protocol_rst : OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act : IN std_logic_vector(2-1 downto 0);
-- protocol_rst : OUT std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv : OUT std_logic;
-- ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake : OUT std_logic;
-- ctl_tv : IN std_logic;
-- ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop : OUT std_logic;
--
-- ctl_reset : OUT std_logic;
-- ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv : OUT std_logic;
-- dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv : IN std_logic;
-- dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Rx
ctl_rv : OUT std_logic;
ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake : OUT std_logic;
ctl_tv : IN std_logic;
ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : OUT std_logic;
 
ctl_reset : OUT std_logic;
ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv : OUT std_logic;
dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv : IN std_logic;
dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Event Buffer status
eb_FIFO_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_Rst : OUT std_logic;
616,9 → 616,9
 
-- to Interrupt module
Sys_IRQ : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DAQ_irq : IN std_logic;
CTL_irq : IN std_logic;
DLM_irq : IN std_logic;
-- DAQ_irq : IN std_logic;
-- CTL_irq : IN std_logic;
-- DLM_irq : IN std_logic;
 
-- System error and info
eb_FIFO_ow : IN std_logic;
636,10 → 636,10
IG_Num_Deassert : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
IG_Asserting : IN std_logic;
 
-- Data generator control
DG_is_Running : IN std_logic;
DG_Reset : OUT std_logic;
DG_Mask : OUT std_logic;
-- -- Data generator control
-- DG_is_Running : IN std_logic;
-- DG_Reset : OUT std_logic;
-- DG_Mask : OUT std_logic;
 
-- Common interface
trn_clk : IN std_logic;
1162,10 → 1162,10
Link_Buf_full => Link_Buf_full , -- IN std_logic;
 
 
-- Data generator table write
tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0);
tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0);
tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator table write
-- tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0);
-- tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0);
-- tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- Additional
cfg_dcommand => cfg_dcommand , -- IN std_logic_vector(15 downto 0)
1273,31 → 1273,31
Regs_Group
PORT MAP(
 
-- DCB protocol interface
protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0);
protocol_rst => protocol_rst , -- OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0);
-- protocol_rst => protocol_rst , -- OUT std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv => ctl_rv , -- OUT std_logic;
-- ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake => ctl_ttake , -- OUT std_logic;
-- ctl_tv => ctl_tv , -- IN std_logic;
-- ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop => ctl_tstop , -- OUT std_logic;
--
-- ctl_reset => ctl_reset , -- OUT std_logic;
-- ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv => dlm_tv , -- OUT std_logic;
-- dlm_td => dlm_td , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv => dlm_rv , -- IN std_logic;
-- dlm_rd => dlm_rd , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Rx
ctl_rv => ctl_rv , -- OUT std_logic;
ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake => ctl_ttake , -- OUT std_logic;
ctl_tv => ctl_tv , -- IN std_logic;
ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop => ctl_tstop , -- OUT std_logic;
 
ctl_reset => ctl_reset , -- OUT std_logic;
ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv => dlm_tv , -- OUT std_logic;
dlm_td => dlm_td , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv => dlm_rv , -- IN std_logic;
dlm_rd => dlm_rd , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Event Buffer status + reset
eb_FIFO_Status => eb_FIFO_Status , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_Rst => eb_FIFO_Rst , -- OUT std_logic;
1382,9 → 1382,9
 
-- to Interrupt module
Sys_IRQ => Sys_IRQ , -- OUT std_logic_vector(31 downto 0);
DAQ_irq => DAQ_irq , -- IN std_logic;
CTL_irq => CTL_irq , -- IN std_logic;
DLM_irq => DLM_irq , -- IN std_logic;
-- DAQ_irq => DAQ_irq , -- IN std_logic;
-- CTL_irq => CTL_irq , -- IN std_logic;
-- DLM_irq => DLM_irq , -- IN std_logic;
 
-- System error and info
eb_FIFO_ow => eb_FIFO_ow ,
1402,10 → 1402,10
IG_Num_Deassert => IG_Num_Deassert ,
IG_Asserting => IG_Asserting ,
 
-- Data generator control
DG_is_Running => DG_is_Running ,
DG_Reset => DG_Reset ,
DG_Mask => DG_Mask ,
-- -- Data generator control
-- DG_is_Running => DG_is_Running ,
-- DG_Reset => DG_Reset ,
-- DG_Mask => DG_Mask ,
 
-- Common
trn_clk => trn_clk , -- IN std_logic;
/trunk/rtl/Registers.vhd
36,31 → 36,31
entity Regs_Group is
port (
 
-- DCB protocol interface
protocol_link_act : IN std_logic_vector(2-1 downto 0);
protocol_rst : OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act : IN std_logic_vector(2-1 downto 0);
-- protocol_rst : OUT std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv : OUT std_logic;
-- ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake : OUT std_logic;
-- ctl_tv : IN std_logic;
-- ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop : OUT std_logic;
--
-- ctl_reset : OUT std_logic;
-- ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv : OUT std_logic;
-- dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv : IN std_logic;
-- dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Rx
ctl_rv : OUT std_logic;
ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake : OUT std_logic;
ctl_tv : IN std_logic;
ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : OUT std_logic;
 
ctl_reset : OUT std_logic;
ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv : OUT std_logic;
dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv : IN std_logic;
dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Event Buffer status + reset
eb_FIFO_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
eb_FIFO_Rst : OUT std_logic;
155,9 → 155,9
 
-- to Interrupts Module
Sys_IRQ : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DAQ_irq : IN std_logic;
CTL_irq : IN std_logic;
DLM_irq : IN std_logic;
-- DAQ_irq : IN std_logic;
-- CTL_irq : IN std_logic;
-- DLM_irq : IN std_logic;
 
-- System error and info
Tx_TimeOut : IN std_logic;
174,10 → 174,10
IG_Num_Deassert : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
IG_Asserting : IN std_logic;
 
-- Data generator control
DG_is_Running : IN std_logic;
DG_Reset : OUT std_logic;
DG_Mask : OUT std_logic;
-- -- Data generator control
-- DG_is_Running : IN std_logic;
-- DG_Reset : OUT std_logic;
-- DG_Mask : OUT std_logic;
 
-- Clock and reset
trn_clk : IN std_logic;
190,16 → 190,6
 
architecture Behavioral of Regs_Group is
 
type icapStates is ( icapST_Reset
, icapST_Idle
, icapST_Access
, icapST_Abort
);
 
-- State variables of ICAP
signal FSM_icap : icapStates;
 
 
----------------------------------------------------------------------------
----------------------------------------------------------------------------
signal Regs_WrDin_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
248,10 → 238,10
signal Reg_RdMuxer_Hi : std_logic_vector(C_NUM_OF_ADDRESSES-1 downto 0);
signal Reg_RdMuxer_Lo : std_logic_vector(C_NUM_OF_ADDRESSES-1 downto 0);
 
-- Optical Link status
signal Opto_Link_Status_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal Opto_Link_Status_o_Hi : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal Opto_Link_Status_o_Lo : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Optical Link status
-- signal Opto_Link_Status_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal Opto_Link_Status_o_Hi : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal Opto_Link_Status_o_Lo : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Event Buffer
signal eb_FIFO_Status_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal eb_FIFO_Status_o_Hi : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
306,14 → 296,14
signal Sys_Int_Enable_o_Lo : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
 
-- Data generator control
signal DG_Reset_i : std_logic;
signal DG_Mask_i : std_logic;
signal DG_is_Available : std_logic;
signal DG_Rst_Counter : std_logic_vector(8-1 downto 0);
signal DG_Status_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DG_Status_o_Hi : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DG_Status_o_Lo : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator control
-- signal DG_Reset_i : std_logic;
-- signal DG_Mask_i : std_logic;
-- signal DG_is_Available : std_logic;
-- signal DG_Rst_Counter : std_logic_vector(8-1 downto 0);
-- signal DG_Status_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal DG_Status_o_Hi : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal DG_Status_o_Lo : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- General Control and Status
signal Sys_Error_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
472,27 → 462,27
begin
 
 
DG_is_Available <= '0';
-- DG_is_Available <= '0';
 
-- protocol interface reset
protocol_rst <= protocol_rst_i;
-- -- protocol interface reset
-- protocol_rst <= protocol_rst_i;
--
-- ctl_rv <= ctl_rv_i;
-- ctl_rd <= ctl_rd_i;
--
-- ctl_ttake <= ctl_ttake_i;
-- ctl_tstop <= ctl_tstop_i;
-- ctl_reset <= ctl_reset_i;
--
-- ctl_tstop_i <= '0'; -- ???
--
-- dlm_tv <= dlm_tv_i;
-- dlm_td <= dlm_td_i;
 
ctl_rv <= ctl_rv_i;
ctl_rd <= ctl_rd_i;
-- -- Data generator control
-- DG_Reset <= DG_Reset_i;
-- DG_Mask <= DG_Mask_i;
 
ctl_ttake <= ctl_ttake_i;
ctl_tstop <= ctl_tstop_i;
ctl_reset <= ctl_reset_i;
 
ctl_tstop_i <= '0'; -- ???
 
dlm_tv <= dlm_tv_i;
dlm_td <= dlm_td_i;
 
-- Data generator control
DG_Reset <= DG_Reset_i;
DG_Mask <= DG_Mask_i;
 
-- Event buffer reset
eb_FIFO_Rst <= eb_FIFO_Rst_i;
 
704,17 → 694,17
end if;
end process;
 
-- ----------------------------------------------
-- Synchronous Delay : Opto_Link_Status
--
Synch_Delay_Opto_Link_Status:
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
Opto_Link_Status_i(C_DBUS_WIDTH-1 downto 2) <= (OTHERS=>'0');
Opto_Link_Status_i(2-1 downto 0) <= protocol_link_act;
end if;
end process;
---- ----------------------------------------------
---- Synchronous Delay : Opto_Link_Status
----
-- Synch_Delay_Opto_Link_Status:
-- process ( trn_clk )
-- begin
-- if trn_clk'event and trn_clk = '1' then
-- Opto_Link_Status_i(C_DBUS_WIDTH-1 downto 2) <= (OTHERS=>'0');
-- Opto_Link_Status_i(2-1 downto 0) <= protocol_link_act;
-- end if;
-- end process;
 
-- ----------------------------------------------
-- Synchronous Delay : eb_FIFO_Status
1012,79 → 1002,79
end if;
end process;
 
-- -----------------------------------------------
-- Synchronous Registered: DG_Reset_i
SysReg_DGen_Reset:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
DG_Reset_i <= '1';
DG_Rst_Counter <= (OTHERS=>'0');
---- -----------------------------------------------
---- Synchronous Registered: DG_Reset_i
-- SysReg_DGen_Reset:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- DG_Reset_i <= '1';
-- DG_Rst_Counter <= (OTHERS=>'0');
--
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if DG_Rst_Counter=X"FF" then
-- DG_Rst_Counter <= DG_Rst_Counter;
-- else
-- DG_Rst_Counter <= DG_Rst_Counter + '1';
-- end if;
--
-- if DG_Rst_Counter(7)='0' then
-- DG_Reset_i <= '1';
-- elsif Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
-- then
-- DG_Reset_i <= Command_is_Reset_Hi;
-- elsif Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
-- then
-- DG_Reset_i <= Command_is_Reset_Lo;
-- else
-- DG_Reset_i <= '0';
-- end if;
--
-- end if;
-- end process;
--
---- -----------------------------------------------
---- Synchronous Registered: DG_Mask_i
-- SysReg_DGen_Mask:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- DG_Mask_i <= '0';
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
-- then
-- DG_Mask_i <= Regs_WrDin_r2(32+CINT_BIT_DG_MASK);
-- elsif Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
-- then
-- DG_Mask_i <= Regs_WrDin_r2(CINT_BIT_DG_MASK);
-- else
-- DG_Mask_i <= DG_Mask_i;
-- end if;
--
-- end if;
-- end process;
--
----------------------------------------------------------------------------
---- Data generator status
----
-- Synch_DG_Status_i:
-- process ( trn_clk, DG_Reset_i )
-- begin
-- if DG_Reset_i = '1' then
-- DG_Status_i <= (OTHERS=>'0');
-- elsif trn_clk'event and trn_clk = '1' then
-- DG_Status_i(CINT_BIT_DG_MASK) <= DG_Mask_i;
-- DG_Status_i(CINT_BIT_DG_BUSY) <= DG_is_Running;
-- end if;
-- end process;
 
elsif trn_clk'event and trn_clk = '1' then
 
if DG_Rst_Counter=X"FF" then
DG_Rst_Counter <= DG_Rst_Counter;
else
DG_Rst_Counter <= DG_Rst_Counter + '1';
end if;
 
if DG_Rst_Counter(7)='0' then
DG_Reset_i <= '1';
elsif Regs_WrEn_r2='1'
and Reg_WrMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
then
DG_Reset_i <= Command_is_Reset_Hi;
elsif Regs_WrEn_r2='1'
and Reg_WrMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
then
DG_Reset_i <= Command_is_Reset_Lo;
else
DG_Reset_i <= '0';
end if;
 
end if;
end process;
 
-- -----------------------------------------------
-- Synchronous Registered: DG_Mask_i
SysReg_DGen_Mask:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
DG_Mask_i <= '0';
elsif trn_clk'event and trn_clk = '1' then
 
if Regs_WrEn_r2='1'
and Reg_WrMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
then
DG_Mask_i <= Regs_WrDin_r2(32+CINT_BIT_DG_MASK);
elsif Regs_WrEn_r2='1'
and Reg_WrMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
then
DG_Mask_i <= Regs_WrDin_r2(CINT_BIT_DG_MASK);
else
DG_Mask_i <= DG_Mask_i;
end if;
 
end if;
end process;
 
--------------------------------------------------------------------------
-- Data generator status
--
Synch_DG_Status_i:
process ( trn_clk, DG_Reset_i )
begin
if DG_Reset_i = '1' then
DG_Status_i <= (OTHERS=>'0');
elsif trn_clk'event and trn_clk = '1' then
DG_Status_i(CINT_BIT_DG_MASK) <= DG_Mask_i;
DG_Status_i(CINT_BIT_DG_BUSY) <= DG_is_Running;
end if;
end process;
 
-- -----------------------------------------------
-- Synchronous Registered: IG_Control_i
SysReg_IntGen_Control:
process ( trn_clk, trn_lnk_up_n)
1148,133 → 1138,133
 
 
 
-- ------------------------------------------------------
-- Protocol CTL interface
-- ------------------------------------------------------
---- ------------------------------------------------------
---- Protocol CTL interface
---- ------------------------------------------------------
--
---- -------------------------------------------------------
---- Synchronous Registered: ctl_rd
-- Syn_CTL_rd:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- ctl_rd_i <= (OTHERS => '0');
-- ctl_rv_i <= '0';
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if Regs_WrEn_r2='1' and Reg_WrMuxer_Hi(CINT_ADDR_CTL_CLASS)='1' then
-- ctl_rd_i <= Regs_WrDin_r2(C_DBUS_WIDTH-1 downto 32);
-- ctl_rv_i <= '1';
-- elsif Regs_WrEn_r2='1' and Reg_WrMuxer_Lo(CINT_ADDR_CTL_CLASS)='1' then
-- ctl_rd_i <= Regs_WrDin_r2(32-1 downto 0);
-- ctl_rv_i <= '1';
-- else
-- ctl_rd_i <= ctl_rd_i;
-- ctl_rv_i <= '0';
-- end if;
--
-- end if;
-- end process;
--
--
---- -----------------------------------------------
---- Synchronous Registered: ctl_reset
-- SysReg_ctl_reset:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- ctl_reset_i <= '1';
--
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Hi(CINT_ADDR_TC_STATUS)='1'
-- then
-- ctl_reset_i <= Command_is_Reset_Hi;
-- elsif Regs_WrEn_r2='1'
-- and Reg_WrMuxer_Lo(CINT_ADDR_TC_STATUS)='1'
-- then
-- ctl_reset_i <= Command_is_Reset_Lo;
-- else
-- ctl_reset_i <= '0';
-- end if;
--
-- end if;
-- end process;
--
--
--
---- -------------------------------------------------------
---- Synchronous Registered: ctl_td
---- ++++++++++++ INT triggering ++++++++++++++++++
-- Syn_CTL_td:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- ctl_td_r <= (OTHERS => '0');
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if ctl_tv='1' then
-- ctl_td_r <= ctl_td;
-- else
-- ctl_td_r <= ctl_td_r;
-- end if;
--
-- end if;
-- end process;
--
--
--
---- ------------------------------------------------------
---- Protocol DLM interface
---- ------------------------------------------------------
--
---- -------------------------------------------------------
---- Synchronous Registered: dlm_td
-- Syn_DLM_td:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- dlm_td_i <= (OTHERS => '0');
-- dlm_tv_i <= '0';
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if Regs_WrEn_r2='1' and Reg_WrMuxer_Hi(CINT_ADDR_DLM_CLASS)='1' then
-- dlm_td_i <= Regs_WrDin_r2(C_DBUS_WIDTH-1 downto 32);
-- dlm_tv_i <= '1';
-- elsif Regs_WrEn_r2='1' and Reg_WrMuxer_Lo(CINT_ADDR_DLM_CLASS)='1' then
-- dlm_td_i <= Regs_WrDin_r2(32-1 downto 0);
-- dlm_tv_i <= '1';
-- else
-- dlm_td_i <= dlm_td_i;
-- dlm_tv_i <= '0';
-- end if;
--
-- end if;
-- end process;
--
--
---- -------------------------------------------------------
---- Synchronous Registered: dlm_rd
---- ++++++++++++ INT triggering ++++++++++++++++++
-- Syn_DLM_rd:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- dlm_rd_r <= (OTHERS => '0');
-- elsif trn_clk'event and trn_clk = '1' then
--
-- if dlm_rv='1' then
-- dlm_rd_r <= dlm_rd;
-- else
-- dlm_rd_r <= dlm_rd_r;
-- end if;
--
-- end if;
-- end process;
 
-- -------------------------------------------------------
-- Synchronous Registered: ctl_rd
Syn_CTL_rd:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
ctl_rd_i <= (OTHERS => '0');
ctl_rv_i <= '0';
elsif trn_clk'event and trn_clk = '1' then
 
if Regs_WrEn_r2='1' and Reg_WrMuxer_Hi(CINT_ADDR_CTL_CLASS)='1' then
ctl_rd_i <= Regs_WrDin_r2(C_DBUS_WIDTH-1 downto 32);
ctl_rv_i <= '1';
elsif Regs_WrEn_r2='1' and Reg_WrMuxer_Lo(CINT_ADDR_CTL_CLASS)='1' then
ctl_rd_i <= Regs_WrDin_r2(32-1 downto 0);
ctl_rv_i <= '1';
else
ctl_rd_i <= ctl_rd_i;
ctl_rv_i <= '0';
end if;
 
end if;
end process;
 
 
-- -----------------------------------------------
-- Synchronous Registered: ctl_reset
SysReg_ctl_reset:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
ctl_reset_i <= '1';
 
elsif trn_clk'event and trn_clk = '1' then
 
if Regs_WrEn_r2='1'
and Reg_WrMuxer_Hi(CINT_ADDR_TC_STATUS)='1'
then
ctl_reset_i <= Command_is_Reset_Hi;
elsif Regs_WrEn_r2='1'
and Reg_WrMuxer_Lo(CINT_ADDR_TC_STATUS)='1'
then
ctl_reset_i <= Command_is_Reset_Lo;
else
ctl_reset_i <= '0';
end if;
 
end if;
end process;
 
 
 
-- -------------------------------------------------------
-- Synchronous Registered: ctl_td
-- ++++++++++++ INT triggering ++++++++++++++++++
Syn_CTL_td:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
ctl_td_r <= (OTHERS => '0');
elsif trn_clk'event and trn_clk = '1' then
 
if ctl_tv='1' then
ctl_td_r <= ctl_td;
else
ctl_td_r <= ctl_td_r;
end if;
 
end if;
end process;
 
 
 
-- ------------------------------------------------------
-- Protocol DLM interface
-- ------------------------------------------------------
 
-- -------------------------------------------------------
-- Synchronous Registered: dlm_td
Syn_DLM_td:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
dlm_td_i <= (OTHERS => '0');
dlm_tv_i <= '0';
elsif trn_clk'event and trn_clk = '1' then
 
if Regs_WrEn_r2='1' and Reg_WrMuxer_Hi(CINT_ADDR_DLM_CLASS)='1' then
dlm_td_i <= Regs_WrDin_r2(C_DBUS_WIDTH-1 downto 32);
dlm_tv_i <= '1';
elsif Regs_WrEn_r2='1' and Reg_WrMuxer_Lo(CINT_ADDR_DLM_CLASS)='1' then
dlm_td_i <= Regs_WrDin_r2(32-1 downto 0);
dlm_tv_i <= '1';
else
dlm_td_i <= dlm_td_i;
dlm_tv_i <= '0';
end if;
 
end if;
end process;
 
 
-- -------------------------------------------------------
-- Synchronous Registered: dlm_rd
-- ++++++++++++ INT triggering ++++++++++++++++++
Syn_DLM_rd:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
dlm_rd_r <= (OTHERS => '0');
elsif trn_clk'event and trn_clk = '1' then
 
if dlm_rv='1' then
dlm_rd_r <= dlm_rd;
else
dlm_rd_r <= dlm_rd_r;
end if;
 
end if;
end process;
 
 
-- ------------------------------------------------------
-- DMA Upstream Registers
-- ------------------------------------------------------
 
2302,78 → 2292,6
end if;
end process;
 
---- -------------------------------------------------------
---- Synchronous Registers: icap_Write_i
-- RxTrn_icap_Write:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- icap_CLK <= '0';
-- icap_I <= (OTHERS => '0');
-- icap_Write <= '1';
-- icap_CE <= '1';
-- FSM_icap <= icapST_Reset;
--
-- elsif trn_clk'event and trn_clk = '1' then
--
-- case FSM_icap is
--
-- when icapST_Reset =>
-- icap_CLK <= '0';
-- icap_I <= (OTHERS => '0');
-- icap_Write <= '1';
-- icap_CE <= '1';
-- FSM_icap <= icapST_Idle;
--
-- when icapST_Idle =>
--
-- if Regs_WrEn_r2='1' and Reg_WrMuxer(CINT_ADDR_ICAP)='1' then
-- icap_CLK <= '1';
-- icap_I <= Regs_WrDin_r2;
-- icap_Write <= '0';
-- icap_CE <= '0';
-- FSM_icap <= icapST_Access;
-- elsif Reg_RdMuxer(CINT_ADDR_ICAP)='1' then
-- icap_CLK <= '1';
-- icap_I <= icap_I;
-- icap_Write <= '1';
-- icap_CE <= '0';
-- FSM_icap <= icapST_Access;
-- else
-- icap_CLK <= icap_CLK;
-- icap_I <= icap_I;
-- icap_Write <= icap_Write;
-- icap_CE <= icap_CE;
-- FSM_icap <= icapST_Idle;
-- end if;
--
--
-- when icapST_Access =>
-- icap_CLK <= '1';
-- icap_I <= icap_I;
-- icap_Write <= icap_Write;
-- icap_CE <= icap_CE;
-- FSM_icap <= icapST_Abort;
--
-- when icapST_Abort =>
-- icap_CLK <= '0';
-- icap_I <= icap_I;
-- icap_Write <= icap_Write;
-- icap_CE <= icap_CE;
-- FSM_icap <= icapST_Idle;
--
-- when Others =>
-- icap_CLK <= '0';
-- icap_I <= (OTHERS => '0');
-- icap_Write <= '1';
-- icap_CE <= '1';
-- FSM_icap <= icapST_Idle;
--
-- end case;
--
-- end if;
-- end process;
--
 
 
----------------------------------------------------------
2426,55 → 2344,55
end process;
 
 
----------------------------------------------------------
-- Synch Register: CTL_TTake
--
Syn_CTL_ttake:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
ctl_ttake_i <= '0';
ctl_t_read_Hi_r1 <= '0';
ctl_t_read_Lo_r1 <= '0';
CTL_read_counter <= (OTHERS=>'0');
------------------------------------------------------------
---- Synch Register: CTL_TTake
----
-- Syn_CTL_ttake:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- ctl_ttake_i <= '0';
-- ctl_t_read_Hi_r1 <= '0';
-- ctl_t_read_Lo_r1 <= '0';
-- CTL_read_counter <= (OTHERS=>'0');
--
-- elsif trn_clk'event and trn_clk = '1' then
-- ctl_t_read_Hi_r1 <= Reg_RdMuxer_Hi(CINT_ADDR_CTL_CLASS);
-- ctl_t_read_Lo_r1 <= Reg_RdMuxer_Lo(CINT_ADDR_CTL_CLASS);
-- ctl_ttake_i <= (Reg_RdMuxer_Hi(CINT_ADDR_CTL_CLASS) and not ctl_t_read_Hi_r1)
-- or (Reg_RdMuxer_Lo(CINT_ADDR_CTL_CLASS) and not ctl_t_read_Lo_r1)
-- ;
-- if ctl_reset_i='1' then
-- CTL_read_counter <= (OTHERS=>'0');
-- else
-- CTL_read_counter <= CTL_read_counter + ctl_ttake_i;
-- end if;
--
-- end if;
-- end process;
--
------------------------------------------------------------
---- Synch Register: class_CTL_Status
----
-- Syn_class_CTL_Status:
-- process ( trn_clk, trn_lnk_up_n)
-- begin
-- if trn_lnk_up_n = '1' then
-- class_CTL_Status_i <= (OTHERS=>'0');
--
-- elsif trn_clk'event and trn_clk = '1' then
-- class_CTL_Status_i(C_DBUS_WIDTH/2-1 downto 0) <= ctl_status;
--
-- end if;
-- end process;
 
elsif trn_clk'event and trn_clk = '1' then
ctl_t_read_Hi_r1 <= Reg_RdMuxer_Hi(CINT_ADDR_CTL_CLASS);
ctl_t_read_Lo_r1 <= Reg_RdMuxer_Lo(CINT_ADDR_CTL_CLASS);
ctl_ttake_i <= (Reg_RdMuxer_Hi(CINT_ADDR_CTL_CLASS) and not ctl_t_read_Hi_r1)
or (Reg_RdMuxer_Lo(CINT_ADDR_CTL_CLASS) and not ctl_t_read_Lo_r1)
;
if ctl_reset_i='1' then
CTL_read_counter <= (OTHERS=>'0');
else
CTL_read_counter <= CTL_read_counter + ctl_ttake_i;
end if;
 
end if;
end process;
 
----------------------------------------------------------
-- Synch Register: class_CTL_Status
--
Syn_class_CTL_Status:
process ( trn_clk, trn_lnk_up_n)
begin
if trn_lnk_up_n = '1' then
class_CTL_Status_i <= (OTHERS=>'0');
 
elsif trn_clk'event and trn_clk = '1' then
class_CTL_Status_i(C_DBUS_WIDTH/2-1 downto 0) <= ctl_status;
 
end if;
end process;
 
 
-- -------------------------------------------------------
--
Sys_Int_Status_i <= (
CINT_BIT_DLM_IN_ISR => DLM_irq ,
CINT_BIT_CTL_IN_ISR => CTL_irq ,
CINT_BIT_DAQ_IN_ISR => DAQ_irq ,
-- CINT_BIT_DLM_IN_ISR => DLM_irq ,
-- CINT_BIT_CTL_IN_ISR => CTL_irq ,
-- CINT_BIT_DAQ_IN_ISR => DAQ_irq ,
 
CINT_BIT_DSTOUT_IN_ISR => DMA_ds_Tout ,
CINT_BIT_USTOUT_IN_ISR => DMA_us_Tout ,
2812,10 → 2730,10
<= pcie_link_width;
General_Status_i(CINT_BIT_ICAP_BUSY_IN_GSR)
<= icap_Busy;
General_Status_i(CINT_BIT_DG_AVAIL_IN_GSR)
<= DG_is_Available;
General_Status_i(CINT_BIT_LINK_ACT_IN_GSR+1 downto CINT_BIT_LINK_ACT_IN_GSR)
<= protocol_link_act;
-- General_Status_i(CINT_BIT_DG_AVAIL_IN_GSR)
-- <= DG_is_Available;
-- General_Status_i(CINT_BIT_LINK_ACT_IN_GSR+1 downto CINT_BIT_LINK_ACT_IN_GSR)
-- <= protocol_link_act;
 
-- General_Status_i(8) <= CTL_read_counter(6-1); ---- DEBUG !!!
end if;
2870,40 → 2788,40
<= eb_FIFO_Status_r1(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_EB_STACON)='1'
else (Others=>'0');
 
--------------------------------------------------------------------------
-- Optical Link Status
--------------------------------------------------------------------------
Opto_Link_Status_o_Hi(32-1 downto 0)
<= Opto_Link_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_PROTOCOL_STACON)='1'
else (Others=>'0');
-- --------------------------------------------------------------------------
-- -- Optical Link Status
-- --------------------------------------------------------------------------
-- Opto_Link_Status_o_Hi(32-1 downto 0)
-- <= Opto_Link_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_PROTOCOL_STACON)='1'
-- else (Others=>'0');
--
-- Opto_link_Status_o_Lo(32-1 downto 0)
-- <= Opto_Link_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_PROTOCOL_STACON)='1'
-- else (Others=>'0');
--
-- --------------------------------------------------------------------------
-- -- Class CTL status
-- --------------------------------------------------------------------------
-- class_CTL_Status_o_Hi(32-1 downto 0)
-- <= class_CTL_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_TC_STATUS)='1'
-- else (Others=>'0');
--
-- class_CTL_Status_o_Lo(32-1 downto 0)
-- <= class_CTL_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_TC_STATUS)='1'
-- else (Others=>'0');
--
-- --------------------------------------------------------------------------
-- -- Data generator Status
-- --------------------------------------------------------------------------
-- DG_Status_o_Hi(32-1 downto 0)
-- <= DG_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
-- else (Others=>'0');
--
-- DG_Status_o_Lo(32-1 downto 0)
-- <= DG_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
-- else (Others=>'0');
 
Opto_link_Status_o_Lo(32-1 downto 0)
<= Opto_Link_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_PROTOCOL_STACON)='1'
else (Others=>'0');
 
--------------------------------------------------------------------------
-- Class CTL status
--------------------------------------------------------------------------
class_CTL_Status_o_Hi(32-1 downto 0)
<= class_CTL_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_TC_STATUS)='1'
else (Others=>'0');
 
class_CTL_Status_o_Lo(32-1 downto 0)
<= class_CTL_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_TC_STATUS)='1'
else (Others=>'0');
 
--------------------------------------------------------------------------
-- Data generator Status
--------------------------------------------------------------------------
DG_Status_o_Hi(32-1 downto 0)
<= DG_Status_i(32-1 downto 0) when Reg_RdMuxer_Hi(CINT_ADDR_DG_CTRL)='1'
else (Others=>'0');
 
DG_Status_o_Lo(32-1 downto 0)
<= DG_Status_i(32-1 downto 0) when Reg_RdMuxer_Lo(CINT_ADDR_DG_CTRL)='1'
else (Others=>'0');
 
--------------------------------------------------------------------------
-- Hardware version
--------------------------------------------------------------------------
HW_Version_o_Hi(32-1 downto 0)
2961,14 → 2879,14
or IG_Num_Assert_o_Hi (32-1 downto 0)
or IG_Num_Deassert_o_Hi(32-1 downto 0)
 
or DG_Status_o_Hi (32-1 downto 0)
or class_CTL_Status_o_Hi (32-1 downto 0)
-- or DG_Status_o_Hi (32-1 downto 0)
-- or class_CTL_Status_o_Hi (32-1 downto 0)
 
-- or icap_O_o_Hi (32-1 downto 0)
or Opto_Link_Status_o_Hi (32-1 downto 0)
-- or Opto_Link_Status_o_Hi (32-1 downto 0)
or eb_FIFO_Status_o_Hi (32-1 downto 0)
or dlm_rd_o_Hi
or ctl_td_o_Hi
-- or dlm_rd_o_Hi
-- or ctl_td_o_Hi
;
 
 
3008,14 → 2926,14
or IG_Num_Assert_o_Lo (32-1 downto 0)
or IG_Num_Deassert_o_Lo(32-1 downto 0)
 
or DG_Status_o_Lo (32-1 downto 0)
or class_CTL_Status_o_Lo (32-1 downto 0)
-- or DG_Status_o_Lo (32-1 downto 0)
-- or class_CTL_Status_o_Lo (32-1 downto 0)
 
-- or icap_O_o_Lo(32-1 downto 0)
or Opto_Link_Status_o_Lo (32-1 downto 0)
-- or Opto_Link_Status_o_Lo (32-1 downto 0)
or eb_FIFO_Status_o_Lo (32-1 downto 0)
or dlm_rd_o_Lo
or ctl_td_o_Lo
-- or dlm_rd_o_Lo
-- or ctl_td_o_Lo
;
 
end if;
3022,46 → 2940,4
end process;
 
 
-- -----------------------------------------------------------------------------
-- -- Implementation codes
-- -----------------------------------------------------------------------------
-- Gen_ICAP_width_8:
-- if C_ICAP_WIDTH=8 generate
--
-- ICAP_VIRTEX4_pcie :
-- ICAP_VIRTEX4
-- generic map (
-- ICAP_WIDTH => "X8" -- "X8" or "X32"
-- )
-- port map (
-- BUSY => icap_BUSY , -- Busy output
-- O => icap_O , -- 8-bit data output
-- CE => icap_CE , -- Clock enable input
-- CLK => icap_CLK , -- Clock input
-- I => icap_I , -- 8-bit data input
-- WRITE => icap_WRITE -- Write input
-- );
--
-- end generate;
--
-- Gen_ICAP_width_32:
-- if C_ICAP_WIDTH=32 generate
--
-- ICAP_VIRTEX4_pcie :
-- ICAP_VIRTEX4
-- generic map (
-- ICAP_WIDTH => "X32" -- "X8" or "X32"
-- )
-- port map (
-- BUSY => icap_BUSY , -- Busy output
-- O => icap_O , -- 32-bit data output
-- CE => icap_CE , -- Clock enable input
-- CLK => icap_CLK , -- Clock input
-- I => icap_I , -- 32-bit data input
-- WRITE => icap_WRITE -- Write input
-- );
--
-- end generate;
--
 
end Behavioral;
/trunk/rtl/tx_Mem_Reader.vhd
145,6 → 145,7
signal eb_FIFO_RdEn_Mask_r1 : std_logic;
signal eb_FIFO_RdEn_Mask_r2 : std_logic;
signal ebFIFO_Rd_1DW : std_logic;
signal ebFIFO_Rd_1DW_r1 : std_logic;
signal eb_FIFO_qout_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal eb_FIFO_qout_shift : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal eb_FIFO_qout_swapped : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
190,9 → 191,9
-- signal TxTLP_eof_n_r2 : std_logic;
 
signal TimeOut_Counter : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal TimeOut_Indic_TX : std_logic;
signal TimeOut_Indic_eb_CplD : std_logic;
signal TimeOut_Indic_eb_MWr : std_logic;
signal TimeOut_Indic_TX : std_logic;
signal TimeOut_Indic_eb_CplD : std_logic;
signal TimeOut_Indic_eb_MWr : std_logic;
signal TO_Cnt_Rst : std_logic;
signal Tx_TimeOut_i : std_logic;
signal Tx_eb_TimeOut_i : std_logic;
742,7 → 743,8
if trn_clk'event and trn_clk = '1' then
mbuf_WE_i <= DDR_FIFO_Write_mbuf_r1
or Regs_Write_mbuf_r2
or (eb_FIFO_Write_mbuf_r1 or (Shift_1st_QWord_k and eb_FIFO_RdEn_Mask_rise_r1))
or (eb_FIFO_Write_mbuf_r1 or (Shift_1st_QWord_k
and eb_FIFO_RdEn_Mask_rise_r1 and not ebFIFO_Rd_1DW_r1))
;
end if;
end process;
779,6 → 781,7
process ( trn_clk )
begin
if trn_clk'event and trn_clk = '1' then
ebFIFO_Rd_1DW_r1 <= ebFIFO_Rd_1DW;
eb_FIFO_RdEn_Mask_rise <= eb_FIFO_RdEn_Mask and not eb_FIFO_RdEn_Mask_r1;
eb_FIFO_RdEn_Mask_rise_r1 <= eb_FIFO_RdEn_Mask_rise;
eb_FIFO_RdEn_Mask_rise_r2 <= eb_FIFO_RdEn_Mask_rise_r1;
833,13 → 836,13
process ( trn_clk, TO_Cnt_Rst )
begin
if TO_Cnt_Rst='1' then
TimeOut_Counter <= (OTHERS=>'0');
TimeOut_Indic_TX <= '0';
TimeOut_Indic_eb_CplD <= '0';
TimeOut_Counter <= (OTHERS=>'0');
TimeOut_Indic_TX <= '0';
TimeOut_Indic_eb_CplD <= '0';
TimeOut_Indic_eb_MWr <= '0';
elsif trn_clk'event and trn_clk = '1' then
TimeOut_Counter(21 downto 0) <= TimeOut_Counter(21 downto 0) + '1';
 
 
if TimeOut_Counter(21 downto 10)=X"FFF" then
-- if TimeOut_Counter(4 downto 1)=X"F" then
TimeOut_Indic_TX <= '1';
846,14 → 849,14
else
TimeOut_Indic_TX <= '0';
end if;
 
 
if TimeOut_Counter(7 downto 4)=X"F" then
-- if TimeOut_Counter(3 downto 0)=X"F" then
TimeOut_Indic_eb_CplD <= '1';
else
TimeOut_Indic_eb_CplD <= '0';
TimeOut_Indic_eb_CplD <= '1';
else
TimeOut_Indic_eb_CplD <= '0';
end if;
 
 
if TimeOut_Counter(10 downto 7)=X"F" then
-- if TimeOut_Counter(3 downto 0)=X"F" then
TimeOut_Indic_eb_MWr <= '1';
860,7 → 863,7
else
TimeOut_Indic_eb_MWr <= '0';
end if;
 
 
end if;
end process;
 
/trunk/rtl/v5pcieDMA.vhd
267,7 → 267,7
-- -----------------------------------------------------------------------
-- FIFO module
-- 16K x 8B
component eb_wrapper
component FIFO_wrapper
port (
wr_clk : IN std_logic;
wr_en : IN std_logic;
314,268 → 314,268
signal tab_wa : STD_LOGIC_VECTOR (12-1 downto 0);
signal tab_wd : STD_LOGIC_VECTOR (C_DBUS_WIDTH-1 downto 0);
 
signal dg_running : STD_LOGIC;
signal dg_mask : STD_LOGIC;
signal dg_rst : STD_LOGIC;
-- signal dg_running : STD_LOGIC;
-- signal dg_mask : STD_LOGIC;
-- signal dg_rst : STD_LOGIC;
--
-- -- debug signal
-- signal dg_debug_led : STD_LOGIC;
--
-- -- Protocol Interface module
-- COMPONENT protocol_IF
-- PORT (
-- -- DAQ Tx
-- data2send_start : OUT std_logic;
-- data2send_end : OUT std_logic;
-- data2send : OUT std_logic_vector(64-1 downto 0);
-- crc_error_send : OUT std_logic;
-- data2send_stop : IN std_logic;
--
-- -- DAQ Rx
-- data_rec_start : IN std_logic;
-- data_rec_end : IN std_logic;
-- data_rec : IN std_logic_vector(64-1 downto 0);
-- crc_error_rec : IN std_logic;
-- data_rec_stop : OUT std_logic;
--
-- -- CTL Tx
-- ctrl2send_start : OUT std_logic;
-- ctrl2send_end : OUT std_logic;
-- ctrl2send : OUT std_logic_vector(16-1 downto 0);
-- ctrl2send_stop : IN std_logic;
--
-- -- CTL Rx
-- ctrl_rec_start : IN std_logic;
-- ctrl_rec_end : IN std_logic;
-- ctrl_rec : IN std_logic_vector(16-1 downto 0);
-- ctrl_rec_stop : OUT std_logic;
--
-- -- DLM Tx
-- dlm2send_va : OUT std_logic;
-- dlm2send_type : OUT std_logic_vector(4-1 downto 0);
--
-- -- DLM Rx
-- dlm_rec_va : IN std_logic;
-- dlm_rec_type : IN std_logic_vector(4-1 downto 0);
--
-- -- Common signals
-- link_tx_clk : IN std_logic;
-- link_rx_clk : IN std_logic;
-- link_active : IN std_logic_vector(2-1 downto 0);
-- protocol_clk : OUT std_logic;
-- protocol_res_n : OUT std_logic;
--
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
--
-- -- Fabric side: DAQ Rx
-- daq_rv : IN std_logic;
-- daq_rsof : IN std_logic;
-- daq_reof : IN std_logic;
-- daq_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- daq_rstop : OUT std_logic;
--
-- -- Fabric side: DAQ Tx
-- daq_tv : OUT std_logic;
-- daq_tsof : OUT std_logic;
-- daq_teof : OUT std_logic;
-- daq_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- daq_tstop : IN std_logic;
--
-- -- Fabric side: DLM Rx
-- dlm_tv : IN std_logic;
-- dlm_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv : OUT std_logic;
-- dlm_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Rx
-- ctl_rv : IN std_logic;
-- ctl_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_rstop : OUT std_logic;
--
-- -- Fabric side: CTL Tx
-- ctl_ttake : IN std_logic;
-- ctl_tv : OUT std_logic;
-- ctl_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop : IN std_logic;
--
-- ctl_reset : IN std_logic;
-- ctl_status : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Interrupter triggers
-- DAQ_irq : OUT std_logic;
-- CTL_irq : OUT std_logic;
-- DLM_irq : OUT std_logic;
--
-- -- Data generator table write port
-- tab_sel : IN STD_LOGIC;
-- tab_we : IN STD_LOGIC_VECTOR (2-1 downto 0);
-- tab_wa : IN STD_LOGIC_VECTOR (12-1 downto 0);
-- tab_wd : IN STD_LOGIC_VECTOR (64-1 downto 0);
--
-- -- DG control/status signal
-- dg_running : OUT STD_LOGIC;
-- dg_mask : IN STD_LOGIC;
-- dg_rst : IN STD_LOGIC;
--
-- -- DG debug signal
-- daq_start_led : OUT STD_LOGIC;
--
-- -- Fabric side: Common signals
-- trn_clk : IN std_logic;
-- protocol_link_act : OUT std_logic_vector(2-1 downto 0);
-- protocol_rst : IN std_logic
-- );
-- END COMPONENT;
--
-- -- DAQ Tx
-- signal data2send_start : std_logic;
-- signal data2send_end : std_logic;
-- signal data2send : std_logic_vector(64-1 downto 0);
-- signal crc_error_send : std_logic;
-- signal data2send_stop : std_logic
-- := '0';
--
-- -- DAQ Rx
-- signal data_rec_start : std_logic;
-- signal data_rec_end : std_logic;
-- signal data_rec : std_logic_vector(64-1 downto 0);
-- signal crc_error_rec : std_logic;
-- signal data_rec_stop : std_logic;
--
-- -- CTL Tx
-- signal ctrl2send_start : std_logic;
-- signal ctrl2send_end : std_logic;
-- signal ctrl2send : std_logic_vector(16-1 downto 0);
-- signal ctrl2send_stop : std_logic;
--
-- -- CTL Rx
-- signal ctrl_rec_start : std_logic;
-- signal ctrl_rec_end : std_logic;
-- signal ctrl_rec : std_logic_vector(16-1 downto 0);
-- signal ctrl_rec_stop : std_logic;
--
-- -- DLM Tx
-- signal dlm2send_va : std_logic;
-- signal dlm2send_type : std_logic_vector(4-1 downto 0);
---- signal dlm2send_va_i : std_logic;
---- signal dlm2send_type_i : std_logic_vector(4-1 downto 0);
--
-- -- DLM Rx
-- signal dlm_rec_va : std_logic;
-- signal dlm_rec_type : std_logic_vector(4-1 downto 0);
---- signal dlm_rec_va_i : std_logic;
---- signal dlm_rec_type_i : std_logic_vector(4-1 downto 0);
--
--
-- -- Common signals
-- signal link_rx_clk : std_logic;
-- signal link_tx_clk : std_logic;
-- signal link_active : std_logic_vector(2-1 downto 0);
-- signal protocol_clk : std_logic;
-- signal protocol_res_n : std_logic;
--
--
-- -- Fabric side: DAQ Rx
-- signal daq_rv : std_logic;
-- signal daq_rsof : std_logic;
-- signal daq_reof : std_logic;
-- signal daq_rd : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal daq_rstop : std_logic;
--
-- -- Fabric side: DAQ Tx
-- signal daq_tv : std_logic;
-- signal daq_tsof : std_logic;
-- signal daq_teof : std_logic;
-- signal daq_td : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- signal daq_tstop : std_logic;
--
-- -- Fabric side: DLM Rx
-- signal dlm_tv : std_logic;
-- signal dlm_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- signal dlm_rv : std_logic;
-- signal dlm_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Rx
-- signal ctl_rv : std_logic;
-- signal ctl_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- signal ctl_rstop : std_logic;
--
-- -- Fabric side: CTL Tx
-- signal ctl_ttake : std_logic;
-- signal ctl_tv : std_logic;
-- signal ctl_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- signal ctl_tstop : std_logic;
--
-- signal ctl_reset : std_logic;
-- signal ctl_status : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Interrupter triggers
-- signal DAQ_irq : std_logic;
-- signal CTL_irq : std_logic;
-- signal DLM_irq : std_logic;
--
-- -- Fabric side: Common signals
-- signal protocol_link_act : std_logic_vector(2-1 downto 0);
-- signal protocol_rst : std_logic;
--
--
-- -- Pseudo link module, to be replaced by the real optical link
-- COMPONENT pseudo_protocol_module
-- PORT (
-- -- DAQ Tx
-- data2send_start : IN std_logic;
-- data2send_end : IN std_logic;
-- data2send : IN std_logic_vector(64-1 downto 0);
-- crc_error_send : IN std_logic;
-- data2send_stop : OUT std_logic;
--
-- -- DAQ Rx
-- data_rec_start : OUT std_logic;
-- data_rec_end : OUT std_logic;
-- data_rec : OUT std_logic_vector(64-1 downto 0);
-- crc_error_rec : OUT std_logic;
-- data_rec_stop : IN std_logic;
--
-- -- CTL Tx
-- ctrl2send_start : IN std_logic;
-- ctrl2send_end : IN std_logic;
-- ctrl2send : IN std_logic_vector(16-1 downto 0);
-- ctrl2send_stop : OUT std_logic;
--
-- -- CTL Rx
-- ctrl_rec_start : OUT std_logic;
-- ctrl_rec_end : OUT std_logic;
-- ctrl_rec : OUT std_logic_vector(16-1 downto 0);
-- ctrl_rec_stop : IN std_logic;
--
-- -- DLM Tx
-- dlm2send_va : IN std_logic;
-- dlm2send_type : IN std_logic_vector(4-1 downto 0);
--
-- -- DLM Rx
-- dlm_rec_va : OUT std_logic;
-- dlm_rec_type : OUT std_logic_vector(4-1 downto 0);
--
-- -- dummy pin input
-- dummy_pin_in : IN std_logic_vector(3-1 downto 0);
--
-- -- Common interface
-- link_tx_clk : OUT std_logic;
-- link_rx_clk : OUT std_logic;
-- link_active : OUT std_logic_vector(2-1 downto 0);
-- clk : IN std_logic;
-- res_n : IN std_logic
-- );
-- END COMPONENT;
--
--
-- signal Link_Buf_full : std_logic;
 
-- debug signal
signal dg_debug_led : STD_LOGIC;
 
-- Protocol Interface module
COMPONENT protocol_IF
PORT (
-- DAQ Tx
data2send_start : OUT std_logic;
data2send_end : OUT std_logic;
data2send : OUT std_logic_vector(64-1 downto 0);
crc_error_send : OUT std_logic;
data2send_stop : IN std_logic;
 
-- DAQ Rx
data_rec_start : IN std_logic;
data_rec_end : IN std_logic;
data_rec : IN std_logic_vector(64-1 downto 0);
crc_error_rec : IN std_logic;
data_rec_stop : OUT std_logic;
 
-- CTL Tx
ctrl2send_start : OUT std_logic;
ctrl2send_end : OUT std_logic;
ctrl2send : OUT std_logic_vector(16-1 downto 0);
ctrl2send_stop : IN std_logic;
 
-- CTL Rx
ctrl_rec_start : IN std_logic;
ctrl_rec_end : IN std_logic;
ctrl_rec : IN std_logic_vector(16-1 downto 0);
ctrl_rec_stop : OUT std_logic;
 
-- DLM Tx
dlm2send_va : OUT std_logic;
dlm2send_type : OUT std_logic_vector(4-1 downto 0);
 
-- DLM Rx
dlm_rec_va : IN std_logic;
dlm_rec_type : IN std_logic_vector(4-1 downto 0);
 
-- Common signals
link_tx_clk : IN std_logic;
link_rx_clk : IN std_logic;
link_active : IN std_logic_vector(2-1 downto 0);
protocol_clk : OUT std_logic;
protocol_res_n : OUT std_logic;
 
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
 
-- Fabric side: DAQ Rx
daq_rv : IN std_logic;
daq_rsof : IN std_logic;
daq_reof : IN std_logic;
daq_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
daq_rstop : OUT std_logic;
 
-- Fabric side: DAQ Tx
daq_tv : OUT std_logic;
daq_tsof : OUT std_logic;
daq_teof : OUT std_logic;
daq_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
daq_tstop : IN std_logic;
 
-- Fabric side: DLM Rx
dlm_tv : IN std_logic;
dlm_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv : OUT std_logic;
dlm_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Rx
ctl_rv : IN std_logic;
ctl_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_rstop : OUT std_logic;
 
-- Fabric side: CTL Tx
ctl_ttake : IN std_logic;
ctl_tv : OUT std_logic;
ctl_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : IN std_logic;
 
ctl_reset : IN std_logic;
ctl_status : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Interrupter triggers
DAQ_irq : OUT std_logic;
CTL_irq : OUT std_logic;
DLM_irq : OUT std_logic;
 
-- Data generator table write port
tab_sel : IN STD_LOGIC;
tab_we : IN STD_LOGIC_VECTOR (2-1 downto 0);
tab_wa : IN STD_LOGIC_VECTOR (12-1 downto 0);
tab_wd : IN STD_LOGIC_VECTOR (64-1 downto 0);
 
-- DG control/status signal
dg_running : OUT STD_LOGIC;
dg_mask : IN STD_LOGIC;
dg_rst : IN STD_LOGIC;
 
-- DG debug signal
daq_start_led : OUT STD_LOGIC;
 
-- Fabric side: Common signals
trn_clk : IN std_logic;
protocol_link_act : OUT std_logic_vector(2-1 downto 0);
protocol_rst : IN std_logic
);
END COMPONENT;
 
-- DAQ Tx
signal data2send_start : std_logic;
signal data2send_end : std_logic;
signal data2send : std_logic_vector(64-1 downto 0);
signal crc_error_send : std_logic;
signal data2send_stop : std_logic
:= '0';
 
-- DAQ Rx
signal data_rec_start : std_logic;
signal data_rec_end : std_logic;
signal data_rec : std_logic_vector(64-1 downto 0);
signal crc_error_rec : std_logic;
signal data_rec_stop : std_logic;
 
-- CTL Tx
signal ctrl2send_start : std_logic;
signal ctrl2send_end : std_logic;
signal ctrl2send : std_logic_vector(16-1 downto 0);
signal ctrl2send_stop : std_logic;
 
-- CTL Rx
signal ctrl_rec_start : std_logic;
signal ctrl_rec_end : std_logic;
signal ctrl_rec : std_logic_vector(16-1 downto 0);
signal ctrl_rec_stop : std_logic;
 
-- DLM Tx
signal dlm2send_va : std_logic;
signal dlm2send_type : std_logic_vector(4-1 downto 0);
-- signal dlm2send_va_i : std_logic;
-- signal dlm2send_type_i : std_logic_vector(4-1 downto 0);
 
-- DLM Rx
signal dlm_rec_va : std_logic;
signal dlm_rec_type : std_logic_vector(4-1 downto 0);
-- signal dlm_rec_va_i : std_logic;
-- signal dlm_rec_type_i : std_logic_vector(4-1 downto 0);
 
 
-- Common signals
signal link_rx_clk : std_logic;
signal link_tx_clk : std_logic;
signal link_active : std_logic_vector(2-1 downto 0);
signal protocol_clk : std_logic;
signal protocol_res_n : std_logic;
 
 
-- Fabric side: DAQ Rx
signal daq_rv : std_logic;
signal daq_rsof : std_logic;
signal daq_reof : std_logic;
signal daq_rd : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal daq_rstop : std_logic;
 
-- Fabric side: DAQ Tx
signal daq_tv : std_logic;
signal daq_tsof : std_logic;
signal daq_teof : std_logic;
signal daq_td : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal daq_tstop : std_logic;
 
-- Fabric side: DLM Rx
signal dlm_tv : std_logic;
signal dlm_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
signal dlm_rv : std_logic;
signal dlm_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Rx
signal ctl_rv : std_logic;
signal ctl_rd : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal ctl_rstop : std_logic;
 
-- Fabric side: CTL Tx
signal ctl_ttake : std_logic;
signal ctl_tv : std_logic;
signal ctl_td : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
signal ctl_tstop : std_logic;
 
signal ctl_reset : std_logic;
signal ctl_status : std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Interrupter triggers
signal DAQ_irq : std_logic;
signal CTL_irq : std_logic;
signal DLM_irq : std_logic;
 
-- Fabric side: Common signals
signal protocol_link_act : std_logic_vector(2-1 downto 0);
signal protocol_rst : std_logic;
 
 
-- Pseudo link module, to be replaced by the real optical link
COMPONENT pseudo_protocol_module
PORT (
-- DAQ Tx
data2send_start : IN std_logic;
data2send_end : IN std_logic;
data2send : IN std_logic_vector(64-1 downto 0);
crc_error_send : IN std_logic;
data2send_stop : OUT std_logic;
 
-- DAQ Rx
data_rec_start : OUT std_logic;
data_rec_end : OUT std_logic;
data_rec : OUT std_logic_vector(64-1 downto 0);
crc_error_rec : OUT std_logic;
data_rec_stop : IN std_logic;
 
-- CTL Tx
ctrl2send_start : IN std_logic;
ctrl2send_end : IN std_logic;
ctrl2send : IN std_logic_vector(16-1 downto 0);
ctrl2send_stop : OUT std_logic;
 
-- CTL Rx
ctrl_rec_start : OUT std_logic;
ctrl_rec_end : OUT std_logic;
ctrl_rec : OUT std_logic_vector(16-1 downto 0);
ctrl_rec_stop : IN std_logic;
 
-- DLM Tx
dlm2send_va : IN std_logic;
dlm2send_type : IN std_logic_vector(4-1 downto 0);
 
-- DLM Rx
dlm_rec_va : OUT std_logic;
dlm_rec_type : OUT std_logic_vector(4-1 downto 0);
 
-- dummy pin input
dummy_pin_in : IN std_logic_vector(3-1 downto 0);
 
-- Common interface
link_tx_clk : OUT std_logic;
link_rx_clk : OUT std_logic;
link_active : OUT std_logic_vector(2-1 downto 0);
clk : IN std_logic;
res_n : IN std_logic
);
END COMPONENT;
 
 
signal Link_Buf_full : std_logic;
 
 
------------- COMPONENT Declaration: tlpControl ------
--
component tlpControl
584,38 → 584,38
mbuf_UserFull : IN std_logic;
trn_Blinker : OUT std_logic;
 
-- DCB protocol interface
protocol_link_act : IN std_logic_vector(2-1 downto 0);
protocol_rst : OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act : IN std_logic_vector(2-1 downto 0);
-- protocol_rst : OUT std_logic;
--
-- -- Interrupter triggers
-- DAQ_irq : IN std_logic;
-- CTL_irq : IN std_logic;
-- DLM_irq : IN std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv : OUT std_logic;
-- ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake : OUT std_logic;
-- ctl_tv : IN std_logic;
-- ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop : OUT std_logic;
--
-- ctl_reset : OUT std_logic;
-- ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv : OUT std_logic;
-- dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv : IN std_logic;
-- dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- Link_Buf_full : IN std_logic;
 
-- Interrupter triggers
DAQ_irq : IN std_logic;
CTL_irq : IN std_logic;
DLM_irq : IN std_logic;
 
-- Fabric side: CTL Rx
ctl_rv : OUT std_logic;
ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake : OUT std_logic;
ctl_tv : IN std_logic;
ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop : OUT std_logic;
 
ctl_reset : OUT std_logic;
ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv : OUT std_logic;
dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv : IN std_logic;
dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
Link_Buf_full : IN std_logic;
 
-- Event Buffer FIFO interface
eb_FIFO_we : OUT std_logic;
eb_FIFO_wsof : OUT std_logic;
674,16 → 674,16
DDR_FIFO_Empty : IN std_logic;
DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- Data generator table write
tab_we : OUT std_logic_vector(2-1 downto 0);
tab_wa : OUT std_logic_vector(12-1 downto 0);
tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator table write
-- tab_we : OUT std_logic_vector(2-1 downto 0);
-- tab_wa : OUT std_logic_vector(12-1 downto 0);
-- tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
--
-- -- Data generator control
-- DG_is_Running : IN std_logic;
-- DG_Reset : OUT std_logic;
-- DG_Mask : OUT std_logic;
 
-- Data generator control
DG_is_Running : IN std_logic;
DG_Reset : OUT std_logic;
DG_Mask : OUT std_logic;
 
-- Transaction layer interface
trn_lnk_up_n : IN std_logic;
trn_rsrc_dsc_n : IN std_logic;
1049,10 → 1049,9
end generate;
 
 
-- DAQ_irq <= eb_empty;
 
DAQ_irq <= eb_empty;
 
 
-- ---------------------------------------------------------------
-- tlp control module
--
1063,38 → 1062,38
mbuf_UserFull => '0' ,
trn_Blinker => trn_Blinker ,
 
-- DCB protocol interface
protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0);
protocol_rst => protocol_rst , -- OUT std_logic;
-- -- DCB protocol interface
-- protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0);
-- protocol_rst => protocol_rst , -- OUT std_logic;
--
-- Link_Buf_Full => daq_rstop , -- IN std_logic;
--
-- -- Interrupter triggers
-- DAQ_irq => DAQ_irq , -- IN std_logic;
-- CTL_irq => CTL_irq , -- IN std_logic;
-- DLM_irq => DLM_irq , -- IN std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv => ctl_rv , -- OUT std_logic;
-- ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: CTL Tx
-- ctl_ttake => ctl_ttake , -- OUT std_logic;
-- ctl_tv => ctl_tv , -- IN std_logic;
-- ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
-- ctl_tstop => ctl_tstop , -- OUT std_logic;
--
-- ctl_reset => ctl_reset , -- OUT std_logic;
-- ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv => dlm_tv , -- OUT std_logic;
-- dlm_td => dlm_td , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv => dlm_rv , -- IN std_logic;
-- dlm_rd => dlm_rd , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
Link_Buf_Full => daq_rstop , -- IN std_logic;
 
-- Interrupter triggers
DAQ_irq => DAQ_irq , -- IN std_logic;
CTL_irq => CTL_irq , -- IN std_logic;
DLM_irq => DLM_irq , -- IN std_logic;
 
-- Fabric side: CTL Rx
ctl_rv => ctl_rv , -- OUT std_logic;
ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: CTL Tx
ctl_ttake => ctl_ttake , -- OUT std_logic;
ctl_tv => ctl_tv , -- IN std_logic;
ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
ctl_tstop => ctl_tstop , -- OUT std_logic;
 
ctl_reset => ctl_reset , -- OUT std_logic;
ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv => dlm_tv , -- OUT std_logic;
dlm_td => dlm_td , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv => dlm_rv , -- IN std_logic;
dlm_rd => dlm_rd , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0);
 
-- Event Buffer FIFO interface
eb_FIFO_we => eb_we , -- OUT std_logic;
eb_FIFO_wsof => eb_wsof , -- OUT std_logic;
1156,14 → 1155,14
DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
-- Data generator table write
tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0);
tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0);
tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- -- Data generator table write
-- tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0);
-- tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0);
-- tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
 
DG_is_Running => dg_running , -- IN std_logic;
DG_Reset => dg_rst , -- OUT STD_LOGIC;
DG_Mask => dg_mask , -- OUT STD_LOGIC
-- DG_is_Running => dg_running , -- IN std_logic;
-- DG_Reset => dg_rst , -- OUT STD_LOGIC;
-- DG_Mask => dg_mask , -- OUT STD_LOGIC
 
-------------------
-- Transaction Interface
1263,18 → 1262,18
-- Event Buffer wrapper
--
 
LEDs_IO_pin(0) <= trn_reset_n xor Format_Shower;
LEDs_IO_pin(1) <= trn_lnk_up_n xor DDR_Blinker;
LEDs_IO_pin(2) <= link_active(0);
LEDs_IO_pin(3) <= link_active(1); -- dg_debug_led;
LEDs_IO_pin(0) <= trn_reset_n;
LEDs_IO_pin(1) <= trn_lnk_up_n;
LEDs_IO_pin(2) <= Format_Shower;
LEDs_IO_pin(3) <= DDR_Blinker;
 
 
event_buffer0:
eb_wrapper
queue_buffer:
FIFO_wrapper
port map (
wr_clk => trn_clk , -- eb_wclk ,
wr_en => eb_we_up ,
din => eb_din_up ,
wr_en => eb_we ,
din => eb_din ,
pfull => eb_pfull ,
full => eb_full ,
 
1304,186 → 1303,186
eb_FIFO_Status(2) <= eb_full; -- daq_rstop;
eb_FIFO_Status(1) <= eb_pfull;
eb_FIFO_Status(0) <= eb_empty;
eb_FIFO_ow <= eb_we_up and eb_full;
eb_FIFO_ow <= eb_we and eb_full;
 
 
--
-- .......................
--
daq_rv <= eb_we;
daq_rsof <= eb_wsof;
daq_reof <= eb_weof;
daq_rd <= eb_din(C_DBUS_WIDTH-1 downto 0);
-- --
-- -- .......................
-- --
--
-- daq_rv <= eb_we;
-- daq_rsof <= eb_wsof;
-- daq_reof <= eb_weof;
-- daq_rd <= eb_din(C_DBUS_WIDTH-1 downto 0);
--
-- eb_we_up <= daq_tv or self_feed_daq;
-- eb_din_up <= C_ALL_ZEROS(72-1 downto C_DBUS_WIDTH+2) & daq_tsof & daq_teof & daq_td;
-- daq_tstop <= eb_pfull;
--
--
-- --
-- -- Protocol Interface
-- --
-- ABB_DCB_Interface0:
-- protocol_IF
-- port map (
-- -- DAQ Tx
-- data2send_start => data2send_start , -- OUT std_logic;
-- data2send_end => data2send_end , -- OUT std_logic;
-- data2send => data2send , -- OUT std_logic_vector(16-1 downto 0);
-- crc_error_send => crc_error_send , -- OUT std_logic;
-- data2send_stop => data2send_stop , -- IN std_logic;
--
-- -- DAQ Rx
-- data_rec_start => data_rec_start , -- IN std_logic;
-- data_rec_end => data_rec_end , -- IN std_logic;
-- data_rec => data_rec , -- IN std_logic_vector(16-1 downto 0);
-- crc_error_rec => crc_error_rec , -- IN std_logic;
-- data_rec_stop => data_rec_stop , -- OUT std_logic;
--
-- -- CTL Tx
-- ctrl2send_start => ctrl2send_start , -- OUT std_logic;
-- ctrl2send_end => ctrl2send_end , -- OUT std_logic;
-- ctrl2send => ctrl2send , -- OUT std_logic_vector(16-1 downto 0);
-- ctrl2send_stop => ctrl2send_stop , -- IN std_logic;
--
-- -- CTL Rx
-- ctrl_rec_start => ctrl_rec_start , -- IN std_logic;
-- ctrl_rec_end => ctrl_rec_end , -- IN std_logic;
-- ctrl_rec => ctrl_rec , -- IN std_logic_vector(16-1 downto 0);
-- ctrl_rec_stop => ctrl_rec_stop , -- OUT std_logic;
--
-- -- DLM Tx
-- dlm2send_va => dlm2send_va , -- OUT std_logic;
-- dlm2send_type => dlm2send_type , -- OUT std_logic_vector(4-1 downto 0);
--
-- -- DLM Rx
-- dlm_rec_va => dlm_rec_va , -- IN std_logic;
-- dlm_rec_type => dlm_rec_type , -- IN std_logic_vector(4-1 downto 0);
--
-- -- Common signals
-- link_tx_clk => link_tx_clk , -- IN std_logic;
-- link_rx_clk => link_rx_clk , -- IN std_logic;
-- link_active => link_active , -- IN std_logic_vector(2-1 downto 0);
-- protocol_clk => protocol_clk , -- OUT std_logic;
-- protocol_res_n => protocol_res_n , -- OUT std_logic;
--
--
-- -- Fabric side: DAQ Rx
-- daq_rv => daq_rv , -- IN std_logic;
-- daq_rsof => daq_rsof , -- IN std_logic;
-- daq_reof => daq_reof , -- IN std_logic;
-- daq_rd => daq_rd , -- IN std_logic_vector(64-1 downto 0);
-- daq_rstop => daq_rstop , -- OUT std_logic;
--
-- -- Fabric side: DAQ Tx
-- daq_tv => daq_tv , -- OUT std_logic;
-- daq_tsof => daq_tsof , -- OUT std_logic;
-- daq_teof => daq_teof , -- OUT std_logic;
-- daq_td => daq_td , -- OUT std_logic_vector(64-1 downto 0);
-- daq_tstop => daq_tstop , -- IN std_logic;
--
-- -- Fabric side: CTL Rx
-- ctl_rv => ctl_rv , -- IN std_logic;
-- ctl_rd => ctl_rd , -- IN std_logic_vector(32-1 downto 0);
-- ctl_rstop => ctl_rstop , -- OUT std_logic;
--
-- -- Fabric side: CTL Tx
-- ctl_ttake => ctl_ttake , -- IN std_logic;
-- ctl_tv => ctl_tv , -- OUT std_logic;
-- ctl_td => ctl_td , -- OUT std_logic_vector(32-1 downto 0);
-- ctl_tstop => ctl_tstop , -- IN std_logic;
--
-- ctl_reset => ctl_reset , -- IN std_logic;
-- ctl_status => ctl_status , -- OUT std_logic_vector(32-1 downto 0);
--
-- -- Fabric side: DLM Rx
-- dlm_tv => dlm_tv , -- IN std_logic;
-- dlm_td => dlm_td , -- IN std_logic_vector(4-1 downto 0);
--
-- -- Fabric side: DLM Tx
-- dlm_rv => dlm_rv , -- OUT std_logic;
-- dlm_rd => dlm_rd , -- OUT std_logic_vector(4-1 downto 0);
--
-- -- Interrupter triggers
-- DAQ_irq => open, -- DAQ_irq , -- OUT std_logic;
-- CTL_irq => CTL_irq , -- OUT std_logic;
-- DLM_irq => DLM_irq , -- OUT std_logic;
--
-- -- Data generator table write port
-- tab_sel => '1' , -- IN STD_LOGIC;
-- tab_we => tab_we , -- IN STD_LOGIC_VECTOR (2-1 downto 0);
-- tab_wa => tab_wa , -- IN STD_LOGIC_VECTOR (12-1 downto 0);
-- tab_wd => tab_wd , -- IN STD_LOGIC_VECTOR (64-1 downto 0);
--
-- -- DG control/status signal
-- dg_running => dg_running , -- OUT STD_LOGIC;
-- dg_mask => dg_mask , -- IN STD_LOGIC;
-- dg_rst => dg_rst , -- IN STD_LOGIC
--
-- -- DG debug signal
-- daq_start_led => dg_debug_led , -- OUT STD_LOGIC;
--
-- -- Fabric side: Common signals
-- trn_clk => trn_clk , -- IN std_logic;
-- protocol_link_act => protocol_link_act , -- OUT std_logic_vector(2-1 downto 0);
-- protocol_rst => protocol_rst -- IN std_logic
-- );
--
--
-- --
-- -- Module emulating the link
-- --
--
-- DCB_Link_module0:
-- pseudo_protocol_module
-- port map (
-- -- DAQ Tx
-- data2send_start => data2send_start , -- IN std_logic;
-- data2send_end => data2send_end , -- IN std_logic;
-- data2send => data2send , -- IN std_logic_vector(16-1 downto 0);
-- crc_error_send => crc_error_send , -- IN std_logic;
-- data2send_stop => data2send_stop , -- OUT std_logic;
--
-- -- DAQ Rx
-- data_rec_start => data_rec_start , -- OUT std_logic;
-- data_rec_end => data_rec_end , -- OUT std_logic;
-- data_rec => data_rec , -- OUT std_logic_vector(16-1 downto 0);
-- crc_error_rec => crc_error_rec , -- OUT std_logic;
-- data_rec_stop => data_rec_stop , -- IN std_logic;
--
-- -- CTL Tx
-- ctrl2send_start => ctrl2send_start , -- IN std_logic;
-- ctrl2send_end => ctrl2send_end , -- IN std_logic;
-- ctrl2send => ctrl2send , -- IN std_logic_vector(16-1 downto 0);
-- ctrl2send_stop => ctrl2send_stop , -- OUT std_logic;
--
-- -- CTL Rx
-- ctrl_rec_start => ctrl_rec_start , -- OUT std_logic;
-- ctrl_rec_end => ctrl_rec_end , -- OUT std_logic;
-- ctrl_rec => ctrl_rec , -- OUT std_logic_vector(16-1 downto 0);
-- ctrl_rec_stop => ctrl_rec_stop , -- IN std_logic;
--
-- -- DLM Tx
-- dlm2send_va => dlm2send_va , -- IN std_logic;
-- dlm2send_type => dlm2send_type , -- IN std_logic_vector(4-1 downto 0);
--
-- -- DLM Rx
-- dlm_rec_va => dlm_rec_va , -- OUT std_logic;
-- dlm_rec_type => dlm_rec_type , -- OUT std_logic_vector(4-1 downto 0);
--
-- -- dummy pin input !!!! not really exists
-- dummy_pin_in => "000", -- dummy_pin_in , -- IN std_logic_vector(3-1 downto 0);
---- dummy_pin_in => dummy_pin_in , -- IN std_logic_vector(3-1 downto 0);
--
-- -- Common interface
-- link_tx_clk => link_tx_clk , -- OUT std_logic;
-- link_rx_clk => link_rx_clk , -- OUT std_logic;
-- link_active => link_active , -- OUT std_logic_vector(2-1 downto 0);
-- clk => protocol_clk , -- IN std_logic;
-- res_n => protocol_res_n -- IN std_logic
-- );
 
eb_we_up <= daq_tv or self_feed_daq;
eb_din_up <= C_ALL_ZEROS(72-1 downto C_DBUS_WIDTH+2) & daq_tsof & daq_teof & daq_td;
daq_tstop <= eb_pfull;
 
 
--
-- Protocol Interface
--
ABB_DCB_Interface0:
protocol_IF
port map (
-- DAQ Tx
data2send_start => data2send_start , -- OUT std_logic;
data2send_end => data2send_end , -- OUT std_logic;
data2send => data2send , -- OUT std_logic_vector(16-1 downto 0);
crc_error_send => crc_error_send , -- OUT std_logic;
data2send_stop => data2send_stop , -- IN std_logic;
 
-- DAQ Rx
data_rec_start => data_rec_start , -- IN std_logic;
data_rec_end => data_rec_end , -- IN std_logic;
data_rec => data_rec , -- IN std_logic_vector(16-1 downto 0);
crc_error_rec => crc_error_rec , -- IN std_logic;
data_rec_stop => data_rec_stop , -- OUT std_logic;
 
-- CTL Tx
ctrl2send_start => ctrl2send_start , -- OUT std_logic;
ctrl2send_end => ctrl2send_end , -- OUT std_logic;
ctrl2send => ctrl2send , -- OUT std_logic_vector(16-1 downto 0);
ctrl2send_stop => ctrl2send_stop , -- IN std_logic;
 
-- CTL Rx
ctrl_rec_start => ctrl_rec_start , -- IN std_logic;
ctrl_rec_end => ctrl_rec_end , -- IN std_logic;
ctrl_rec => ctrl_rec , -- IN std_logic_vector(16-1 downto 0);
ctrl_rec_stop => ctrl_rec_stop , -- OUT std_logic;
 
-- DLM Tx
dlm2send_va => dlm2send_va , -- OUT std_logic;
dlm2send_type => dlm2send_type , -- OUT std_logic_vector(4-1 downto 0);
 
-- DLM Rx
dlm_rec_va => dlm_rec_va , -- IN std_logic;
dlm_rec_type => dlm_rec_type , -- IN std_logic_vector(4-1 downto 0);
 
-- Common signals
link_tx_clk => link_tx_clk , -- IN std_logic;
link_rx_clk => link_rx_clk , -- IN std_logic;
link_active => link_active , -- IN std_logic_vector(2-1 downto 0);
protocol_clk => protocol_clk , -- OUT std_logic;
protocol_res_n => protocol_res_n , -- OUT std_logic;
 
 
-- Fabric side: DAQ Rx
daq_rv => daq_rv , -- IN std_logic;
daq_rsof => daq_rsof , -- IN std_logic;
daq_reof => daq_reof , -- IN std_logic;
daq_rd => daq_rd , -- IN std_logic_vector(64-1 downto 0);
daq_rstop => daq_rstop , -- OUT std_logic;
 
-- Fabric side: DAQ Tx
daq_tv => daq_tv , -- OUT std_logic;
daq_tsof => daq_tsof , -- OUT std_logic;
daq_teof => daq_teof , -- OUT std_logic;
daq_td => daq_td , -- OUT std_logic_vector(64-1 downto 0);
daq_tstop => daq_tstop , -- IN std_logic;
 
-- Fabric side: CTL Rx
ctl_rv => ctl_rv , -- IN std_logic;
ctl_rd => ctl_rd , -- IN std_logic_vector(32-1 downto 0);
ctl_rstop => ctl_rstop , -- OUT std_logic;
 
-- Fabric side: CTL Tx
ctl_ttake => ctl_ttake , -- IN std_logic;
ctl_tv => ctl_tv , -- OUT std_logic;
ctl_td => ctl_td , -- OUT std_logic_vector(32-1 downto 0);
ctl_tstop => ctl_tstop , -- IN std_logic;
 
ctl_reset => ctl_reset , -- IN std_logic;
ctl_status => ctl_status , -- OUT std_logic_vector(32-1 downto 0);
 
-- Fabric side: DLM Rx
dlm_tv => dlm_tv , -- IN std_logic;
dlm_td => dlm_td , -- IN std_logic_vector(4-1 downto 0);
 
-- Fabric side: DLM Tx
dlm_rv => dlm_rv , -- OUT std_logic;
dlm_rd => dlm_rd , -- OUT std_logic_vector(4-1 downto 0);
 
-- Interrupter triggers
DAQ_irq => open, -- DAQ_irq , -- OUT std_logic;
CTL_irq => CTL_irq , -- OUT std_logic;
DLM_irq => DLM_irq , -- OUT std_logic;
 
-- Data generator table write port
tab_sel => '1' , -- IN STD_LOGIC;
tab_we => tab_we , -- IN STD_LOGIC_VECTOR (2-1 downto 0);
tab_wa => tab_wa , -- IN STD_LOGIC_VECTOR (12-1 downto 0);
tab_wd => tab_wd , -- IN STD_LOGIC_VECTOR (64-1 downto 0);
 
-- DG control/status signal
dg_running => dg_running , -- OUT STD_LOGIC;
dg_mask => dg_mask , -- IN STD_LOGIC;
dg_rst => dg_rst , -- IN STD_LOGIC
 
-- DG debug signal
daq_start_led => dg_debug_led , -- OUT STD_LOGIC;
 
-- Fabric side: Common signals
trn_clk => trn_clk , -- IN std_logic;
protocol_link_act => protocol_link_act , -- OUT std_logic_vector(2-1 downto 0);
protocol_rst => protocol_rst -- IN std_logic
);
 
 
--
-- Module emulating the link
--
 
DCB_Link_module0:
pseudo_protocol_module
port map (
-- DAQ Tx
data2send_start => data2send_start , -- IN std_logic;
data2send_end => data2send_end , -- IN std_logic;
data2send => data2send , -- IN std_logic_vector(16-1 downto 0);
crc_error_send => crc_error_send , -- IN std_logic;
data2send_stop => data2send_stop , -- OUT std_logic;
 
-- DAQ Rx
data_rec_start => data_rec_start , -- OUT std_logic;
data_rec_end => data_rec_end , -- OUT std_logic;
data_rec => data_rec , -- OUT std_logic_vector(16-1 downto 0);
crc_error_rec => crc_error_rec , -- OUT std_logic;
data_rec_stop => data_rec_stop , -- IN std_logic;
 
-- CTL Tx
ctrl2send_start => ctrl2send_start , -- IN std_logic;
ctrl2send_end => ctrl2send_end , -- IN std_logic;
ctrl2send => ctrl2send , -- IN std_logic_vector(16-1 downto 0);
ctrl2send_stop => ctrl2send_stop , -- OUT std_logic;
 
-- CTL Rx
ctrl_rec_start => ctrl_rec_start , -- OUT std_logic;
ctrl_rec_end => ctrl_rec_end , -- OUT std_logic;
ctrl_rec => ctrl_rec , -- OUT std_logic_vector(16-1 downto 0);
ctrl_rec_stop => ctrl_rec_stop , -- IN std_logic;
 
-- DLM Tx
dlm2send_va => dlm2send_va , -- IN std_logic;
dlm2send_type => dlm2send_type , -- IN std_logic_vector(4-1 downto 0);
 
-- DLM Rx
dlm_rec_va => dlm_rec_va , -- OUT std_logic;
dlm_rec_type => dlm_rec_type , -- OUT std_logic_vector(4-1 downto 0);
 
-- dummy pin input !!!! not really exists
dummy_pin_in => "000", -- dummy_pin_in , -- IN std_logic_vector(3-1 downto 0);
-- dummy_pin_in => dummy_pin_in , -- IN std_logic_vector(3-1 downto 0);
 
-- Common interface
link_tx_clk => link_tx_clk , -- OUT std_logic;
link_rx_clk => link_rx_clk , -- OUT std_logic;
link_active => link_active , -- OUT std_logic_vector(2-1 downto 0);
clk => protocol_clk , -- IN std_logic;
res_n => protocol_res_n -- IN std_logic
);
 
 
 
end Behavioral;
/trunk/rtl/FIFO_Wrapper.vhd
4,7 → 4,7
--
-- Create Date: 16:37:22 12 Feb 2009
-- Design Name:
-- Module Name: eb_wrapper - Behavioral
-- Module Name: FIFO_wrapper - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
30,7 → 30,7
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity eb_wrapper is
entity FIFO_wrapper is
Generic (
C_ASYNFIFO_WIDTH : integer := 72
);
50,10 → 50,10
data_count : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
rst : IN std_logic
);
end entity eb_wrapper;
end entity FIFO_wrapper;
 
 
architecture Behavioral of eb_wrapper is
architecture Behavioral of FIFO_wrapper is
 
--- 16384 x 72
component eb_fifo
/trunk/sim/tf64_pcie_trn.v
0,0 → 1,2214
`timescale 1ns / 1ps
 
////////////////////////////////////////////////////////////////////////////////
// Company: ziti, Uni. HD
// Engineer: wgao
// weng.ziti@gmail.com
//
// Create Date: 16:54:18 04 Nov 2008
// Design Name: tlpControl
// Module Name: tf64_pcie_trn.v
// Project Name: PCIE_SG_DMA
// Target Device:
// Tool versions:
// Description: PIO and DMA are both simulated.
//
// Verilog Test Fixture created by ISE for module: tlpControl
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
//
// Revision 1.00 - Released to OpenCores.org 14.09.2011
//
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
 
 
//`define RANDOM_SEQUENCE
 
/* Time parameters */
`define T_HALF_CYCLE_CLK 4.0
`define T_HALF_CYCLE_MEMCLK 5.0
`define T_DELAY_AFTER 0.0
`define T_DELTA 0.1
`define T_PIO_INTERVAL 50.0
`define T_DMA_INTERVAL 300.0
//`define T_DMA_TURN_AROUND 100.0
//`define T_STATISTIC_INTERVAL 10000.0
`define T_RX_NO_FC_PERIOD 1900000.0
`define T_TX_NO_FC_PERIOD 1500000.0
 
/* Memory size for simulation */
`define C_ARRAY_DIMENSION 4096
 
/* Start indices */
`define PIO_START_INDEX 'H0300
`define DMA_START_INDEX 'H0000
 
/* Request completion boundary */
`define C_RCB_16_DW 'H10
`define C_RCB_32_DW 'H20
 
/* BAR */
`define C_BAR0_HIT 7'H7E
`define C_BAR1_HIT 7'H7D
`define C_BAR2_HIT 7'H7B
`define C_BAR3_HIT 7'H77
`define C_BAR4_HIT 7'H6F
`define C_BAR5_HIT 7'H5F
`define C_BAR6_HIT 7'H3F
`define C_NO_BAR_HIT 7'H7F
 
 
/* Requester ID and Completer ID */
`define C_HOST_WRREQ_ID 16'H0ABC
`define C_HOST_RDREQ_ID 16'HE1E2
`define C_HOST_CPLD_ID 16'HC01D
 
/* 1st header */
`define HEADER0_MWR3_ 32'H40000000
`define HEADER0_MWR4_ 32'H60000000
`define HEADER0_MRD3_ 32'H00000000
`define HEADER0_MRD4_ 32'H20000000
`define HEADER0_CPLD 32'H4A000000
`define HEADER0_CPL 32'H0A000000
`define HEADER0_MSG 32'H34000001
 
/* Message codes */
`define C_MSG_CODE_INTA 8'H20
`define C_MSG_CODE_INTA_N 8'H24
 
/* Payload type */
`define USE_PRIVATE 1
`define USE_PUBLIC 0
 
/* General registers */
`define C_ADDR_VERSION 32'H0000
`define C_ADDR_IRQ_STAT 32'H0008
`define C_ADDR_IRQ_EN 32'H0010
`define C_ADDR_GSR 32'H0020
`define C_ADDR_GCR 32'H0028
 
/* Control registers for special ports */
`define C_ADDR_MRD_CTRL 32'H0074
`define C_ADDR_TX_CTRL 32'H0078
`define C_ADDR_ICAP 32'H007C
`define C_ADDR_EB_STACON 32'H0090
 
/* Downstream DMA channel registers */
`define C_ADDR_DMA_DS_PAH 32'H0050
`define C_ADDR_DMA_DS_CTRL 32'H006C
`define C_ADDR_DMA_DS_STA 32'H0070
 
/* Upstream DMA channel registers */
`define C_ADDR_DMA_US_PAH 32'H002C
`define C_ADDR_DMA_US_CTRL 32'H0048
`define C_ADDR_DMA_US_STA 32'H004C
 
/* DMA-specific constants */
`define C_DMA_RST_CMD 32'H0200000A
 
 
module tf64_pcie_trn();
 
// Inputs
reg trn_reset_n;
reg trn_lnk_up_n;
reg trn_clk;
reg trn_rsof_n;
reg trn_reof_n;
reg [63:0] trn_rd;
reg [7:0] trn_rrem_n;
reg trn_rsrc_rdy_n;
wire trn_rdst_rdy_n;
reg [6:0] trn_rbar_hit_n;
wire trn_rnp_ok_n;
reg trn_rerrfwd_n;
reg trn_rsrc_dsc_n;
wire trn_tsof_n;
wire trn_teof_n;
wire [63:0] trn_td;
wire [7:0] trn_trem_n;
wire trn_tsrc_rdy_n;
reg trn_tdst_rdy_n;
wire trn_terrfwd_n;
wire trn_tsrc_dsc_n;
reg trn_tdst_dsc_n;
reg [3:0] trn_tbuf_av;
// reg cfg_interrupt_rdy_n;
// reg [2:0] cfg_interrupt_mmenable;
// reg cfg_interrupt_msienable;
// reg [7:0] cfg_interrupt_do;
reg [5:0] pcie_link_width;
reg [15:0] cfg_dcommand;
reg [15:0] localID;
 
// Outputs
wire DDR_wr_v;
wire DDR_wr_sof;
wire DDR_wr_eof;
wire DDR_wr_Shift;
wire [1:0] DDR_wr_Mask;
wire [63:0] DDR_wr_din;
wire DDR_wr_full;
wire DDR_rdc_v;
wire DDR_rdc_sof;
wire DDR_rdc_eof;
wire DDR_rdc_Shift;
wire [63:0] DDR_rdc_din;
wire DDR_rdc_full;
wire DDR_FIFO_RdEn;
wire DDR_FIFO_Empty;
wire [63:0] DDR_FIFO_RdQout;
reg mbuf_UserFull;
wire DDR_Ready;
wire trn_Blinker;
reg mem_clk;
 
// FIFO
wire eb_FIFO_we;
wire [64-1:00] eb_FIFO_din;
wire eb_FIFO_re;
wire [72-1:00] eb_FIFO_qout;
wire [64-1:00] eb_FIFO_Status;
wire eb_FIFO_Rst;
 
wire eb_pfull;
wire eb_full;
wire eb_pempty;
wire eb_empty;
 
wire [27-1:0] eb_FIFO_Data_Count;
 
// flow control toggles
reg Rx_No_Flow_Control;
reg Tx_No_Flow_Control;
 
// message counters
reg [31:00] Accum_Msg_INTA = 0;
reg [31:00] Accum_Msg_INTA_n = 0;
 
// random seed
reg [127: 0] Op_Random;
 
// Generated Array
reg [15:00] ii;
reg [31:00] D_Array[`C_ARRAY_DIMENSION-1:00];
 
//
reg [ 7: 0] FSM_Trn;
reg [31: 0] Hdr_Array[3:0];
reg [31: 0] Private_Array[15:0];
reg [10: 0] Rx_TLP_Length;
reg [ 7: 0] Rx_MWr_Tag;
reg [ 4: 0] Rx_MRd_Tag;
reg [31:00] Tx_MRd_Addr;
reg [31:00] Tx_MRd_Leng;
reg [10: 0] tx_MRd_Length;
reg [ 7: 0] tx_MRd_Tag;
reg [ 7: 0] tx_MRd_Tag_k;
 
reg [31:00] DMA_PA;
reg [63:00] DMA_HA;
reg [63:00] DMA_BDA;
reg [31:00] DMA_Leng;
reg [31:00] DMA_L1;
reg [31:00] DMA_L2;
reg [02:00] DMA_bar;
reg DMA_ds_is_Last;
reg DMA_us_is_Last;
reg [31:00] CplD_Index;
 
reg Desc_tx_MRd_Valid;
reg [10:00] Desc_tx_MRd_Leng;
reg [31:00] Desc_tx_MRd_Addr;
reg [07:00] Desc_tx_MRd_TAG;
reg tx_MRd_come;
 
reg [63:00] PIO_Addr;
reg [31:00] PIO_Leng;
reg [ 3:00] PIO_1st_BE;
reg [ 6:00] PIO_bar;
//
wire DBG_dma_start;
 
 
 
// Instantiate the Unit Under Test (UUT)
tlpControl uut (
.mbuf_UserFull(mbuf_UserFull),
.trn_Blinker(trn_Blinker),
.eb_FIFO_we (eb_FIFO_we ) , // : OUT std_logic;
.eb_FIFO_din (eb_FIFO_din ) , // : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
.eb_FIFO_re (eb_FIFO_re ) , // : OUT std_logic;
.eb_FIFO_empty (eb_empty ) , // : IN std_logic;
.eb_FIFO_qout (eb_FIFO_qout[63:0] ) , // : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
.eb_FIFO_Data_Count (eb_FIFO_Data_Count ) , // : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
.eb_FIFO_Status (eb_FIFO_Status) , // : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
.eb_FIFO_Rst (eb_FIFO_Rst ) , // : OUT std_logic;
.Link_Buf_full (eb_pfull ) ,
.DMA_ds_Start (DBG_dma_start),
.DDR_Ready (DDR_Ready),
.DDR_wr_sof(DDR_wr_sof),
.DDR_wr_eof(DDR_wr_eof),
.DDR_wr_v(DDR_wr_v),
.DDR_wr_FA( ),
.DDR_wr_Shift(DDR_wr_Shift),
.DDR_wr_Mask(DDR_wr_Mask),
.DDR_wr_din(DDR_wr_din),
.DDR_wr_full(DDR_wr_full),
.DDR_rdc_sof(DDR_rdc_sof),
.DDR_rdc_eof(DDR_rdc_eof),
.DDR_rdc_v(DDR_rdc_v),
.DDR_rdc_FA( ),
.DDR_rdc_Shift(DDR_rdc_Shift),
.DDR_rdc_din(DDR_rdc_din),
.DDR_rdc_full(DDR_rdc_full),
.DDR_FIFO_RdEn(DDR_FIFO_RdEn),
.DDR_FIFO_Empty(DDR_FIFO_Empty),
.DDR_FIFO_RdQout(DDR_FIFO_RdQout),
.trn_clk(trn_clk),
.trn_reset_n(trn_reset_n),
.trn_lnk_up_n(trn_lnk_up_n),
.trn_rsof_n(trn_rsof_n),
.trn_reof_n(trn_reof_n),
.trn_rd(trn_rd),
.trn_rrem_n(trn_rrem_n),
.trn_rerrfwd_n(trn_rerrfwd_n),
.trn_rsrc_rdy_n(trn_rsrc_rdy_n),
.trn_rdst_rdy_n(trn_rdst_rdy_n),
.trn_rnp_ok_n(trn_rnp_ok_n),
.trn_rsrc_dsc_n(trn_rsrc_dsc_n),
.trn_rbar_hit_n(trn_rbar_hit_n),
.trn_tsof_n(trn_tsof_n),
.trn_teof_n(trn_teof_n),
.trn_td(trn_td),
.trn_trem_n(trn_trem_n),
.trn_terrfwd_n(trn_terrfwd_n),
.trn_tsrc_rdy_n(trn_tsrc_rdy_n),
.trn_tdst_rdy_n(trn_tdst_rdy_n),
.trn_tsrc_dsc_n(trn_tsrc_dsc_n),
.trn_tdst_dsc_n(trn_tdst_dsc_n),
.trn_tbuf_av(trn_tbuf_av),
// .cfg_interrupt_n(cfg_interrupt_n),
// .cfg_interrupt_rdy_n(cfg_interrupt_rdy_n),
// .cfg_interrupt_mmenable(cfg_interrupt_mmenable),
// .cfg_interrupt_msienable(cfg_interrupt_msienable),
// .cfg_interrupt_di(cfg_interrupt_di),
// .cfg_interrupt_do(cfg_interrupt_do),
// .cfg_interrupt_assert_n(cfg_interrupt_assert_n),
.pcie_link_width(pcie_link_width),
.cfg_dcommand(cfg_dcommand),
.localID(localID)
);
 
 
 
// Instantiate the BRAM module
bram_Control
bram_controller(
.DDR_wr_sof(DDR_wr_sof),
.DDR_wr_eof(DDR_wr_eof),
.DDR_wr_v(DDR_wr_v),
.DDR_wr_FA(1'b0),
.DDR_wr_Shift(DDR_wr_Shift),
.DDR_wr_Mask(DDR_wr_Mask),
.DDR_wr_din(DDR_wr_din),
// .DDR_wr_full(DDR_wr_full),
.DDR_rdc_sof(DDR_rdc_sof),
.DDR_rdc_eof(DDR_rdc_eof),
.DDR_rdc_v(DDR_rdc_v),
.DDR_rdc_FA(1'b0),
.DDR_rdc_Shift(DDR_rdc_Shift),
.DDR_rdc_din(DDR_rdc_din),
.DDR_rdc_full(DDR_rdc_full),
.DDR_FIFO_RdEn(DDR_FIFO_RdEn),
.DDR_FIFO_Empty(DDR_FIFO_Empty),
.DDR_FIFO_RdQout(DDR_FIFO_RdQout),
.DBG_dma_start(DBG_dma_start),
.DDR_Ready(DDR_Ready),
.DDR_blinker(DDR_blinker),
.Sim_Zeichen(Sim_Zeichen),
.mem_clk(mem_clk),
.trn_clk(trn_clk),
.trn_reset_n(trn_reset_n)
);
 
assign DDR_wr_full = 0;
 
 
// Instantiate the FIFO module
FIFO_wrapper
queue_buffer(
.wr_clk ( trn_clk ),
.wr_en ( eb_FIFO_we ),
.din ( {8'H0, eb_FIFO_din} ),
.pfull ( eb_pfull ),
.full ( eb_full ),
 
.rd_clk ( trn_clk ),
.rd_en ( eb_FIFO_re ),
.dout ( eb_FIFO_qout ),
.pempty ( eb_pempty ),
.empty ( eb_empty ),
.data_count ( eb_FIFO_Data_Count[14:1]),
 
.rst ( eb_FIFO_Rst )
);
 
assign eb_FIFO_Data_Count[26:15] = 0;
assign eb_FIFO_Data_Count[0] = 0;
assign eb_FIFO_Status = {34'H0, eb_FIFO_Data_Count, eb_pfull, eb_empty};
 
 
 
// initialiation
initial begin
// Initialize Inputs
trn_clk = 0;
mem_clk = 1;
trn_reset_n = 0;
trn_lnk_up_n = 1;
trn_rerrfwd_n = 1;
trn_rsrc_dsc_n = 1;
trn_tdst_dsc_n = 1;
trn_tbuf_av = -1;
 
// cfg_interrupt_rdy_n = 0;
// cfg_interrupt_mmenable = 0;
// cfg_interrupt_msienable = 0;
// cfg_interrupt_do = 0;
 
mbuf_UserFull = 0;
pcie_link_width = 'H19;
cfg_dcommand = 'H2000;
localID = 'HD841;
 
Rx_No_Flow_Control = 0;
Tx_No_Flow_Control = 0;
 
// Wait some nanoseconds for global reset to finish
#100;
trn_reset_n = 1;
trn_lnk_up_n = 0;
 
#10000;
// $stop();
 
end
 
// trn_clk toggles
always #`T_HALF_CYCLE_CLK
trn_clk = ~trn_clk;
 
// mem_clk toggles
always #`T_HALF_CYCLE_MEMCLK
mem_clk = ~mem_clk;
 
// Randoms generated for process flow
always @(posedge trn_clk) begin
Op_Random[ 31:00] = $random();
Op_Random[ 63:32] = $random();
Op_Random[ 95:64] = $random();
Op_Random[127:96] = $random();
end
 
 
/// Rx Flow Control
always # `T_RX_NO_FC_PERIOD
Rx_No_Flow_Control = ~Rx_No_Flow_Control;
 
/// Tx Flow Control
always # `T_TX_NO_FC_PERIOD
Tx_No_Flow_Control = ~Tx_No_Flow_Control;
 
// Signal prepared for trn_rsrc_rdy_n
reg trn_rsrc_rdy_n_seed;
always @(posedge trn_clk) begin
trn_rsrc_rdy_n_seed <= Op_Random[8] & Op_Random[10] & ~Rx_No_Flow_Control;
end
 
// trn_tdst_rdy_n
always @(posedge trn_clk )
begin
# `T_DELAY_AFTER
trn_tdst_rdy_n <= (Op_Random[24] & Op_Random[21] & ~Tx_No_Flow_Control) | ~trn_reset_n;
end
 
 
 
// Initialization mem in host
initial begin
for (ii = 0; ii< `C_ARRAY_DIMENSION; ii= ii+1) begin
`ifdef RANDOM_SEQUENCE
D_Array[ii] <= $random ();
`else
D_Array[ii] <= Inv_Endian ('H8760_0000 + ii + 1);
`endif
end
end
 
 
// Simulation procedure
initial begin
 
// Simulation Initialization
FSM_Trn <= 'H00;
Gap_Insert_Rx;
 
PIO_bar <= -1;
DMA_bar <= 'H1;
Rx_MWr_Tag <= 'H80;
Rx_MRd_Tag <= 'H20;
 
 
// Initialization: TLP
# 400
Rx_TLP_Length <= 'H01;
 
# `T_DELTA // reset TX module
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_TX_CTRL;
Private_Array[0] <= 'H0000000A;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
 
# `T_DELTA // Test MRd with 4-DW header BAR[0]
Hdr_Array[0] <= `HEADER0_MRD4_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_RDREQ_ID, 8'HA1, 4'Hf, 4'Hf};
Hdr_Array[2] <= -1;
Hdr_Array[3] <= `C_ADDR_VERSION;
 
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Gap_Insert_Rx;
 
 
# 100
Rx_TLP_Length <= 'H01;
 
 
# `T_DELTA // reset upstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_US_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
# `T_DELTA // reset downstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR4_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= -1;
Hdr_Array[3] <= `C_ADDR_DMA_DS_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
 
# `T_DELTA // reset Event Buffer FIFO
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_EB_STACON;
Private_Array[0] <= 'H0000000A;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
 
# `T_DELTA // Enable INTerrupts
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_IRQ_EN;
Private_Array[0] <= 'H0000_0003;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
 
 
 
/////////////////////////////////////////////////////////////////////
// PIO simulation //
/////////////////////////////////////////////////////////////////////
 
 
# `T_PIO_INTERVAL
;
 
FSM_Trn <= 'H04;
 
// ///////////////////////////////////////////////////////////////////
// PIO write & read BAR[0]
 
PIO_Addr <= `C_ADDR_DMA_US_PAH + 'H8;
PIO_bar <= `C_BAR0_HIT;
PIO_1st_BE <= 4'Hf;
Gap_Insert_Rx;
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
Private_Array[0] <= 'HF000_8888;
Rx_TLP_Length <= 'H01;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, PIO_bar);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
# `T_PIO_INTERVAL
;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MRD3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_RDREQ_ID, 3'H3, Rx_MRd_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
 
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, `PIO_START_INDEX, PIO_bar);
Rx_MRd_Tag <= Rx_MRd_Tag + 1;
Gap_Insert_Rx;
 
FSM_Trn <= 'H08;
 
 
 
// ///////////////////////////////////////////////////////////////////
// PIO write & read BAR[1]
PIO_Addr <= 'H8000;
PIO_bar <= `C_BAR1_HIT;
PIO_1st_BE <= 4'Hf;
Gap_Insert_Rx;
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
Private_Array[0] <= 'HA1111111;
Rx_TLP_Length <= 'H01;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, PIO_bar);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
# `T_PIO_INTERVAL
;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MRD3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_RDREQ_ID, 3'H3, Rx_MRd_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, `PIO_START_INDEX, PIO_bar);
Rx_MRd_Tag <= Rx_MRd_Tag + 1;
Gap_Insert_Rx;
 
FSM_Trn <= 'H10;
 
 
 
// ///////////////////////////////////////////////////////////////////
// PIO write & read BAR[2]
// NOTE: FIFO address is 64-bit aligned, only the lower 32-bit is
// accessible by BAR[2] PIO write and is returned in BAR[2]
// PIO read.
PIO_Addr <= 'H0;
PIO_bar <= `C_BAR2_HIT;
PIO_1st_BE <= 4'Hf;
Gap_Insert_Rx;
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
Private_Array[0] <= 'HB222_2222;
Rx_TLP_Length <= 'H01;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, PIO_bar);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
# `T_PIO_INTERVAL
;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MRD3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_RDREQ_ID, 3'H3, Rx_MRd_Tag, 4'Hf, PIO_1st_BE};
Hdr_Array[2] <= {PIO_Addr[31:2], 2'b00};
 
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, `PIO_START_INDEX, PIO_bar);
Rx_MRd_Tag <= Rx_MRd_Tag + 1;
Gap_Insert_Rx;
 
FSM_Trn <= 'H14;
 
 
 
# `T_DMA_INTERVAL
;
 
 
// ///////////////////////////////////////////////////////////////////
// DMA write & read BAR[1]
// Single-descriptor case
 
DMA_PA <= 'H1234;
DMA_HA <= 'H5000;
DMA_BDA <= 'Hffff;
DMA_Leng <= 'H0100;
DMA_bar <= 'H1;
DMA_ds_is_Last <= 'B1;
 
# `T_DELTA
// Initial DMA descriptor
Private_Array[0] <= -1;
Private_Array[1] <= DMA_PA[31:00]; //'H0300;
Private_Array[2] <= DMA_HA[63:32]; // 0;
Private_Array[3] <= DMA_HA[31:00]; // 'H4000;
Private_Array[4] <= DMA_BDA[63:32]; // 0;
Private_Array[5] <= DMA_BDA[31:00]; //'H0BDA0090;
Private_Array[6] <= DMA_Leng; //'H100;
Private_Array[7] <= {4'H0
,3'H1, DMA_ds_is_Last
,3'H0, 1'B1
,1'B0, DMA_bar
,1'B1
,15'H0
};
 
 
// DMA write
 
Rx_TLP_Length <= 'H01;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_DS_PAH;
 
// Write PA_H
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write PA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H1, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H2, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H3, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H4, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H5, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write LENG
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H6, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write CTRL and start the DMA
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H7, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H18;
 
 
// feeding the payload CplD
wait (tx_MRd_come);
Gap_Insert_Rx;
tx_MRd_come <= 'B0;
Tx_MRd_Leng <= DMA_Leng>>2;
Tx_MRd_Addr <= DMA_HA[31:0];
tx_MRd_Tag_k <= tx_MRd_Tag;
CplD_Index <= 'H0;
 
Gap_Insert_Rx;
Rx_TLP_Length <= 'H10;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
// Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H1C;
 
# `T_DMA_INTERVAL
;
 
 
 
// DMA read
 
Rx_TLP_Length <= 'H01;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_US_PAH;
 
// Write PA_H
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write PA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H1, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H2, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H3, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H4, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H5, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write LENG
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H6, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write CTRL and start the DMA
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H7, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H20;
 
# (`T_DMA_INTERVAL*4)
;
 
 
//////////////////////////////////////////////////////////////////////////////////
 
Rx_TLP_Length <= 'H01;
# `T_DELTA // reset downstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_DS_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
Rx_TLP_Length <= 'H01;
# `T_DELTA // reset upstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_US_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
//////////////////////////////////////////////////////////////////////////////////
 
 
FSM_Trn <= 'H24;
 
 
# `T_PIO_INTERVAL
;
 
 
 
// ///////////////////////////////////////////////////////////////////
// DMA write & read BAR[2]
// Multiple-descriptor case
//
 
DMA_PA <= 'H789ABC;
DMA_HA <= 'HDF0000;
DMA_BDA <= 'H0BDABDA0;
DMA_Leng <= 'H0208;
# `T_DELTA
DMA_L1 <= 'H0100;
# `T_DELTA
DMA_L2 <= DMA_Leng - DMA_L1;
DMA_bar <= 'H2;
DMA_ds_is_Last <= 'B0;
 
# `T_DELTA
// Initial DMA descriptor
Private_Array[0] <= -1;
Private_Array[1] <= DMA_PA[31:00];
Private_Array[2] <= DMA_HA[63:32]; // 0;
Private_Array[3] <= DMA_HA[31:00];
Private_Array[4] <= DMA_BDA[63:32]; // 0;
Private_Array[5] <= DMA_BDA[31:00];
Private_Array[6] <= DMA_L1;
Private_Array[7] <= {4'H0
,3'H1, DMA_ds_is_Last
,3'H0, 1'B1
,1'B0, DMA_bar
,1'B1
,15'H0
};
 
Rx_TLP_Length <= 'H01;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_DS_PAH;
 
// Write PA_H
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write PA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H1, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H2, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H3, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H4, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H5, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write LENG
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H6, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write CTRL and start the DMA
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H7, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H28;
 
 
// feeding the descriptor CplD
wait (Desc_tx_MRd_Valid);
Gap_Insert_Rx;
Desc_tx_MRd_Valid <= 'B0;
DMA_ds_is_Last <= 'B1;
Gap_Insert_Rx;
 
// Initial DMA descriptor
Private_Array[0] <= 0;
Private_Array[1] <= DMA_PA[31:00] + 'H500;
Private_Array[2] <= DMA_HA[63:32]; // 0;
Private_Array[3] <= DMA_HA[31:00] + 'H500;
Private_Array[4] <= -1; // dont-care
Private_Array[5] <= -1; // dont-care
Private_Array[6] <= DMA_L2;
Private_Array[7] <= {4'H0
,3'H1, DMA_ds_is_Last
,3'H0, 1'B1
,1'B0, DMA_bar
,1'B1
,15'H0
};
 
Rx_TLP_Length <= 'H08;
Gap_Insert_Rx;
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Rx_TLP_Length[9:0], 2'b00};
Hdr_Array[2] <= {localID, Desc_tx_MRd_TAG, 1'b0, DMA_BDA[6:0]};
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 0, `C_NO_BAR_HIT);
Gap_Insert_Rx;
 
 
// feeding the payload CplD
wait (tx_MRd_come);
Gap_Insert_Rx;
tx_MRd_come <= 'B0;
Tx_MRd_Leng <= DMA_L1>>2;
Tx_MRd_Addr <= DMA_HA[31:0];
tx_MRd_Tag_k <= tx_MRd_Tag;
CplD_Index <= 'H0;
 
Gap_Insert_Rx;
Rx_TLP_Length <= 'H10;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
// Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
// Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H2C;
 
 
// feeding the payload CplD (2nd descriptor)
wait (tx_MRd_come);
Gap_Insert_Rx;
tx_MRd_come <= 'B0;
Tx_MRd_Leng <= (DMA_L2>>2) - 'H2;
Tx_MRd_Addr <= DMA_HA[31:0] + 'H500;
tx_MRd_Tag_k <= tx_MRd_Tag_k + 'H1;
CplD_Index <= 'H40;
 
Gap_Insert_Rx;
Rx_TLP_Length <= 'H10;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
// Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
Rx_TLP_Length <= 'H02;
Tx_MRd_Leng <= 'H2;
tx_MRd_Tag_k <= tx_MRd_Tag_k + 'H1;
# `T_DELTA
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Tx_MRd_Leng[9:0], 2'b00};
Hdr_Array[2] <= {localID, tx_MRd_Tag_k, 1'b0, Tx_MRd_Addr[6:0]};
Tx_MRd_Leng <= Tx_MRd_Leng - Rx_TLP_Length;
Tx_MRd_Addr <= Tx_MRd_Addr + Rx_TLP_Length;
# `T_DELTA
TLP_Feed_Rx(`USE_PUBLIC, CplD_Index, `C_NO_BAR_HIT);
CplD_Index <= CplD_Index + Rx_TLP_Length;
Gap_Insert_Rx;
 
FSM_Trn <= 'H30;
 
 
 
# (`T_DMA_INTERVAL*2)
;
 
DMA_us_is_Last <= 'B0;
# `T_DELTA
// DMA read
Private_Array[0] <= 0;
Private_Array[1] <= DMA_PA[31:00];
Private_Array[2] <= DMA_HA[63:32]; // 0;
Private_Array[3] <= DMA_HA[31:00];
Private_Array[4] <= DMA_BDA[63:32]; // 0;
Private_Array[5] <= DMA_BDA[31:00] + 'H10000;
Private_Array[6] <= DMA_L1;
Private_Array[7] <= {4'H0
,3'H1, DMA_us_is_Last
,3'H0, 1'B1
,1'B0, DMA_bar
,1'B1
,15'H0
};
Rx_TLP_Length <= 'H01;
 
# `T_DELTA
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_US_PAH;
 
// Write PA_H
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write PA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H1, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H2, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write HA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H3, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_H
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H4, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write BDA_L
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H5, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write LENG
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H6, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
// Write CTRL and start the DMA
Hdr_Array[2] <= Hdr_Array[2] + 'H4;
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H7, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
Gap_Insert_Rx;
 
 
FSM_Trn <= 'H34;
 
 
// feeding the descriptor CplD
wait (Desc_tx_MRd_Valid);
Gap_Insert_Rx;
Desc_tx_MRd_Valid <= 'B0;
DMA_us_is_Last <= 'B1;
Gap_Insert_Rx;
 
// Initial DMA descriptor
Private_Array[0] <= 0;
Private_Array[1] <= DMA_PA[31:00] + 'H500;
Private_Array[2] <= DMA_HA[63:32]; // 0;
Private_Array[3] <= DMA_HA[31:00] + 'H500;
Private_Array[4] <= -1; // dont-care
Private_Array[5] <= -1; // dont-care
Private_Array[6] <= DMA_L2;
Private_Array[7] <= {4'H0
,3'H1, DMA_us_is_Last
,3'H0, 1'B1
,1'B0, DMA_bar
,1'B1
,15'H0
};
 
Rx_TLP_Length <= 'H08;
Gap_Insert_Rx;
Hdr_Array[0] <= `HEADER0_CPLD | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_CPLD_ID, 4'H0, Rx_TLP_Length[9:0], 2'b00};
Hdr_Array[2] <= {localID, Desc_tx_MRd_TAG, 1'b0, DMA_BDA[6:0]};
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 0, `C_NO_BAR_HIT);
Gap_Insert_Rx;
 
 
 
FSM_Trn <= 'H38;
 
# (`T_DMA_INTERVAL*8)
;
 
 
//////////////////////////////////////////////////////////////////////////////////
 
Rx_TLP_Length <= 'H01;
# `T_DELTA // reset downstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_DS_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
Rx_TLP_Length <= 'H01;
# `T_DELTA // reset upstream DMA channel
Hdr_Array[0] <= `HEADER0_MWR3_ | Rx_TLP_Length[9:0];
Hdr_Array[1] <= {`C_HOST_WRREQ_ID, Rx_MWr_Tag, 4'Hf, 4'Hf};
Hdr_Array[2] <= `C_ADDR_DMA_US_CTRL;
Private_Array[0] <= `C_DMA_RST_CMD;
 
# `T_DELTA
TLP_Feed_Rx(`USE_PRIVATE, 'H0, `C_BAR0_HIT);
Rx_MWr_Tag <= Rx_MWr_Tag + 1;
Gap_Insert_Rx;
 
//////////////////////////////////////////////////////////////////////////////////
 
 
FSM_Trn <= 'H40;
 
 
end
 
 
 
 
// ========================================== //
// Checking and verification //
// //
reg Err_signal;
// //
// //
// ========================================== //
 
// TLP format check out Rx
// in case stimuli incorrect: verification over verification
reg [ 7: 0] FSM_Rx_Fmt;
reg [10: 0] rxchk_TLP_Length;
reg rxchk_TLP_Has_Data;
reg rxchk_TLP_4DW_Hdr;
reg rxchk_Mem_TLP;
always @(negedge trn_clk )
if (!trn_reset_n) begin
FSM_Rx_Fmt <= 0;
end
else begin
 
case (FSM_Rx_Fmt)
 
'H00: begin
FSM_Rx_Fmt <= 'H010;
end
 
'H10: begin
if ( trn_rsrc_rdy_n | trn_rdst_rdy_n) begin
FSM_Rx_Fmt <= 'H10;
end
else if (~trn_reof_n) begin
$display ("\n %t:\n !! Unexpected trn_reof_n !!", $time);
Err_signal <= 1;
end
else if (~trn_rsof_n&trn_reof_n) begin
rxchk_TLP_Has_Data <= trn_rd[30+32];
rxchk_TLP_4DW_Hdr <= trn_rd[29+32];
rxchk_TLP_Length[10] <= (trn_rd[9+32:0+32]=='H0);
rxchk_TLP_Length[9:0] <= trn_rd[9+32:0+32];
if (trn_rd[28+32:25+32]) rxchk_Mem_TLP <= 0; // Msg or MsgD
else rxchk_Mem_TLP <= 1; // MWr, MRd or Cpl/D
FSM_Rx_Fmt <= 'H12;
end
else begin
$display ("\n %t:\n !! trn_rsof_n error!", $time);
Err_signal <= 1;
end
end
 
 
'H12: begin
if ( trn_rsrc_rdy_n | trn_rdst_rdy_n) begin
FSM_Rx_Fmt <= 'H12;
end
else if (!trn_rsof_n) begin
$display ("\n %t:\n !! trn_rsof_n error! should be 1.", $time);
Err_signal <= 1;
end
else begin
if (rxchk_TLP_4DW_Hdr & rxchk_TLP_Has_Data) begin
if (trn_reof_n) begin
Err_signal <= 0;
FSM_Rx_Fmt <= 'H20;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_reof_n error (4-Header, with Payload)! should be 1.", $time);
end
end
else if (rxchk_TLP_4DW_Hdr & !rxchk_TLP_Has_Data) begin
if (trn_reof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_reof_n error (4-Header, no Payload)! should be 0.", $time);
end
else if (trn_rrem_n=='H00) begin
Err_signal <= 0;
FSM_Rx_Fmt <= 'H10;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_rrem_n error (4-Header, no Payload)!", $time);
end
end
else if (!rxchk_TLP_4DW_Hdr & !rxchk_TLP_Has_Data) begin
if (trn_reof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_reof_n error (3-Header, with Payload)! should be 0.", $time);
end
else if (trn_rrem_n=='H0f) begin
Err_signal <= 0;
FSM_Rx_Fmt <= 'H10;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_rrem_n error (3-Header, no Payload)!", $time);
end
end
else if (rxchk_TLP_Length=='H1) begin // (!rxchk_TLP_4DW_Hdr & rxchk_TLP_Has_Data)
if (trn_reof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_reof_n error (3-Header, with Payload)! should be 0.", $time);
end
else if (trn_rrem_n=='H00) begin
Err_signal <= 0;
FSM_Rx_Fmt <= 'H10;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_rrem_n error (3-Header, no Payload)!", $time);
end
end
else begin // (!rxchk_TLP_4DW_Hdr & rxchk_TLP_Has_Data) & (rxchk_TLP_Length>'H1)
if (trn_reof_n) begin
Err_signal <= 0;
rxchk_TLP_Length <= rxchk_TLP_Length - 1;
FSM_Rx_Fmt <= 'H20;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_reof_n error (3-Header, no Payload)! should be 1.", $time);
end
end
 
// Address-Length combination check
if (rxchk_TLP_4DW_Hdr) begin
if (({1'b0, trn_rd[11:2]} + rxchk_TLP_Length[9:0])>11'H400) begin
$display ("\n\n %t:\n !! Rx 4KB straddled !!", $time);
$display ("\n Address=%08X Length=%04X (%04X bytes)\n\n", trn_rd[31:0], rxchk_TLP_Length, rxchk_TLP_Length<<2);
// Err_signal <= 1;
end
if (trn_rd[63:32]=='H0 && rxchk_Mem_TLP==1) begin
$display ("\n %t:\n !! Rx TLP should not be 4-DW headher !!", $time);
Err_signal <= 1;
end
end
else begin
if (({1'b0, trn_rd[11+32:2+32]} + rxchk_TLP_Length[9:0])>11'H400) begin
$display ("\n\n %t:\n !! Rx 4KB straddled !!", $time);
$display ("\n Address=%08X Length=%04X (%04X bytes)\n\n", trn_rd[63:32], rxchk_TLP_Length, rxchk_TLP_Length<<2);
// Err_signal <= 1;
end
end
end
end
 
 
'H20: begin
if ( trn_rsrc_rdy_n | trn_rdst_rdy_n) begin
FSM_Rx_Fmt <= 'H20;
end
else if (rxchk_TLP_Length==2) begin
if (trn_rrem_n=='H00 && trn_reof_n==0) begin
FSM_Rx_Fmt <= 'H10;
end
else begin
$display ("\n %t:\n !! trn_reof_n/trn_rrem_n error !!", $time);
Err_signal <= 1;
end
end
else if (rxchk_TLP_Length==1) begin
if (trn_rrem_n=='H0f && trn_reof_n==0) begin
FSM_Rx_Fmt <= 'H10;
end
else begin
$display ("\n %t:\n !! trn_reof_n/trn_rrem_n error !!", $time);
Err_signal <= 1;
end
end
else if (rxchk_TLP_Length==0) begin
$display ("\n %t:\n !! Rx TLP Length error !!", $time);
Err_signal <= 1;
end
else if (!trn_reof_n) begin
$display ("\n %t:\n !! trn_reof_n too early !!", $time);
Err_signal <= 1;
end
else begin
rxchk_TLP_Length <= rxchk_TLP_Length - 2;
FSM_Rx_Fmt <= 'H20;
end
end
 
default: begin
FSM_Rx_Fmt <= 'H00;
end
 
endcase
end
 
 
 
 
// TLP format check by Tx
reg [ 7: 0] FSM_TLP_Fmt;
reg [10: 0] tx_TLP_Length;
reg [12: 0] tx_TLP_Address;
reg tx_TLP_Has_Data;
reg tx_TLP_is_CplD;
reg tx_TLP_4DW_Hdr;
reg tx_Mem_TLP;
always @(negedge trn_clk )
if (!trn_reset_n) begin
FSM_TLP_Fmt <= 0;
end
else begin
 
case (FSM_TLP_Fmt)
 
'H00: begin
FSM_TLP_Fmt <= 'H010;
end
 
'H10: begin
if ( trn_tsrc_rdy_n | trn_tdst_rdy_n) begin
FSM_TLP_Fmt <= 'H10;
end
else if (~trn_teof_n) begin
$display ("\n %t:\n !! Unexpected trn_teof_n !!", $time);
Err_signal <= 1;
end
else if (~trn_tsof_n&trn_teof_n) begin
tx_TLP_Has_Data <= trn_td[30+32];
tx_TLP_4DW_Hdr <= trn_td[29+32];
tx_TLP_Length[10] <= (trn_td[9+32:0+32]=='H0);
tx_TLP_Length[9:0] <= trn_td[9+32:0+32];
tx_TLP_is_CplD <= trn_td[27+32];
if (trn_td[28+32:25+32]) tx_Mem_TLP <= 0; // Msg or MsgD
else tx_Mem_TLP <= 1; // MWr, MRd or Cpl/D
FSM_TLP_Fmt <= 'H12;
if (trn_td[31:16] == localID) begin
Err_signal <= 0;
end
else begin
$display ("\n %t:\n !! Tx Bad TLP ReqID for TLP !!", $time);
Err_signal <= 1;
end
end
else begin
$display ("\n %t:\n !! trn_tsof_n error!", $time);
Err_signal <= 1;
end
end
 
 
'H12: begin
if ( trn_tsrc_rdy_n | trn_tdst_rdy_n) begin
FSM_TLP_Fmt <= 'H12;
end
else if (!trn_tsof_n) begin
$display ("\n %t:\n !! trn_tsof_n error! should be 1.", $time);
Err_signal <= 1;
end
else begin
if (tx_TLP_4DW_Hdr & tx_TLP_Has_Data) begin
if (trn_teof_n) begin
Err_signal <= 0;
FSM_TLP_Fmt <= 'H20;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_teof_n error (4-Header, with Payload)! should be 1.", $time);
end
end
else if (tx_TLP_4DW_Hdr & !tx_TLP_Has_Data) begin
if (trn_teof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_teof_n error (4-Header, no Payload)! should be 0.", $time);
end
else if (trn_trem_n=='H00) begin
Err_signal <= 0;
FSM_TLP_Fmt <= 'H10;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_trem_n error (4-Header, no Payload)!", $time);
end
end
else if (!tx_TLP_4DW_Hdr & !tx_TLP_Has_Data) begin
if (trn_teof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_teof_n error (3-Header, with Payload)! should be 0.", $time);
end
else if (trn_trem_n=='H0f) begin
Err_signal <= 0;
FSM_TLP_Fmt <= 'H10;
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_trem_n error (3-Header, no Payload)!", $time);
end
end
else if (tx_TLP_Length=='H1) begin // (!tx_TLP_4DW_Hdr & tx_TLP_Has_Data)
if (trn_teof_n) begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_teof_n error (3-Header, with Payload)! should be 0.", $time);
end
else if (trn_trem_n=='H00) begin
if (tx_TLP_is_CplD && (trn_td[31+32:16+32]==`C_HOST_RDREQ_ID)) begin
Err_signal <= 0;
FSM_TLP_Fmt <= 'H10;
end
else if (tx_TLP_is_CplD) begin
Err_signal <= 1;
$display ("\n %t:\n !! CplD Requester ID Wrong (TLP Length ==1 )!! ", $time);
end
else begin
Err_signal <= 0;
FSM_TLP_Fmt <= 'H10;
end
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_trem_n error (3-Header, no Payload)!", $time);
end
end
else begin // (!tx_TLP_4DW_Hdr & tx_TLP_Has_Data) & (tx_TLP_Length>'H1)
if (trn_teof_n) begin
if (tx_TLP_is_CplD && (trn_td[31+32:16+32]==`C_HOST_RDREQ_ID)) begin
tx_TLP_Length <= tx_TLP_Length - 1;
FSM_TLP_Fmt <= 'H20;
end
else if (tx_TLP_is_CplD) begin
Err_signal <= 1;
$display ("\n %t:\n !! CplD Requester ID Wrong (TLP Length !=1 )!! ", $time);
end
else begin
tx_TLP_Length <= tx_TLP_Length - 1;
FSM_TLP_Fmt <= 'H20;
end
end
else begin
Err_signal <= 1;
$display ("\n %t:\n !! trn_teof_n error (3-Header, no Payload)! should be 1.", $time);
end
end
 
// Address-Length combination check
if (tx_TLP_4DW_Hdr) begin
if (({1'b0, trn_td[11:2]} + tx_TLP_Length[9:0])>11'H400) begin
$display ("\n %t:\n !! Tx 4KB straddled !!", $time);
$display ("\n Address=%08X Length=%04X (%04X bytes)\n", trn_td[31:0], tx_TLP_Length, tx_TLP_Length<<2);
Err_signal <= 1;
end
if (trn_td[63:32]=='H0 && tx_Mem_TLP==1) begin
$display ("\n %t:\n !! Tx TLP should not be 4-DW headher !!", $time);
Err_signal <= 1;
end
end
else begin
if (({1'b0, trn_td[11+32:2+32]} + tx_TLP_Length[9:0])>11'H400) begin
$display ("\n %t:\n !! Tx 4KB straddled !!", $time);
$display ("\n Address=%08X Length=%04X (%04X bytes)\n", trn_td[63:32], tx_TLP_Length, tx_TLP_Length<<2);
Err_signal <= 1;
end
end
 
end
end
 
 
'H20: begin
if ( trn_tsrc_rdy_n | trn_tdst_rdy_n) begin
FSM_TLP_Fmt <= 'H20;
end
else if (tx_TLP_Length==2) begin
if (trn_trem_n=='H00 && trn_teof_n==0) begin
FSM_TLP_Fmt <= 'H10;
end
else begin
$display ("\n %t:\n !! trn_teof_n/trn_trem_n error !!\n", $time);
Err_signal <= 1;
end
end
else if (tx_TLP_Length==1) begin
if (trn_trem_n=='H0f && trn_teof_n==0) begin
FSM_TLP_Fmt <= 'H10;
end
else begin
$display ("\n %t:\n !! trn_teof_n/trn_trem_n error !!\n", $time);
Err_signal <= 1;
end
end
else if (tx_TLP_Length==0) begin
$display ("\n %t:\n !! Tx TLP Length error !!", $time);
Err_signal <= 1;
end
else if (!trn_teof_n) begin
$display ("\n %t:\n !! trn_teof_n too early !!", $time);
Err_signal <= 1;
end
else begin
tx_TLP_Length <= tx_TLP_Length - 2;
FSM_TLP_Fmt <= 'H20;
end
end
 
default: begin
FSM_TLP_Fmt <= 'H00;
end
 
endcase
end
 
 
 
 
//************************************************//
//************************************************//
//************************************************//
 
reg [ 7:00] FSM_Tx_Desc_MRd;
// Descriptors MRd
always @(negedge trn_clk )
if (!trn_reset_n) begin
FSM_Tx_Desc_MRd <= 0;
Desc_tx_MRd_Valid <= 0;
end
else begin
 
case (FSM_Tx_Desc_MRd)
 
'H00: begin
FSM_Tx_Desc_MRd <= 'H10;
end
 
'H10: begin
case ({ trn_tsrc_rdy_n
, trn_tdst_rdy_n
, trn_tsof_n
, trn_td[15]
})
 
'B0001:
if ( (trn_td[31+32:24+32]=='H00 || trn_td[31+32:24+32]=='H20)
&&(trn_td[9+32:32]=='H8)) begin
Desc_tx_MRd_Leng[10] <= (trn_td[9+32:32]==0);
Desc_tx_MRd_Leng[9:0] <= trn_td[9+32:32];
Desc_tx_MRd_TAG <= trn_td[15:8];
FSM_Tx_Desc_MRd <= 'H31;
end
else begin
FSM_Tx_Desc_MRd <= 'H10;
end
 
default: begin
FSM_Tx_Desc_MRd <= 'H10;
end
 
endcase
end
 
 
'H31: begin // Low 32 bits Address
if (trn_tsrc_rdy_n|trn_tdst_rdy_n) begin
FSM_Tx_Desc_MRd <= 'H31;
end
else begin
Desc_tx_MRd_Addr <= trn_td[31:00];
Desc_tx_MRd_Valid <= 1;
FSM_Tx_Desc_MRd <= 'H10;
end
end
 
 
default: begin
FSM_Tx_Desc_MRd <= 'H00;
end
 
endcase
end
 
 
 
// DMA MRd out of Tx
reg [ 7: 0] FSM_Tx_MRd;
reg tx_DMA_MRd_A64b;
always @(negedge trn_clk )
if (!trn_reset_n) begin
FSM_Tx_MRd <= 0;
tx_MRd_come <= 0;
end
else begin
 
case (FSM_Tx_MRd)
 
'H00: begin
FSM_Tx_MRd <= 'H10;
end
 
'H10: begin
case ({ trn_tsrc_rdy_n
, trn_tdst_rdy_n
, trn_tsof_n
, trn_td[15]
})
 
'B0000:
case (trn_td[31+32:24+32])
'H00: begin // 3-dw header
tx_MRd_Length[9:0] <= trn_td[9+32:32];
tx_MRd_Length[10] <= (trn_td[9+32:32]=='H0)?1:0;
tx_MRd_Tag <= trn_td[15:8];
FSM_Tx_MRd <= 'H30;
tx_DMA_MRd_A64b <= 0;
end
 
'H20: begin // 4-dw header
tx_MRd_Length[9:0] <= trn_td[9+32:32];
tx_MRd_Length[10] <= (trn_td[9+32:32]=='H0)?1:0;
tx_MRd_Tag <= trn_td[15:8];
FSM_Tx_MRd <= 'H30;
tx_DMA_MRd_A64b <= 1;
end
 
default: begin
FSM_Tx_MRd <= 'H10; // Idle
end
endcase
 
default: begin
FSM_Tx_MRd <= 'H10;
end
 
endcase
end
 
 
'H30: begin
if (trn_tsrc_rdy_n|trn_tdst_rdy_n) begin
FSM_Tx_MRd <= 'H30;
end
else if( trn_td[1:0]==0) begin
FSM_Tx_MRd <= 'H10;
tx_MRd_come <= 'B1;
end
else begin
$display ("\n %t:\n !! Bad TLP Address for Tx MRd !!", $time);
Err_signal <= 1;
end
end
 
default: begin
FSM_Tx_MRd <= 'H00;
end
 
endcase
end
 
 
 
// Msg checking ...
reg [7: 0] fsm_Tx_Msg;
reg [3: 0] tx_Msg_Tag_Lo;
always @(negedge trn_clk )
if (!trn_reset_n) begin
fsm_Tx_Msg <= 0;
tx_Msg_Tag_Lo <= 1;
end
 
else begin
 
case (fsm_Tx_Msg)
 
'H00: begin
fsm_Tx_Msg <= 'H10;
end
 
'H10: begin
case ({ trn_tsrc_rdy_n
, trn_tdst_rdy_n
, trn_tsof_n
})
 
'B000:
if (trn_td[31+32:28+32]=='H3) begin
fsm_Tx_Msg <= 'H30;
if ( trn_td[11:8] != tx_Msg_Tag_Lo ) begin
$display ("\n %t:\n !! Msg Tag bad !!", $time, trn_td[11:8]);
Err_signal <= 1;
end
else if ( trn_td[7:0] == `C_MSG_CODE_INTA ) begin
// fsm_Tx_Msg <= 'H30;
Accum_Msg_INTA <= Accum_Msg_INTA + 1;
end
else if ( trn_td[7:0] == `C_MSG_CODE_INTA_N ) begin
// fsm_Tx_Msg <= 'H30;
Accum_Msg_INTA_n <= Accum_Msg_INTA_n + 1;
end
else begin
$display ("\n %t:\n !! Bad Msg code (0x%2x) !!", $time, trn_td[7:0]);
Err_signal <= 1;
end
end
else begin
fsm_Tx_Msg <= 'H10;
end
 
default: begin
fsm_Tx_Msg <= 'H10;
end
 
endcase
end
 
 
'H30: begin
if (trn_tsrc_rdy_n|trn_tdst_rdy_n) begin
fsm_Tx_Msg <= 'H30;
end
else if (trn_td) begin
$display ("\n %t:\n !! Msg data bad!!", $time);
Err_signal <= 1;
end
else begin
fsm_Tx_Msg <= 'H10;
tx_Msg_Tag_Lo <= tx_Msg_Tag_Lo + 1;
end
end
 
 
default: begin
fsm_Tx_Msg <= 'H00;
end
 
endcase
end
 
 
 
// ================================================= //
// ======= Interrupt uneven checking ======= //
// ================================================= //
always @ Accum_Msg_INTA
if (Accum_Msg_INTA>Accum_Msg_INTA_n+1) begin
$display("\n\n INTA overrun at %t\n\n", $time);
Err_signal <= 1;
end
 
//
always @ Accum_Msg_INTA_n
if (Accum_Msg_INTA_n>Accum_Msg_INTA) begin
$display("\n\n #INTA overrun at %t\n\n", $time);
Err_signal <= 1;
end
 
 
 
 
// ***************************************** //
// Tasks //
// ***************************************** //
 
///////////////////////////////////////////////
// Wait for the next positive clock event //
///////////////////////////////////////////////
task To_the_next_Event;
begin
wait (!trn_clk);
wait (trn_clk);
# `T_DELAY_AFTER ;
end
endtask
 
///////////////////////////////////////////////
// Wait for the next negative clock event //
///////////////////////////////////////////////
task To_the_next_Tx_Data;
begin
wait (trn_clk);
wait (!trn_clk);
# `T_DELAY_AFTER ;
end
endtask
 
 
///////////////////////////////////////////////
// Insert GAP //
///////////////////////////////////////////////
task Gap_Insert_Rx;
begin
To_the_next_Event;
trn_rsof_n <= 1;
trn_reof_n <= 1;
trn_rsrc_rdy_n <= 1;
trn_rbar_hit_n <= `C_NO_BAR_HIT;
trn_rd <= -1;
trn_rrem_n <= -1;
end
endtask
 
 
///////////////////////////////////////////////
// //
// Feed TLP to Rx: MRd, MWr, Cpl/D, Msg //
// //
///////////////////////////////////////////////
task TLP_Feed_Rx;
input Use_Private_Array; // Public or private
input [11:0] IndexA; // Start point in the Array
input [ 6:0] BAR_Hit_N; // Which BAR is hit
 
// integer hdr_Leng;
reg TLP_has_Payload;
reg TLP_hdr_4DW;
reg [10:0] jr;
reg [10:0] payload_Leng;
 
begin
 
// TLP format extraction
TLP_has_Payload <= Hdr_Array[0][30] ;
// hdr_Leng <= Hdr_Array[0][29] + 3;
TLP_hdr_4DW <= Hdr_Array[0][29];
 
// Header #0
To_the_next_Event;
trn_rsof_n <= 0;
trn_reof_n <= 1;
trn_rsrc_rdy_n <= 0;
trn_rbar_hit_n <= BAR_Hit_N;
trn_rd <= {Hdr_Array[0], Hdr_Array[1]};
trn_rrem_n <= 0;
 
payload_Leng <= {Hdr_Array[0][9:0]?1'b0:1'b1, Hdr_Array[0][9:0]};
 
// Header words # 1
for (jr=1; jr<2; jr=jr+1) begin
To_the_next_Event;
trn_rsrc_rdy_n <= trn_rsrc_rdy_n_seed;
if (trn_rsrc_rdy_n_seed) begin
trn_rsof_n <= trn_rsof_n;
trn_rd <= trn_rd;
trn_rrem_n <= trn_rrem_n;
trn_reof_n <= trn_reof_n;
// #0.1 jr <= jr-1;
jr = jr-1; // !! not <= !!
end
else begin
trn_rsof_n <= 1;
if (TLP_hdr_4DW) begin
trn_rrem_n <= 'H00;
trn_rd <= {Hdr_Array[2], Hdr_Array[3]};
end
else if (TLP_has_Payload) begin
trn_rrem_n <= 'H00;
if (Use_Private_Array)
trn_rd <= {Hdr_Array[2],Inv_Endian (Private_Array[IndexA])};
else
trn_rd <= {Hdr_Array[2],Inv_Endian (D_Array[IndexA])};
end
else begin
trn_rrem_n <= 'H0f;
trn_rd <= {Hdr_Array[2], 32'H0};
end
if (payload_Leng<='H1 && TLP_hdr_4DW==0) begin
trn_reof_n <= 0;
end
else if (!TLP_has_Payload) begin
trn_reof_n <= 0;
end
else begin
trn_reof_n <= 1;
end
end
end // Header done.
 
// Payload data ...
if ((TLP_has_Payload && TLP_hdr_4DW) || (TLP_has_Payload && (payload_Leng>'H1) && !TLP_hdr_4DW))
 
for (jr=(!TLP_hdr_4DW); jr<payload_Leng; jr=jr+2) begin
To_the_next_Event;
trn_rsrc_rdy_n <= trn_rsrc_rdy_n_seed;
if (trn_rsrc_rdy_n_seed) begin
trn_rd <= trn_rd;
trn_rrem_n <= trn_rrem_n;
trn_reof_n <= trn_reof_n;
// #0.1 jr <= jr-1;
jr = jr-2; // !! not <= !!
end
else begin
if (jr==payload_Leng-1 || jr==payload_Leng-2) begin
trn_reof_n <= 0;
end
else begin
trn_reof_n <= 1;
end
 
if (jr==payload_Leng-1) begin
trn_rrem_n <= 'H0f;
if (Use_Private_Array)
trn_rd <= {Inv_Endian(Private_Array[IndexA+jr]), 32'Hffff_ffff};
else
trn_rd <= {Inv_Endian(D_Array[IndexA+jr]), 32'Hffff_ffff};
end
else begin
trn_rrem_n <= 'H00;
if (Use_Private_Array)
trn_rd <= {Inv_Endian(Private_Array[IndexA+jr]), Inv_Endian(Private_Array[IndexA+jr+1])};
else
trn_rd <= {Inv_Endian(D_Array[IndexA+jr]), Inv_Endian(D_Array[IndexA+jr+1])};
end
 
 
end
end
// Payload done.
 
end
endtask
 
 
/////////////////////////////////////////////
// //
// Function - Endian Inversion 64-bit //
// //
/////////////////////////////////////////////
function [31:00] Inv_Endian;
input [31:00] Data;
begin
Inv_Endian = {Data[ 7: 0], Data[15: 8], Data[23:16], Data[31:24]};
end
endfunction
 
 
endmodule
/trunk/xise/dma_top.gise
22,38 → 22,13
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="dma_top.xise"/>
 
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/afifo_1024x72.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/afifo_1024x72.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/afifo_1024x72.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/afifo_1024x72.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/afifo_1024x72.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/afifo_1024x72.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/afifo_1024x72.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/afifo_256x36.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/afifo_256x36.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/afifo_256x36.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/afifo_256x36.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/afifo_256x36.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/afifo_256x36.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/afifo_256x36.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/afifo_256x36c_fwft.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/afifo_256x36c_fwft.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/afifo_256x36c_fwft.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/afifo_256x36c_fwft.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/afifo_256x36c_fwft.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/afifo_256x36c_fwft.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/afifo_256x36c_fwft.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/afifo_8x8.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/afifo_8x8.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/afifo_8x8.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/afifo_8x8.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/afifo_8x8.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/afifo_8x8.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/afifo_8x8.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/bram4096x64.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/bram4096x64.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/bram4096x64.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/bram4096x64.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/bram4096x64.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/bram4096x64.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/eb_fifo_counted.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/eb_fifo_counted.ngc" xil_pn:origination="imported"/>
60,37 → 35,153
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/eb_fifo_counted.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/eb_fifo_counted.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/eb_fifo_counted.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/eb_fifo_counted.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/eb_fifo_counted.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/eb_fifo_counted.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/mBuf_128x72.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/mBuf_128x72.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/mBuf_128x72.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/mBuf_128x72.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/mBuf_128x72.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/mBuf_128x72.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/pkt_counter_1024.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/pkt_counter_1024.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/pkt_counter_1024.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/pkt_counter_1024.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/pkt_counter_1024.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../cores/prim_FIFO_plain.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/prim_FIFO_plain.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../cores/prim_FIFO_plain.sym" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/prim_FIFO_plain.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/prim_FIFO_plain.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/prim_FIFO_plain.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/prim_FIFO_plain.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/prim_FIFO_plain.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../cores/v5sfifo_15x128.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VERILOG" xil_pn:name="../cores/v5sfifo_15x128.v" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VEO" xil_pn:name="../cores/v5sfifo_15x128.veo" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/v5sfifo_15x128.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHDL" xil_pn:name="../cores/v5sfifo_15x128.vhd" xil_pn:origination="imported">
<branch xil_pn:name="Implementation"/>
<branch xil_pn:name="BehavioralSim"/>
</file>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../cores/v5sfifo_15x128.vho" xil_pn:origination="imported"/>
<file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_MODELSIM_CMD" xil_pn:name="tf64_pcie_trn.fdo"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="v5pcieDMA_guide.ncd" xil_pn:origination="imported"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1316006425" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1316006425" xil_pn:in_ck="288365123420918390" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="../rtl/DDR_Blinker.vhd"/>
<outfile xil_pn:name="../rtl/DMA_Calculate.vhd"/>
<outfile xil_pn:name="../rtl/DMA_FSM.vhd"/>
<outfile xil_pn:name="../rtl/FF_tagram64x36.vhd"/>
<outfile xil_pn:name="../rtl/FIFO_Wrapper.vhd"/>
<outfile xil_pn:name="../rtl/Interrupts.vhd"/>
<outfile xil_pn:name="../rtl/Registers.vhd"/>
<outfile xil_pn:name="../rtl/RxIn_Delays.vhd"/>
<outfile xil_pn:name="../rtl/Tx_Output_Arbitor.vhd"/>
<outfile xil_pn:name="../rtl/bram_Control.vhd"/>
<outfile xil_pn:name="../rtl/pkg_dma.vhd"/>
<outfile xil_pn:name="../rtl/rx_CplD_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_MRd_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_MWr_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_Transact.vhd"/>
<outfile xil_pn:name="../rtl/rx_dsDMA_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_usDMA_Channel.vhd"/>
<outfile xil_pn:name="../rtl/tlpControl.vhd"/>
<outfile xil_pn:name="../rtl/tx_Mem_Reader.vhd"/>
<outfile xil_pn:name="../rtl/tx_Transact.vhd"/>
<outfile xil_pn:name="../rtl/v5pcieDMA.vhd"/>
<outfile xil_pn:name="../sim/tf64_pcie_trn.v"/>
</transform>
<transform xil_pn:end_ts="1316006425" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-9077629223179277692" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1316006425" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="1166899318583090546" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1316006425" xil_pn:in_ck="584967772436445401" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="694583080691470134" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="../cores/bram4096x64.ngc"/>
<outfile xil_pn:name="../cores/bram4096x64.vhd"/>
<outfile xil_pn:name="../cores/eb_fifo_counted.ngc"/>
<outfile xil_pn:name="../cores/eb_fifo_counted.vhd"/>
<outfile xil_pn:name="../cores/mBuf_128x72.ngc"/>
<outfile xil_pn:name="../cores/mBuf_128x72.vhd"/>
<outfile xil_pn:name="../cores/prim_FIFO_plain.ngc"/>
<outfile xil_pn:name="../cores/prim_FIFO_plain.vhd"/>
<outfile xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.ngc"/>
<outfile xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.vhd"/>
<outfile xil_pn:name="../cores/v5sfifo_15x128.ngc"/>
<outfile xil_pn:name="../cores/v5sfifo_15x128.vhd"/>
</transform>
<transform xil_pn:end_ts="1316006425" xil_pn:in_ck="-4617413950069613313" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="../cores/bram4096x64.vhd"/>
<outfile xil_pn:name="../cores/eb_fifo_counted.vhd"/>
<outfile xil_pn:name="../cores/mBuf_128x72.vhd"/>
<outfile xil_pn:name="../cores/prim_FIFO_plain.vhd"/>
<outfile xil_pn:name="../cores/v5pcie_ep_blk_plus_4x.vhd"/>
<outfile xil_pn:name="../cores/v5sfifo_15x128.vhd"/>
<outfile xil_pn:name="../rtl/DDR_Blinker.vhd"/>
<outfile xil_pn:name="../rtl/DMA_Calculate.vhd"/>
<outfile xil_pn:name="../rtl/DMA_FSM.vhd"/>
<outfile xil_pn:name="../rtl/FF_tagram64x36.vhd"/>
<outfile xil_pn:name="../rtl/FIFO_Wrapper.vhd"/>
<outfile xil_pn:name="../rtl/Interrupts.vhd"/>
<outfile xil_pn:name="../rtl/Registers.vhd"/>
<outfile xil_pn:name="../rtl/RxIn_Delays.vhd"/>
<outfile xil_pn:name="../rtl/Tx_Output_Arbitor.vhd"/>
<outfile xil_pn:name="../rtl/bram_Control.vhd"/>
<outfile xil_pn:name="../rtl/pkg_dma.vhd"/>
<outfile xil_pn:name="../rtl/rx_CplD_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_MRd_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_MWr_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_Transact.vhd"/>
<outfile xil_pn:name="../rtl/rx_dsDMA_Channel.vhd"/>
<outfile xil_pn:name="../rtl/rx_usDMA_Channel.vhd"/>
<outfile xil_pn:name="../rtl/tlpControl.vhd"/>
<outfile xil_pn:name="../rtl/tx_Mem_Reader.vhd"/>
<outfile xil_pn:name="../rtl/tx_Transact.vhd"/>
<outfile xil_pn:name="../rtl/v5pcieDMA.vhd"/>
<outfile xil_pn:name="../sim/tf64_pcie_trn.v"/>
</transform>
<transform xil_pn:end_ts="1316006426" xil_pn:in_ck="-4617413950069613313" xil_pn:name="TRAN_MSimulateBehavioralModel" xil_pn:prop_ck="-7601116106744018358" xil_pn:start_ts="1316006425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="tf64_pcie_trn.fdo"/>
</transform>
</transforms>
 
</generated_project>
/trunk/xise/dma_top.xise
15,10 → 15,6
<version xil_pn:ise_version="12.4" xil_pn:schema_version="2"/>
 
<files>
<file xil_pn:name="../rtl/protocol_if.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/Registers.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
59,26 → 55,14
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/class_dlm.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/rx_dsDMA_Channel.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/class_ctl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/tx_Transact.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/class_daq.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/tlpControl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
103,10 → 87,6
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/pseudo_protocol_module.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/prim_FIFO_plain.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
119,18 → 99,10
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/afifo_256x36.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/eb_fifo_counted.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/afifo_256x36c_fwft.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/mBuf_128x72.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
139,14 → 111,6
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/afifo_8x8.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/afifo_1024x72.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../rtl/DMA_FSM.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
162,9 → 126,11
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="../cores/pkt_counter_1024.xco" xil_pn:type="FILE_COREGEN">
<file xil_pn:name="../sim/tf64_pcie_trn.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<association xil_pn:name="PostMapSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
<association xil_pn:name="PostTranslateSimulation"/>
</file>
</files>
 
399,7 → 365,7
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Base Name" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="v5pcieDMA" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Existing Symbol" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
476,8 → 442,8
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="SelectMAP Abort Sequence" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/ddr2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.ddr2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/tf64_pcie_trn" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.tf64_pcie_trn" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
570,7 → 536,7
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|tf" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|tf64_pcie_trn" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="Optic_v5DMA" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="virtex5" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
/trunk/docs/ug_PCIeDMA_v1.2.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/docs/ug_PCIeDMA_v1.2.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/cores/afifo_8x8.ngc =================================================================== --- trunk/cores/afifo_8x8.ngc (revision 2) +++ trunk/cores/afifo_8x8.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.5e -$32041<,[o}e~g`n;"2*776&=$95-*>;133?56789:;<=>?012344=6;2;%<<5=1191>LHW]]0OEL2>:1<24>4=AGZ^X7JFB=394;773;0BB][[:EK@84<768:0>7GAPTV9@LB;93:5=<5=:NWWTPR=LFK7=7>11091>JSSX\^1HBL31;2=54=52F__\XZ5DNA?5?6998196B[[PTV9@JB;93:5=h5;:HLSQQ7>586:2?1EC^ZT;CG@WD;<3:5=?5::HLSQQ0081?OIX\^1MIJ]D=694;773<|gtHKJ==59FG4EBC@ANOL=JK8>0::9MKVR\3nbb1950?31?=4>586823;<4>?012345?780805;??;8;34GBF=9:;?5N339B04602KOH_O30?:8EABUI5;546OKDSC?6;>18:CG@WD;9720MIJ]B=0=<>GCL[H7?3o4AEFQF92=8720MIJ]B=6=<>GCL[I7<364AEFQG97902KOH_M32?:8EABUK595m6OKDSA?0?6902KOH_M34?:8EABUL5:546OKDSF?5;>99GMD:6294=7IGN<0<5?AOE49437IGM<083:3=CAK6:2;5KIB>3:==CAJ6:6=09;EK@84813MCO0=07;EKG84<76?1OEI2>>79GKD:7611OCL2>:1<5?AIF484=7IAM<1<;?AIE480;2;5KOC>2:3=CGJ6;255KOB>2>5813MEH0<09;EMG858?3MEO0<4?>79GKA:66:1O\B;4DQPB40=CX[K:96J_R@06?AVUI:?0H]\N439E@6=ALJ>0JIMJ3:DGT1=ALYO>7Kocsd38C6=@FM;0E?5F039J57=N:;1B??5F439J1<=NF@^XXLZJ6:KMMQYF>2CEEYQM6:KMMQYD>2CEEYQK3:KMR6=NGK<0@BOKEE58HJANKHF?7A[[259OQQ533E__895CUU46?HU@GGi0Ald`rWgqwliik2Gbbb|Yesqjkk5H6?:1E=5=4N0;0?K47;2D9>>5A4918J1?53G?87C;?3:L656=I=;90B8=<;O777>H2=:1E9;=4N721?K153G3m7CLPBTQSMKYWZFZX;6@JTVMQO4=H:2E@=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T0@64R@O06]7C>2YDY_MJ3:QSK1=SQYO8?6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Rlfn^zlv5678VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\flhXpfx;<=?PRdqvhq74;2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVhbbRv`r1236ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6789UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=?PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0121[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQmio]{kw6789UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[goiWqey<=>>_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UiecQwos2347YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789:T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>>_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1236ZTb{|f=??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2?>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>2:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:56;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag682?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ?219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^314>S7'nxm"h gbz-gim'{nT|cz}_ckm[7473\:$kh!rg-dg}(ddbr$~iQnup\flhX;;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0105?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789;9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012165=R8&myj#|i/fa{*fjlp&xoS}`{r^e`858582_;#j|i.sd,cf~)keas#jPpovq[be;978;7X> gsd-vc)`kq$h`fv re]sjqtXoj692?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1=11g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_13e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]25c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[77a3\:$kh!rg-dg}(ddbr$~iQnup\cfY4:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo595>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]360=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU:>85Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]160=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnU8>45Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5969:01^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1=3=6<=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=1<1289V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqabYc9595>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z6502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_00;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T>?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y4:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>?249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4566:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>=209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=2=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj979:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1=1219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^214>S7'nxm"h gbz-gim'~xT|cz}_ckm[4473\:$kh!rg-dg}(ddbr${Qnup\flhX:;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU8>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123470<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<<<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp3454582_;#j|i.sd,cf~)keas#z|Ppovq[be;878;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*quWyd~Ril<2<2b>S7'nxm"h gbz-gim'~xT|cz}_fa\44`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ76n2_;#j|i.sd,cf~)keas#z|Ppovq[beX:8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV99:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=2=63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6:2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?6;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0>0=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ65=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR?=5:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZ45=2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkR==9:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6494956[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg^f2848512_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj><3<1=>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:0>0=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W9837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\57><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmThS7'nxm"h gbz-gim'~xT|cz}_fa\|jt789;996[?/fpe*w`(ojr%oaew/vp\tkruWniTtb|?0101=>S7'nxm"h gm2-va)`zhy%~~z|/scn[`kw|pUmnRg<0:W3+bta&{l$ka>!re-dvdu)zz~x#ob_dosp|YajVcTc>?0110?P6(o{l%~k!hl1,q`*auiz$yy} r`o\ahvsqVliSdQ`r1234452;:1^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789::9>=8;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`Ud~=>?0070[VQ7:?1^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPi318Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr5<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex<<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw672<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~8??5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:768;TECXP03a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7=3?>2b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{692!re-qtkru'DidyczPcnwmpZ~hz595=11g9V4*aun'xm#jb?.sf,vuhsz&idycz31?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=0=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?7;7b3\:$kh!rg-dh5(ul&x{by| cnwmpZ66m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY69l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX:8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW:897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=3=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:56;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;7?3<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_102?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[4463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W;8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S><;;T2,cw`)zo%l`= }d.psjqt(kfexR``t12357><]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTjoQf249V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYn:j1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf_np34565n2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`RgPos234574=;l0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi^mq45679<98?6[?/fpe*w`(oe:%{!hw`q-svrt'{kfSk{cl^k\kw6789;>?R]X00f8Q5)`zo$yj"ic0/uq+wgjW~xTicQf1318Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr5<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex<<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw672<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~8??5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:768;TECXP03a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7=3?>2b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{692!ws-ttkru'DidyczPcnwmpZ~hz595=11g9V4*aun'xm#jb?.vp,suhsz&idycz31?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=0=5c=R8&myj#|i/fn3*rt(yd~"m`uov?7;7b3\:$kh!rg-dh5(pz&}{by| cnwmpZ66m2_;#j|i.sd,ci6){%||cz}/bmvjqY69l1^<"i}f/pe+bj7&~x${}`{r.alqkrX:8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW:897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0=0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=3=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:56;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7?3<>;T2,cw`)zo%l`= xr.usjqt(kfexRj>_102?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f2[4463\:$kh!rg-dh5(pz&}{by| cnwmpZb6W;8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn:S><=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<1<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6979:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;692?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<33?02?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[5463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W88:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S?<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_207?P6(o{l%~k!hl1,tv*qwf}x$ob{at^llp56798o0Y=!hrg,qb*kbe&moa#jb/LpbiZTFE8;m7X> gsd-vc)jmd%lh` km.OqehYUID8:=k5Z0.eqb+ta'dof#jjb.eo,IwgjW[KF>?<:;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?4;423\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7=3<:;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?6;423\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7?3<:;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?0;423\:$kh!rg-nah)`ld$oa"Qyaskm``~789:793<:;T2,cw`)zo%fi`!hdl,gi*Yqi{cehhv?012?2;423\:$kh!rg-nah)`ld$oa"Qyaskm``~789:7;3?j;T2,cw`)zo%fi`!hdl,gi*bh}}Ub0=0>e:W3+bta&{l$ahc geo-`h)cg|~Te1?11d9V4*aun'xm#`kb/ffn*ak(lfSd2=>0g8Q5)`zo$yj"cjm.egi+bj'me~xRg33?3f?P6(o{l%~k!bel-d`h(ce&ndyyQf<5<2a>S7'nxm"h mdo,cak)ld%ocxzPi=7=5`=R8&myj#|i/lgn+bbj&mg$hb{{_h>5:4c<]9%l~k }f.ofi*ace'nf#iazt^k?3;7c3\:$kh!rg-nah)`ld$oa"j`uu]j[57c3\:$kh!rg-nah)`ld$oa"j`uu]j[47c3\:$kh!rg-nah)`ld$oa"j`uu]j[77c3\:$kh!rg-nah)`ld$oa"j`uu]j[67c3\:$kh!rg-nah)`ld$oa"j`uu]j[17c3\:$kh!rg-nah)`ld$oa"j`uu]j[07c3\:$kh!rg-nah)`ld$oa"j`uu]j[37c3\:$kh!rg-nah)`ld$oa"j`uu]j[27f3\:$kh!rg-nah)uidUyhRka_h32`>S7'nxm"h rrvahn)ulVxxxRo|rde14>S7'nxm"h rrvahn)ulVxxxRo|rde\`4473\:$kh!rg-qwqdkc&xoS}{_`qqabYc:8i0Y=!hrg,qb*tt|kf`#jPrrv\gjke9m1^<"i}f/pe+wusjea$~iQ}su]`khd69l1^<"i}f/pe+wusjea$~iQ}su]qab:768o0Y=!hrg,qb*tt|kf`#jPrrv\v`a;97;n7X> gsd-vc)u{}hgg"|k_sqw[wc`4;4:h6[?/fpe*w`(zz~i`f!}d^pppZtboV::h6[?/fpe*w`(zz~i`f!}d^pppZtboV;:h6[?/fpe*w`(zz~i`f!}d^pppZtboV8:h6[?/fpe*w`(zz~i`f!xr^pppZgtzlm9<6[?/fpe*w`(zz~i`f!xr^pppZgtzlmTh<1d9V4*aun'xm#}{bmi,swYu{}Uyij2?>0g8Q5)`zo$yj"||tcnh+rtXzz~T~hi31?3g?P6(o{l%~k!}su`oo*quW{ySkh_13g?P6(o{l%~k!}su`oo*quW{ySkh_0c8QVCUWHFBM^m4URGQ[SOTAKFN?6XLC89UM@QX\PZN86YLLJ08S@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl:?d`nnfU3t5<8;`djbjY?p1&mekaPgdlfvdrhzV|>S>"AOOG/JJHB;m80mkgio^:{<)`nnfUlick}aumq[s3X;%qhSeo|_hlw[fjl59&hSeo|_rppp86+kVxoSk|jq<3/gZciikfnS}{_r{mg87+kVbjR|k_ecweZeh}g~64)eX{Um~h21-a\qvcXlfS}{=0.`[phcm{lgczQcov?3(fYoizUdzh|ilnu>4)eXx{ogcljPl`vfjf:9%iThb{{_vkgpm;?$jUfjaa_wco94*dW{nThh~{h<35(fYbz{eySd`eebvjkkYsqyo6#c^jbwZgkefySk{cl<2/gZnf{Vxxx0?#c^fbpdYdg|dSzgkti?7(fYoizU|~Rolk<2/gZstmVoho0>#c^alihiiWjfdof3?,b]tvZgdcVfd{0>#c^pg[uhszV}bhyf24-a\qvcXn~lSio{a^alqkr:8%iTdl}PamolwqYbey~r1="l_sf\`drfWje~byQxievk91*dWf|n~kb`w^nls86+kV}yS}`{r^uj`qn:<%iT|ah_dosp|Ysqyo60)eXx{ogcljPrdep95*dWme~xR||t^tbh86+kVbjRjnt`]`kphs59&hS}|`g^dvhiYs`{oxdR`jg`vf[sgk588'oRy}_egspm;6>%iT|ah_dosp|Ys`{oxdR`jg`vf[sgk5:&hS}|`g^gntqX|axneQnsrgqpZpfd48'oRfns^tbhlb:8%iT|ah_gwohZrozlycSl}|esv\rdj:9:&hc`~`ndlw[kgjm4kmekaP8y:/gZpfd`nT`by20-a\twojWocmcRzvpd?657~4>%iT|ah_gwohZr~xl7; nQbelmq}Zr~xl79 p<<;`djbjY?p1UmekaPgdlfvdrhzV|>S>Qwsu]2g>gkefyShctx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5)79gmk.5!?1oec&<)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk:76?1oec2>>79gmk:56?1oec2<>79gmk:36?1oec2:>79gmk:1611oec28:1<5?aoi4>4<7iazt)2*3>bh}}":%:5kotv+6,1'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|5:5;6j`uu>2:2=cg|~7>394dnww86803me~x1:17:flqq:26>1ocxz36?;8`jss4>0;2:5kotv?3;>cik5:gntq5n2lbjbQheogqeqiuW?T?!.Wimkm|%EHZL*Lick}aumq$47)==1myabk;hliafrcj`~n~j4iohfgquea}oy~:5fnu]`hn>vugnUna}zv_ujqavnXizyn~y2<:1<2f>vugnUna}zv_ujqavnXflmjxh&?)0`8twi`Wlg{xtQ{hsgplZhboh~n$<'>b:rqkbYbey~rSyf}erj\j`af|l"9%5rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?:;qplcZ`rdeUdk|h=194;7f3yxdkRhzlm]wlwct`Vkxh|{(1+2e>vugnUmyabPtipfwmYf{zoyx%?&1`9svjaXn|fgSyf}erj\evubz}"9%vugnUmyabPtipfwmYimnki%<&1`9svjaXn|fgSyf}erj\j`af|l"8%4re]geqgXkfex%=&119q`Zbf|hUhcx`{<1<26>tcWmkmRm`uov?7?69=2xoSh`9;sf\vvr43{y;6}miugqv1=tzz~?7y|ze89vjacunee|96xnlhf5?rtXija=7z|Pcmi24>quWmkmRm`uov+4,773~xThlzn_bmvjq.6!8:0{Qkauc\gjsi|!8"==5xr^fbpdYdg|d$>'>2:uq[agsiVidycz33;2=1>quWld=7z|Prrv~DEv4nl1KLu:i:G87>4}Th6190>7)?7d;3;=>{Tj:35`a3=T9o1>:mj5:tWf4<7280:6:htS5f95f4=<3;8>o8?e;04g`3<,82=65;4V0:f>7}r0k0:7x6l:19~ dg=9k1i=4?50;34>6<6?rB:495U478174=:80v(o=51838 4>d28i?7d?i2;29?l4793:17b?l5;29?j7d;3:17d?ib;29?l7a<3:17b?m8;29 de=9j:0bll50:9l5g1=83.jo7?l0:lbf?7<3f;i:7>5$`a95f665`1c794?"fk3;h<6`nb;18?j7e<3:1(lm51b28jdd=<21d=o=50;&bg?7d82djn7;4;n3a6?6=,hi1=n>4n``92>=h9k;1<7*nc;3`4>hfj3=07d?n7;29 de=9hl0bll50:9j5d0=83.jo7?nf:lbf?7<3`;j97>5$`a95d`65f1`694?"fk3;jj6`nb;18?l7f;3:1(lm51`d8jdd=<21b=l<50;&bg?7fn2djn7;4;h3b5?6=,hi1=lh4n``92>=n9h:1<7*nc;3bb>hfj3=07d?jb;29?g7?=3:1=7>50zJ2<1=#j:0:485`a883>>{e?<0;6<4?:1yK5=2<,k91;85`7583>>{e<<0;69k56e84b~N60=1Q8;4>6zd9a?7728>1=<4>2;30>74=:80:97?9:06953<5:3;>6k4=1;30>44=980:<7k5}%`0>4?33-286:=4$5;95<4<,=i1=4=4$`79e==n9m>1<7*nc;3g1>hfj3:07d?k3;29 de=9m?0bll51:9j5a4=83.jo7?k5:lbf?4<3`;o=7>5$`a95a34n``97>=n9o81<75`1bc94?=n9m31<7*nc;3ge>hfj3:07d?k8;29 de=9mk0bll51:9j5a1=83.jo7?ka:lbf?4<3`;o:7>5$`a95aghfj3:07b?6c;29 de=90o0bll51:9l55$`a954n``97>=h9j?1<75`1b194?=n9oh1<75f18:94?=n9l>1<7*nc;3f1>hfj3:07d?j3;29 de=9l?0bll51:9j5`4=83.jo7?j5:lbf?4<3`;n=7>5$`a95`3=h90?1<75f1b:94?=n9031<75f21094?=h9o?1<75`1gc94?=n9o>1<75`1c:94?"fk3;h<6`nb;28?j7e?3:1(lm51b28jdd=921d=o850;&bg?7d82djn7<4;n3a1?6=,hi1=n>4n``97>=h9k>1<7*nc;3`4>hfj3>07b?m3;29 de=9j:0bll55:9l5g4=83.jo7?l0:lbf?0<3f;i=7>5$`a95f63:1(lm51`d8jdd=921b=l;50;&bg?7fn2djn7<4;h3b0?6=,hi1=lh4n``97>=n9h91<7*nc;3bb>hfj3>07d?n2;29 de=9hl0bll55:9j5d7=83.jo7?nf:lbf?0<3`;j<7>5$`a95d`hfj3:07b?je;29 de=9o;0bll51:9l5`b=83.jo7?i1:lbf?4<3f;no7>5$`a95c7=>4n``97>=h9jh1<75f1b494?=h90l1<75f1d`94?=h9o21<75m19`94?7=83:p(o=5749K5=g<@82?7b9;:188yg77k3:187>50z&a7?163A;3m6F>859'3a<43`><6=44i5g94?=nim0;66ane;29?xd6980;694?:1y'f6<092B:4l5G1968 2b=;2c?;7>5;h6f>5<>{e9;i1<7:50;2x g5=?81C=5o4H0:7?!1c2:1b8:4?::k7a?6=3`ko6=44o`g94?=zj88i6=4;:183!d42>;0D<6n;I3;0>"0l390e9950;9j0`<722cjh7>5;ncf>5<53;294~"e;3f69'3a<63`><6=44i5g94?=hil0;66sm16a94?5=83:p(o=56d9K5=g<@82?7E:;;%:b>4`03-=o6<5f4683>>o3m3:17boj:188yg7083:1?7>50z&a7?0b3A;3m6F>859K01=#0h0:j:5+7e82?l202900e9k50;9le`<722wi=:o50;794?6|,k91;?5G19c8L4>33A>?7)6n:0d4?l202900e9650;9j0`<722cjh7>5;ncf>5<53;294~"e;3f69'3a<63`><6=44i5g94?=hil0;66sm17d94?3=83:p(o=5739K5=g<@82?7E:;;%:b>4`03`><6=44i5:94?=n;I3;e>N60=1/;i4<;h64>5<>ifm3:17pl>7983>1<729q/n>481:J20(:j53:k73?6=3`>n6=44i`f94?=hil0;66sm16594?2=83:p(o=5709K5=g<@82?7)9k:29j02<722c?i7>5;hcg>5<5<3290;w)l<:638L4>f3A;386*8d;18m11=831b8h4?::kb`?6=3fkn6=44}c3;5?6=<3:15;|``3?6=<3:15;|``2?6=<3:15;|``1?6=<3:15;|``0?6=<3:15;|`f`?6=<3:15;|`fg?6=<3:15;|`ff?6=<3:15;|`fe?6=<3:15;|`24=<72=0;6=u+b285b>N60h1C=5:4$6f95>o3?3:17d:n:188m1c=831dmh4?::a551=83>1<7>t$c192c=O91k0D<6;;%5g>4=n<>0;66g;a;29?l2b2900clk50;9~f461290?6=4?{%`0>3`<@82j7E?74:&4`?7>o3m3:17boj:188yg77=3:187>50z&a7?0a3A;3m6F>859'3a<63`><6=44i5c94?=n50z&a7?0a3A;3m6F>859'3a<63`><6=44i5c94?=n50z&a7?0a3A;3m6F>859'3a<63`><6=44i5c94?=n50z&a7?0a3A;3m6F>859'3a<63`><6=44i5c94?=n50z&a7?0a3A;3m6F>859'3a<63`><6=44i5c94?=no3?3:17d:n:188m1c=831dmh4?::abc<72=0;6=u+b285b>N60h1C=5:4H568 =g=9o=0(:j51:k73?6=3`>j6=44i5g94?=hil0;66smfd83>1<729q/n>49f:J20D9:4$9c95c1<,>n1=6g;7;29?l2f2900e9k50;9le`<722wiji4?:583>5}#j:0=j6F>8`9K5=2<@=>0(5o51g58 2b=92c?;7>5;h6b>5<>{elh0;694?:1y'f6<1n2B:4l5G1968L12<,1k1=k94$6f95>o3?3:17d:n:188m1c=831dmh4?::a`<<72=0;6=u+b285b>N60h1C=5:4H568 =g=9o=0(:j51:k73?6=3`>j6=44i5g94?=hil0;66smd983>1<729q/n>49f:J20D9:4$9c95c1<,>n1=6g;7;29?l2f2900e9k50;9le`<722wih:4?:583>5}#j:0=j6F>8`9K5=2<@=>0(5o51g58 2b=92c?;7>5;h6b>5<>{el;0;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{el80;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{el90;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{eko0;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{en10;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{en>0;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{en?0;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{en<0;694?:1y'f6<1n2B:4l5G1968 2b=92c?;7>5;h6b>5<>{e95;h0b>5<>o1i3:17d8m:188m3e=831b:i4?::k2<2<722c:454?::ma6?6=3f<36=44}c360?6=n3:1>o5?3:17d<7:188m7?=831b>l4?::k7a?6=3`<26=44i7c94?=n>k0;66g9c;29?l0c2900e<68:188m4>?2900co<50;9l2=<722wi=8=50;05>5<7s-h86lh4H0:b?M7?<2P?:7mt6;590:47?6:0c9e?d=k3n1q)6?:39'3c<53-2:6?5+7d81?l7??3:17d?78;29?l35290/mn4:1:lbf?6<3`?;6=4+ab865>hfj3;07d;::18'ef<2<2djn7>4;h70>5<#ij0>86`nb;38?l3?290/mn4:7:lbf?6<3`?=6=4+ab863>hfj3;07d;m:18'ef<2i2djn7>4;h7:>5<#ij0>m6`nb;38?l56290/mn4<0:lbf?6<3`8m6=4+ab804>hfj3;07d5<#ij08<6`nb;18?l4d290/mn4<0:lbf?2<3`9<6=4+ab802>hfj3:07d=::18'ef<4>2djn7?4;h17>5<#ij08:6`nb;08?l54290/mn4<6:lbf?5<3`996=4+ab802>hfj3>07d=k:18'ef<4k2djn7>4;h1a>5<#ij08o6`nb;38?l5f290/mn4hfj3907d=7:18'ef<4k2djn7:4;h60>5<#ij0?>6`nb;28?l26290/mn4;2:lbf?7<3`>;6=4+ab876>hfj3807d=i:18'ef<3:2djn7=4;h1f>5<#ij0?>6`nb;68?j3b290/mn4:d:lbf?6<3f?h6=4+ab86`>hfj3;07b8>:18'ef<182djn7>4;n7e>5<#ij0=<6`nb;38?j03290/mn493:lbf?6<3f<96=4+ab857>hfj3;07b88:18'ef<1>2djn7>4;n46>5<#ij0=:6`nb;38?xd6>m0;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>6b83>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=nk0;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>6`83>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n00;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>6983>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n>0;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>6783>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>2183>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>1d83>0<729q/n>482:J20(:j53:k73?6=3`>36=44i5g94?=nim0;66ane;29?xd6:00;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>2983>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n0;684?:1y'f6<082B:4l5G1968 2b=92c?;7>5;h6;>5<>ifm3:17pl>2783>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>4183>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>3d83>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>4683>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>4883>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>5183>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n5;h6;>5<>ifm3:17pl>4d83>0<729q/n>480:J20(:j51:k73?6=3`>36=44i5c94?=n4?:1y'f62B:4l5G1968 2b==2c<;7>5;h5;>5<5<4290;w)l<:948L4>f3A;386*8d;78m21=831b;54?::mb3?6=3th:?:4?:283>5}#j:03:6F>8`9K5=2<,>n1=n5f7683>>o003:17bo8:188yg76?3:1?7>50z&a7?>13A;3m6F>859'3a<6k2c<;7>5;h5;>5<53;294~"e;32=7E?7a:J2<1=#?m0:o6g87;29?l1?2900cl950;9~fgb=8391<7>t$c19<3=O91k0D<6;;%5g>4e=1<75f7983>>if?3:17plm9;297?6=8r.i?769;I3;e>N60=1/;i4>c:k43?6=3`=36=44o`594?=zjk21<7=50;2x g5=0?1C=5o4H0:7?!1c28i0e:950;9j3=<722ej;7>5;|`a3?6=;3:1o0?3:17d97:188kd1=831vn<=7:187>5<7s-h86594H0:b?M7?<2.5<21<75f7883>>if?3:17pl>1983>1<729q/n>477:J20(:j51d9j32<722c<47>5;h5:>5<5<2290;w)l<:9:8L4>f3A;386*8d;3e?l102900e:650;9j3<<722c5;nc4>5<55;294~"e;3237E?7a:J2<1=#?m0:j6g87;29?l1?2900e:750;9j3d<722ej;7>5;|`22`<72:0;6=u+b28;2>N60h1C=5:4$6f91>o0?3:17d97:188kd1=831vn<=>:180>5<7s-h86584H0:b?M7?<2.50z&a7?>13A;3m6F>859'3a<6k2c<;7>5;h5;>5<h4?:683>5}#j:0356F>8`9K5=2<,>n1>>5f7683>>o003:17d96:188m2g=831b;o4?::k4g?6=3fk<6=44}c33a?6=?3:1o0?3:17d97:188m2?=831b;l4?::k4f?6=3`=h6=44o`594?=zj89;6=48:183!d42130D<6n;I3;0>"0l38;7d98:188m2>=831b;44?::k4e?6=3`=i6=44i6a94?=hi>0;66sm13d94?1=83:p(o=5889K5=g<@82?7)9k:3`8m21=831b;54?::k4=?6=3`=j6=44i6`94?=n?j0;66an7;29?xd6990;6:4?:1y'f6N60=1/;i4=5:k43?6=3`=36=44i6;94?=n?h0;66g8b;29?l1d2900cl950;9~fgd=83>1<7>t$c19<2=O91k0D<6;;%5g>72=1<75f7983>>o013:17bo8:188ygd2290?6=4?{%`0>=1<@82j7E?74:&4`?433`=<6=44i6:94?=n?00;66an7;29?xdek3:197>50z&a7?>?3A;3m6F>859'3a<6l2c<;7>5;h5;>5<31<75f7`83>>if?3:17plm6;291?6=8r.i?767;I3;e>N60=1/;i4>d:k43?6=3`=36=44i6;94?=n?h0;66an7;29?xd6;?0;6?4?:1y'f6t$c19<7=O91k0D<6;;h55>5<5<5sW;m>63>6d843>{t9o:1<7<7{_3:b>X61?1U=ko4^0d;?[7>=2T:j85Q1bc8Z4`>3W;hn6P>dc9]5X6nj1U=hh4^0gf?[7bl2T:in5219`931=:9>k18h5217d90`=:9>318h5216:90`=:9>=18h5219090`=:91;18h5214796d=:91:i5214191g=:9<919452141974=:9<91?:5214197a=:9<918>5rs0d7>5<4sW;m863>7`8b`>;6>o0jh6s|10394?4|V8i870?>1;cf?xu5880;6?uQ213894562>=0q~?jb;296<}Y9lh01<>l:55894762==01<9>:55894172==01<8i:558941>2==01<97:55894102==01hj5469>af<3?27nn7:8;11<58:36994=024>11<58:=6994=026>11<58:;6994=gd902=:nl0?;63id;64?8b52==01i?5469>`5<3?27hj7:8;<35`?2034;=o7:8;<35f?2034;=m7:8;<35=?2034;=47:8;<353?2034;=:7:8;<315?2034;9<7:8;<32b?2034;:i7:8;<31=?2034;947:8;<313?2034;9:7:8;|q26f<72;qU=n;4=00`>dc526y]5cd<588h6994=00a>11<58=h6994=05b>11<58=i6994=0:1>11<582:6994=b5902=:k?0?;63l5;64?8e32==01h<5469>a4<3?27n<7:8;11<5mk18:52d8873>;c03><70j8:5589c>=<>16j:4;7:?e2?2034l>6994=076>4>034;>87?77:?216<60>16=9?5469>516=<>16=>h5469>56c=<>16=985469>511=<>16=965469>51?=<>16=8?5469>506=<>16=9h5469>51c=<>1v<8::181[7e027::i4ne:p532=838pR4?:3y]5g0<58;6>00ji6s|17294?4|V8h870?98;cf?xu6=o0;6?uQ1c0894002ho0q~?:e;296~X6j816=;85ad9~w4d72909wS?n7:?210<3m2wx=lk50;0xZ4g134;>87:j;|q2ea<72;qU=l;4=070>0>52z\2e1=:9<919;5rs0ca>5<5sW;j?63>52861>{t9hk1<7a883>7}Y9h;01<;<:408yv7f03:1>vP>a19>505==91v<>k:180877k3>n70?>1;6f?877n3k<7p}>7783>g}:99i1mi521039ea=:9>31mh5213390d=:9;:18l5210d90d=:98o1mi5213;90d=:9;218l5213590d=:9;<18l5rs02`>5<5s4;;o7oj;<35a?1?3ty:>i4?:2y>57e=57`=i>1v<6?:18e875k3ko70?=b;cg?87?:3kn70?;1;6b?87383>j70?j70?;6;cg?873?3>j70?;8;6b?87313>j70?:1;6b?87283>j70?;f;6b?873m3>j7p}>2c83>7}:9;h1mh5212393==z{8=;6=4<{<345?2b34;<<7oj;<35b?2?3ty:;<4?:3y>527=il16=:=5799~w41e2908w0?8c;6f?870i3>370?8b;cf?xu6?j0;6?u216a9e`=:9>o1;55rs04e>5<4s4;<<7:j;<35b?gb34;52d=7483>47|58=36lk4=df90d=:mj0?m63jb;6b?8cf2=k01<>7:5c894602=k01<>9:5c894622=k01<>?:5c89c`=62ho01n954`9>g3<3i27h97:n;1g<5l818l52e087e>;b83>j70ji:5c89ag=0?m63i6;6b?8`22=k0q~jj:1868e02=o01h<5ad9>f1<0027i9797;<`5>2>dc<588m6:94}ra;>5<5s4i=69k4=c69e2=z{j81<7=t=b49e`=:9;o1;55212293g=z{j31<76}:k<0ji63>2d84g>;6;90<56s|c`83>7}:k=0?i63m6;c4?xud83:1?v3l4;cf?875m3=j70?<0;54?xu68=0;68u2ee87a>;6810ji63ma;5;?8de2>201om5799~w`?=838p1hj5ad9>55`=?>1vhk50;0x9`e=53z?ff?gb34;;i79l;<324?1>3tym<7>52z?fe?2b34hh6l94}rg5>5<4s4oj6lk4=02f>2g<58;;6:94}rd`>5<5s4;;47:j;<334?gb3tymn7>52z?242<3m27mj7oj;|q246<72=q6==95ad9>fd<0?27in798;<``>2134hh6:74}rd:>5<5s4;;97:j;dc52z?2407:j;dc6=4={1c<5m31mh5rsef94?2|5l;1mh52b5843>;e=3=<70l9:658yvb32909w0k?:5g89a>=il1vim50;1x9`6=il16n8489:?a2?1>3tyo?7>52z?gb?2b34n<6lk4}rfa>5<5s4nm6lk4=c493d=z{o>1<71c<5o21mh5rsg194?4|5ol18h52f68ba>{tn;0;6?u2fd87a>;a>3kn7p}i1;296~;al3>n70h::`g8yveb2909w0jn:5g89a4=il1vnj50;0x9a?=52z?g3?2b34im6lk4}r311?6=1r7o>7:j;<361?0d34;>878l;<367?4a34;>?7=:;<367?5e34;957oj;<`4>21<58:m6:74}rg0>5<5s4n:69k4=c59e2=z{l>1<77}:ko0?i63m9;c4?xu6;6=<09563>5581=>;6=:0?=63>508ba>;el3=<7p}>0883>7}:n>0?i63md;c4?xu68h0;6?u2f787a>;em3k<7p}>0c83>7}:n<0?i63mf;c4?xu61>;5214197`=:9=o1mh52bg84<>{t9=h1<78t=076>71<58??6?94=070>6`<58>m6lk4=cd932=:jl0<46s|15a94?0|58?>6?64=077>7><58?869>4=073>dc<5ko1;:52be84<>{t9;81<76t=076>3?<58??6;74=070>7e<58?86><4=070>6><588=6lk4=c;93==:98:1;55rs000>5<>s4;>978n;<360?0f34;>?7?7=6;<313?gb34h26:94=c:93==:98:1;l5rs007>5<>s4;>978m;<360?0e34;>?7?7=n;<315<69r7:984>899>502=91201<;<:0:;?87393>370?;0;6;?874n3>370?3>370?;7;6;?87303>370?;9;6;?87293>370?:0;6;?873n3>370?;e;6;?87493k<70?=f;5b?xu6=m0;6?u214792==:9?n18h5rs07`>5<5s4;>8787;<35g?2b3ty:9:4?:3y>505==l16=;954d9~w4312909w0?:3;7`?871>3>n7p}>5883>7}:9<91:<5217;90`=z{8?36=4={<367?3a34;=47:j;|q21g<72;q6=8=5659>53d=1><581><581><58<36964=044>1><58<=6964=002>1><588;6964=03e>1><58;n6964=00:>1><58836964=004>1><588=6964=04f>d1<58:m6:o4}r321?6=:r7:><4;e:?25<2>=01<>i:6:8yv76<3:1>v3>2187a>;6910j;6s|10a94?3|588;6lk4=00;>1c<58;36:94=03:>2g<58:n6:94}r327?6=:r7:=k4;e:?2522012>301<>j:6`8yv76:3:1>v3>1d87a>;69?0j;6s|10c94?1|58;n6lk4=005>1c<58;<6:94=03;>2><58;26:64=02f>2?<58;=6:84}r301?6=:r7:8<4;e:?27<j50;6x94262ho01<:6:5g8945>2>=01<v3>4187a>;6;10j;6s|12a94?3|58>;6lk4=06;>1c<58936:94=01:>2g<588n6:94}r307?6=:r7:?k4;e:?272l50;4x945a2ho01<:8:5g894502>=01<=7:6;8945>2>301<v3>3d87a>;6;?0j;6s|12c94?1|589n6lk4=065>1c<589<6:64=01;>2><58926:64=00f>2?<589=6:84}r376?6=;r7:8;4ne:?20`<3m27:?=488:p515=839p1<:8:`g8942a2=o01<=?:6c8yv73<3:1?v3>498ba>;6=90?i63>3184g>{t9=?1<7=t=06:>dc<58?:69k4=00e>2>52z?26`k48c:p55c=838p1<>j:`58946a2>i0q~79:18187483k<70?=f;5a?xu>?3:1>v3>118b3>;68o05<5sW;m863;5;3e0>"60:02m6s|1g`94?4|V8li70:::0da?!7?;3k87p}>ec83>7}Y9lh019;51d`8 4>42h>0q~?m0;296~X6i>16884>a69'5=5=0m1v27?97?n6:&2<6>6a3ty:mn4?:3y]5d2<5=?1=l:4$0:0><652z\2e6=:<<0:m>5+1919=4=z{8kj6=4={_3b6>;3=3;j>6*>828:6>{t9h31<7vP=009>00<5881/=5=5949~w4`52909wS?i2:?71?7a:2.:4>466:p5f7=838pR4d?3-;3?777;|q2fc<72;qU=o94=5795g1<,8286474}r3aa?6=:rT:n;524482f3=#91915o5rs0`g>5<5sW;i963;5;3a1>"60:02o6s|1ca94?4|V8h?70:::0`7?!7?;33o7p}>bc83>7}Y9k9019;51c18 4>420o0q~?ma;296~X6j;16884>b39'5=5=1o1v>64?:3y]5f5<5=?1=n=4$0:0>d452zJ2<1=zf:{i;?h1<7j0;6?uG1968yk51l3:1>vF>859~j60b2909wE?74:m73`=838pD<6;;|l035<72;qC=5:4}o145?6=:rB:495rn251>5<5sA;386sa36194?4|@82?7p`<7583>7}O91>0qc=85;296~N60=1vb>99:181M7?<2we?:950;0xL4>33td8;54?:3yK5=252zJ2<1=zf:=j6=4={I3;0>{i;>h1<7vF>859~j61b2909wE?74:m6`g=83;pD<6;;|l044<728qC=5:4}o136?6=9rB:495rn220>5<6sA;386sa31694?7|@82?7p`<0483>4}O91>0qc=?6;295~N60=1vb>>8:182M7?<2we?=650;3xL4>33td8<44?:0yK5=251zJ2<1=zf::i6=4>{I3;0>{i;9i1<7?tH0:7?xh48m0;6859~j66a290:wE?74:m746=83;pD<6;;|l054<728qC=5:4}o126?6=9rB:495rn230>5<6sA;386sa30694?7|@82?7p`<1483>4}O91>0qc=>6;295~N60=1vb>?8:182M7?<2we?<650;3xL4>33td8=44?:0yK5=251zJ2<1=zf:;i6=4>{I3;0>{i;8i1<7?tH0:7?xh49m0;6859~j67a290:wE?74:m776=83;pD<6;;|l064<728qC=5:4}o116?6=9rB:495rn200>5<6sA;386sa33694?7|@82?7p`<2483>4}O91>0qc==6;295~N60=1vb><8:182M7?<2we??650;3xL4>33td8>44?:0yK5=251zJ2<1=zf:8i6=4>{I3;0>{i;;i1<7?tH0:7?xh4:m0;6859~j64a290:wE?74:m766=83;pD<6;;|l074<728qC=5:4}o106?6=9rB:495rn210>5<6sA;386sa32694?7|@82?7p`<3483>4}O91>0qc=<6;295~N60=1vb>=8:182M7?<2we?>650;3xL4>33td8?44?:0yK5=251zJ2<1=zf:9i6=4>{I3;0>{i;:i1<7?tH0:7?xh4;m0;6859~j65a290:wE?74:m716=83;pD<6;;|l004<728qC=5:4}o176?6=9rB:495rn260>5<6sA;386sa35694?7|@82?7p`<4483>4}O91>0qc=;6;295~N60=1vb>:8:182M7?<2we?9650;3xL4>33td8844?:0yK5=251zJ2<1=zf:>i6=4>{I3;0>{i;=i1<7?tH0:7?xh4859~j62a290:wE?74:m706=83;pD<6;;|l014<728qC=5:4}o166?6=9rB:495rn270>5<6sA;386sa34694?7|@82?7p`<5483>4}O91>0qc=:6;295~N60=1vb>;8:182M7?<2we?8650;3xL4>33td8944?:0yK5=2m7>51zJ2<1=zf:?i6=4>{I3;0>{i;859~j63a290:wE?74:m736=83;pD<6;;|l024<728qC=5:4}o156?6=9rB:495rn240>5<6sA;386sa37694?7|@82?7p`<6483>4}O91>0qc=96;295~N60=1vb>88:182M7?<2wvqpNOCz1ea?d0n8o<9osO@Cy3yEFWstJK \ No newline at end of file Index: trunk/cores/afifo_1024x72.ngc =================================================================== --- trunk/cores/afifo_1024x72.ngc (revision 2) +++ trunk/cores/afifo_1024x72.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.5e -$7g041<,[o}e~g`n;"2*776&=$95-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1193456789:;<=>?0132?45<9'::j6??:HLSQQe:33>LHW]]0{~biPftno[qnumzb757>124924?OIX\^1|ah_gwohZrozlycSl}|esv?=?69:<1:<7GAPTV9twi`Wog`Rzgrdqk[kc`i}o757>118924?IR\Y__6io{a^alqkr;13:5=n5>0;MVPUSS2{nThlzn_bmvjq:>294:o6??:NWWTPR={UomyoPcnwmp9?=87;>7<;5IORVP?GCL[K^0<:50?36?43=AGZ^X7OKDS@V842=87;>7<85IORVP?GCL[KG0<;50?36?40=AGZ^X7OKDS@O843=87;0><<4338JJUSS2MCJ0>?50?31?64=AGZ^X7JFB=12>586;2996B[[PTV9@JG;;80;2<=4338LQQVR\3NDN1=>:1<1?16692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>1:69MKVR\3]NMA2<:1<25>2=AGZ^X7YJAT>0>586:2>1CXZ_UU8GKUG;;3:5=?5;:NWWTPR=LFZI0>4?>0281sj98:jk?>37/25>033?32:<>468;5=30>1?<2:47913946?OIX\^1hd`37083:421109;>LHW]]0\IO[37;2=5>?330:;5?56699:23?110<27LJKR@N?4;g0?c8EABUIE6:=3o4AEFQEI:6:7k0MIJ]AM>27;g4?a8EABUIE6:97>1a:CG@WGK48?556OKDSCO848>3HNO^LB32?;8EABUIE68245NDEPBH92912KOH_OC<4<:?DBCZHF7:374AEFQEI:0601JHI\NL=:==>GCL[KG0406;@FGVDS;87k0MIJ]AT>24;g1?c8EABUI\6:>3o4AEFQEP:6;7i0MIJ]AT>20?69i2KOH_OZ<06==>GCL[K^0<06;@FGVDS;:730MIJ]AT>0:<=FLMXJY1:19:CG@WGR4<427LJKR@W?2;?89B@ATF]52556OKDSCV8<8>3HNO^OB30?c8EABUJE6:<3o4AEFQFI:697k0MIJ]BM>26;g3?c8EABUJE6:83m4AEFQFI:6=3:5m6OKDS@O843912KOH_LC<0<:?DBCZKF7>374AEFQFI:4601JHI\ML=6==>GCL[HG0806;@FGVGJ;>730MIJ]BM>4:<=FLMXI@1619:CG@WDK40427LJKRCW?4;g0?c8EABUJ\6:=3o4AEFQFP:6:7k0MIJ]BT>27;e4;2=e>GCL[H^0<:19:CG@WDR48427LJKRCW?6;?89B@ATE]5>556OKDS@V808>3HNO^O[36?;8EABUJ\6<245NDEPAQ9>912KOH_LZ<8<1?DC>3HYRBNQ]EF38F6=E];20NX]PIODL5>Ec3JKXOLJJIONBPDbEF[JKOID@]EF@f?FGTKHNNCXZCAUCf?FGTKHNNCXZCAU@f?FGTKHNNCXZ]EFCf?FGTKHNNCXZ]EF@1?FC6:2ICINEPLHAFJVCX\PZN>6MF5:AOODJ23JF@MX;4CMIAH0=DDBH^?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;EK5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;108;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;01OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1BHI5;:2:5KO@>26;108;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;117:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;017:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;189GKUD;;3:5;6J@PC>0:1=BFHF?7H@NU59FJGJ33LDIY85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H<4FD68BC47=2Lj`~k>;F18CKB63@80E=<4I008M74=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06:8MKOSXV:346GAIUR\4<>N8:KMMQVX8K20ECG[P^2@<>OIA]ZTN7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J:5FNHV\051=;6GAIU]732=NF@^T8594IOKW[1?03@DBXR:N7:KMMQY3J>1BBDZP4B58MKOSW=N<7D@FT^6F3>OIA]U?J:5FNHV\1511BBDZP5B58MKOSWOIA]U>J:5FNHV\251==0ECG[_774?LHN\V<=;6GAIU]532=NF@^T:594IOKW[3?03@DBXR8N7:KMMQY1J>1BBDZP6B58MKOSW?N<7D@FT^4F3>OIA]U=J:5FNHV\3511BBDZP7B58MKOSW>N<7D@FT^5F3>OIA]U5FOC08HL07@]HOO78IP^DQj1Fmga}Vdppmjhd3DcecXjrrklj6=I8880B<=4N020?K76;2D:>>5A1268J45?<2D:?4=4N067?K739=1E=9=;;O3706=I9<>0B<;74:L21<595A1717?K71<=1E=;;;;O3521=I9?=?7C?9859M53?43G;<86@>7168J416<2D:;?:4N0500>H6?=>0B<9:4:L23327968J41>;2D:495A1927?K7?9=1E=5<;;O3;71=I91>?7C?7559M5=033G;3;95A19:7?K7?1:1E=4:4N0;30>H618>0B<7=4:L2=629468J4?1<2D:5::4N0;;0>H61080B?=4N327?K478=1E>=?;;O0361=I:99?7C=7<;O020>H599>0B??>4:L1572H591>0B??63:L161=I:;:?7C<=159M67433G89?95A2367?K45==1E>?8;;O0131=I:;2?7C<=929M6625A2618J7>43G82?6@<029M7458<;O147>H40:1E?4<4N518J1643G>:?6@;229M06587C::3:L726=I<>90B96<;O6:7>H28:1E9<=4N400?K34;2D>8>5A5418J0043G?<;O427>H1::1E:>=4N760?K02;2D=:>5A6618J3>43G<2>6@83:L446=I?890B:<<;O507>H0<:1E;8=4N640?K10:2D3>6@6f:LA[GSTX@DT\_A_S69MAQQHZB>0BB@J1:M1?JM63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q`9QEHD4>VOS\k5]AL]F\QCI[@EESLh4R@O\A]RBFZCDBRLl;SGB@ZQNL]BTMn5]E@F\SLBS@VH<7_KHCDCO3>TBOJOJY:5]EFAFFI10_LHJ8:QBR@BF\H;87^GB_BMOHLUNGGUHDHMD8:QJIZKHLL<0_B[]CD58WWPFDVK<7^\YAM]A1>UTZHF>7^]]AT78WVTED<1X__LZ4:VZT@543\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWkceSua}0123[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw6788UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>=_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2346YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:?S_k|umv272=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?05]Qavsk|8UX[==<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:>S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?07]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4560W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;<5Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?012\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4566W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;>R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXojUsc>?02]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3452XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:>S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?6^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2342YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7892T^h}zlu307>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZdnfVrd~=>?0^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp3457XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;>R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?011\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv567=4U1-dvc(un&mht#mcky-N|jtX{U{by|Pbhl\|jt7892T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>?_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1235ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw678;UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<==PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0127[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567=VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<;Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?015\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq456?W[oxyaz>209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=2=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj979:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1=1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=6=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj939:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5<5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb191209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=:=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9?9:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS< gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^:14>S7'nxm"h gbz-gim'{nT|cz}_ckm[<413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=>=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4566:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?2348Q5)`zo$yj"ilx/aoo})ulVzexQmio]{kw678:8=7X> gsd-vc)`kq$h`fv re]sjqtXj`dTtb|?01612>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:>>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123270<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<:<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp345>582_;#j|i.sd,cf~)keas#jPpovq[be;878;7X> gsd-vc)`kq$h`fv re]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<2<14>S7'nxm"h gbz-gim'{nT|cz}_fa?0;473\:$kh!rg-dg}(ddbr$~iQnup\cf:26;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+wbXxg~ySjm38?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb>::4`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ66n2_;#j|i.sd,cf~)keas#jPpovq[beX98l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV8:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT?f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP50d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^42b>S7'nxm"h gbz-gim'{nT|cz}_fa\34`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ>6n2_;#j|i.sd,cf~)keas#jPpovq[beX1;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi30?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4849:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=0=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn682?84U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde?0;413\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{ol080=6:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfc909:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij28>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;078=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<8<11>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV:996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^311>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV8996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^111>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV>996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^711>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV<996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^511>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboV2996[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^;1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:0=0=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6484956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2878512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><2<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:090=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb64<4956[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2838512_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj><6<1=>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:050=9:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6404946[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg^f2[54?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P13:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U9>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z5502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_50;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T9?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y1:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^51<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S5<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X1;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv5679;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567?;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?8338Q5)`zo$yj"ilx/aoo})pzVzexQmio>3:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:66;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag692??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2<>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>7:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:26;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6=2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec28>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>;:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:>6;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU;>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR?=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_303?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\776<]9%l~k }f.e`|+ekcq%|~R~ats]amkY3:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV?9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS;2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>?279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv5679;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0305?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\|jt78999:6[?/fpe*w`(ojr%oaew/vp\tkruWkceSua}012763=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ~hz9:;9?84U1-dvc(un&mht#mcky-tvZvi|{UiecQwos2343413\:$kh!rg-dg}(ddbr${Qnup\flhXpfx;<=9=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq456?:91^<"i}f/pe+be&jf`t"y}_qlwvZad4949<6[?/fpe*w`(ojr%oaew/vp\tkruWni7=3328Q5)`zo$yj"ilx/aoo})pzVzexQhc=1=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`818582_;#j|i.sd,cf~)keas#z|Ppovq[be;=78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6=2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo191219V4*aun'xm#jmw.bnh|*quWyd~Ril<9<14>S7'nxm"h gbz-gim'~xT|cz}_fa?=;7a3\:$kh!rg-dg}(ddbr${Qnup\cfY79o1^<"i}f/pe+be&jf`t"y}_qlwvZadW8;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS>?i;T2,cw`)zo%lou lljz,swYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*quWyd~Ril_43e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]55c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[27a3\:$kh!rg-dg}(ddbr${Qnup\cfY?9o1^<"i}f/pe+be&jf`t"y}_qlwvZadW08=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<1<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5;5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>1:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm7?3<9;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd8185>2_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnk1;1279V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqab:16;<0Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hi37?05?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`4149:6[?/fpe*w`(ojr%oaew/vp\tkruWniTm~|jg=;=60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnU3>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]:6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1>1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95;5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5949:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=1=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=1:1289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc95?5>45Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5909:01^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1=5=6<=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=161289V4*aun'xm#jmw.bnh|*quWyd~Ril_`qqabYc9535>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z6502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_00;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T>?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y4:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^61<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S8<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X>;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]46==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R6=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W08>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0106?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw67888>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0306?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678:8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0506?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678<8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?0706?P6(o{l%~k!hcy,`hn~({U{by|Pgb]{kw678>8>7X> gsd-vc)`kq$h`fv ws]sjqtXojUsc>?091e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=2=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?5;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}949;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;;79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5>5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs793=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1813g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw37?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=:=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?=;263\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:687>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6:29>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1<1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<2<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?0;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:26=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5<58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We0:0;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz38?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>::17<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv9776=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5958=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey090;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|35?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>5:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv919<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu414?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx7533:6g<]9%l~k }f.eo4+tc'nxj#ie/pgt`)flcxxhjPws]sjqtXzmU{mi2?>013?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=33:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8685n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]sea:36;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugc4<49j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim6=2?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko0:0=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{mi27>3d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<8<1b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]247c<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[64b3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`Z25m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY2:l1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX>;o0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcW>8n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV29i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU2?55Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnTmij?012?5584?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2<>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674=48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>6:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;0;0<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:06:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<9<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789622?l4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVc8:6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#}|`g^gntqXaVif|Rbj012372=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<<=m;T2,cw`)zo%l`= }d.eqev(`xl$yh}k psmd[`kw|pUbSnc_mg34566WZ];>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp969:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|595>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_5[)od;%a<>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?31?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5949::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=6=6g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\6Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P4^cm`56788827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?4;4>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_4[)ody%a~/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;87887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1?1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q9595>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?34?0a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\5Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P3^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R:Paof34566:91^<"i}f/pe+bj7&{n$ko|.sqww*ehey:9<6[?/fpe*w`(oe:%~i!hr`q-vvrt'jef|<<6;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`9;7X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<>?4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?1348Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~W`;o7X> gsd-vc)`d9$yh"|nm^pg[`hXa8887X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw772<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr?:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by7=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4949o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az858Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?5;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw31?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2=>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp692R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq595>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}959W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8185k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<5<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw35?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs793Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6=2?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:16Vx>45Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}919:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=5=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<9<1g>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir050Pru0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0=0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5;5>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:56;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?7;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<5<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1;12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6=2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;?78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8=85i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=;=6a=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc26>^pw5c=R8&myj#|i/fn3*wb(zyd~"m`uov?4;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9799o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;:7;m7X> gsd-vc)`d9$yh"|nup,gjsi|595=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~783?i;T2,cw`)zo%l`= }d.psjqt(kfex1;11g9V4*aun'xm#jb?.sf,vuhsz&idycz36?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=5=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?<;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9?99l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX88o0Y=!hrg,qb*ak8'xo#~ats-`kphsW8;n7X> gsd-vc)`d9$yh"|nup,gjsi|V8:i6[?/fpe*w`(oe:%~i!}povq+firf}U8=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T8e:W3+bta&{l$ka>!re-qtkru'je~byQ81d9V4*aun'xm#jb?.sf,vuhsz&idyczP80g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_801?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28585:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95;5>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2=>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?7;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64=49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1;1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>5:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;?7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:050=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=;=64=R8&myj#|i/fn3*wb(zyd~"m`uov\`4Y7:81^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8U:><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th><5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th95Z0.eqb+ta'nf;"j rqlwv*eh}g~Tbbz?0130b>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>3:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8484n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:56:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4:48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6?2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir080!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot29>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>;:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8<8392_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;994?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7=3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2=>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;?_mww818382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;=7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6=29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x191419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<9<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?=;263\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:687>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{622>>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko0<>12g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|lj39?0e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^336`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\=6><]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[dbc89:;0<>1369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo4:2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[hs89:8?>5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?3011?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,twi`Wog`RgPnnv34574;2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[kis89::=?64U1-dvc(un&mg<#y}/fubw+awn'}y|k!xr^pppZb6:01^<"i}f/pe+bj7&~x$kzo|.vqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*rt(o~kx"z}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.vp,crgt&~y"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"z| gvcp*rus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e6'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$|~"ixar,twqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#jczx/en_7[)ody%a~/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,tv*apiz$|y} c0-u5969::1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';7=3<<;T2,cw`)zo%l`= xr.etev(p{}y$o gsd-vc)`d9$|~"ixar,twqu(k8%}=1:12c9V4*aun'xm#jb?.vp,crgt&~y"m>/w3\4Zgil9:;<<o5Z0.eqb+ta'nf;"z| gvcp*rus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&~x$kzo|.vqww*e6';T8Road123444>3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)di{xrbhz30?0:?P6(o{l%~k!hl1,tv*apiz$|y} c3-`ewt~fl~7=3<6;T2,cw`)zo%l`= xr.etev(p{}y$o?!laspzj`r;:7827X> gsd-vc)`d9$|~"ixar,twqu(k;%hm|vndv?7;4a3\:$kh!rg-dh5(pz&m|m~ xsuq,g7)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&~x$kzo|.vqww*e5'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$|~"ixar,twqu(k;%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#jczx/en_6[)ody%a~>5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?32?00?P6(o{l%~k!hl1,tv*apiz$|y} c3-u5959::1^<"i}f/pe+bj7&~x$kzo|.vqww*e5';783o5Z0.eqb+ta'nf;"z| gvcp*rus{&i9#{?P1^cm`567888i7X> gsd-vc)`d9$|~"ixar,twqu(k;%}=RTmcj?012265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu7582_;#j|i.sd,ci6){%l{l}!wrvp+fijx;837X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_g`\m73<]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTe?m4U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\mZiu89:;>i5Z0.eqb+ta'nf;"z| gvcp*rus{&xjaRhzlm]j[jt789::=i5Z0.eqb+ta'nf;"z| r`o\swYbfVc:=k5Z0.eqb+ta'nf;"z| r`o\swYbfVc:S<<<;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw61=R8&myj#|i/fn3*rt(yd~"Clotlw[firf};986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at307?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs;;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz;259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq35<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex;<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw372<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~3>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu;1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~494T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;978h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?5;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>1:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2=>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1=12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq595Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az818Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?1;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw35?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot29>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6=2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}919W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8=85k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<9<\vq4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<1<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1?12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{692?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;;78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8185i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=7=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc29>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7;3::Zts9o1^<"i}f/pe+bj7&~x${}`{r.alqkr;87;m7X> gsd-vc)`d9$|~"ynup,gjsi|5;5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7>3?i;T2,cw`)zo%l`= xr.usjqt(kfex1=11g9V4*aun'xm#jb?.vp,suhsz&idycz34?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=7=5c=R8&myj#|i/fn3*rt(yd~"m`uov?2;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9199o1^<"i}f/pe+bj7&~x${}`{r.alqkr;07;m7X> gsd-vc)`d9$|~"ynup,gjsi|535=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T<e:W3+bta&{l$ka>!ws-ttkru'je~byQ<1d9V4*aun'xm#jb?.vp,suhsz&idyczP40g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_43f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^42a>S7'nxm"h gm2-sw)pxg~y#naznu]45`=R8&myj#|i/fn3*rt(yd~"m`uov\<4c<]9%l~k }f.eo4+qu'~zex!lotlw[<453\:$kh!rg-dh5(pz&}{by| cnwmpZb64949>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1?1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>1:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;;7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:090=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=7=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:16;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7;3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><9<16>S7'nxm"h gm2-sw)pxg~y#naznu]g59?9:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U;><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th209V4*aun'xm#jb?.vp,suhsz&idyczPd0]164=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y4:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U?><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th3:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;97897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=1=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8793<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<7<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;632?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi<39?02?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[5463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W88:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S?<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_202?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[1463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W<8:7X> gsd-vc)`d9$|~"ynup,gjsi|Vn9S;<>;T2,cw`)zo%l`= xr.usjqt(kfexRj=_602?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f1[=463\:$kh!rg-dh5(pz&}{by| cnwmpZb5W08?7X> gsd-vc)`d9$|~"ynup,gjsi|Vddx=>?16:8Q5)`zo$yj"cjm.eai+aeen$ln`in.oefgf(een%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'?Tbbgaiu,qeh)TLY$XECICE^RQMH51&]N[;55Z0.eqb+ta'dof#jlb.f`nc+aeenk%bjklc/`nc*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:Q#|nm/p,r0Yig`dbx#|nm.QGT+UNFNFNS]\FM24-PAV6j2_;#j|i.sd,i`k(|dzT~lcPre]fj4e<]9%l~k }f.ofi*rjxVxjaR|k_dl25a=R8&myj#|i/sqwfim(zmUyyQnssgd65=R8&myj#|i/sqwfim(zmUyyQnssgd[a7582_;#j|i.sd,vvredb%yhR||t^cpv`aXl;;h7X> gsd-vc)u{}hgg"|k_sqw[fijj8n0Y=!hrg,qb*tt|kf`#jPrrv\gjke98o0Y=!hrg,qb*tt|kf`#jPrrv\v`a;87;n7X> gsd-vc)u{}hgg"|k_sqw[wc`484:i6[?/fpe*w`(zz~i`f!}d^pppZtbo585=i5Z0.eqb+ta'{ynae re]qwqYumnU;=i5Z0.eqb+ta'{ynae re]qwqYumnU:=i5Z0.eqb+ta'{ynae re]qwqYumnU9=i5Z0.eqb+ta'{ynae ws]qwqYf{{ol>=5Z0.eqb+ta'{ynae ws]qwqYf{{olSi?=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd33`?P6(o{l%~k!}su`oo*quW{ySnabb0f8Q5)`zo$yj"||tcnh+rtXzz~Tobcm10g8Q5)`zo$yj"||tcnh+rtXzz~T~hi30?3f?P6(o{l%~k!}su`oo*quW{ySkh<0<2`>S7'nxm"h rrvahn)pzVxxxR|jg^22`>S7'nxm"h rrvahn)pzVxxxR|jg^3b?PUBZVKGEL]l;TQFVZPN[@HGI>5YCB;8RLCPW]S[I:5XE@N?4;1<_LKG0<08;VGBH94912]NMA2<:1<4?RCFD595;6YJAT>3:2=PMH_7=394WDCV878>3^OJY1=50?58S@GR4:4<7ZKML=2=3>QBJE6:2:5XECN?6;1<_LHG0>08;VGAH929?2]NNA2:>69TAGJ;>730[HLC<683:2=PMKF7;394WD@V85803^OIY1?17:UFFP:56>1\IO[33?58S@DR4=4<7ZKMU=7=3>QBJ\6=245XECW?3?69?2]NNX28>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWKn0[_G[E^UJ@QNXIm1\^DZJ_VKGPMYE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ00:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj43o5nfhdl[4658'jdh`_fgmawgsg{U}8R:#{b]kevYnf}Uh`f3?,b]kevYtzz~6#c^fjjZqnl}b6;?"l_icp[rtXlh~jSnaznu?3(fYa}efTjaohs^pppZpfd4;'oRgbpmgnakrf|`eeSywe<0/gZstmVofnhjkee]qab;7$jU|~Rh}ep?2(fYr{lUocxzPrrv>5)eX}gnn~kb`w^nls86+kVbjRayesdokr;7$jU{~hb`ae]oeqcikp7: nQkotv\slbs`4=9 nQbsfmm[sgk58&hSjPddrwl877:=&hSeo|_tlgaw`kg~7; nQgar]bhhit|Vl~`a3?,b]kevYu{}7: nQkauc\gjsi|V}bhyf211.`[mgtW~xTmne20-a\qvcXmji655*dWf|n~kb`w^nls86+kV}yS}`{r^uj`qn:99&hS}|`g^gntqX|pzn1<"l_vp\`drfWje~byQxievk946+kVzyiaand^pfcv;7$jUocxzPrrv\rdj:8%iTdl}Pd`vb[firf}7; nQrne\bpjkW}byi~fPndebp`Yqie7<::"l_vp\``vs`4;;>9"l_qplcZcjx}sTxe|jsi]mabgsmV|j`0:#c^rqkbYbey~rSyf}erj\evubz}U}ma3<,b]kevYqieco1="l_qplcZ`rdeUdk|h^cpw`tsWkg1:87,bmntjhbf}Uem`k2agkekZ77:=r<>!mPv`nj`Zjh4:'oR~}il]emciX|pzn15)eXelgd~tQ{yqg>5){5?2kmekaP1107|24Xn`ldSjkaescwkwYqTt~zP1b9bhhit|Vof|ywm;`nnkvrXn|fg:6lncjws`>dfkb{S`o}kdp0?fjll2njxlQlotlw,5/c3mkmRm`uov+5,bbf|hUhcx`{(5+g?agsiVidycz'5(f8`drfWje~by&9)e9geqgXkfex%9&d:fbpdYdg|d$5'k;ecweZeh}g~#5$h4d`vb[firf}626=08;e`jp`tu>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$94dhl+77/03mce$>=&7:fjj-53!>1oec&<5(58`lh/;?#<7iga(25*3>bnf!93%:5kio*0=,01oec&;3(58`lh/<=#<7iga(57*3>bnf!>=%:5kio*73,12nbb%;&7:fjj-37!>1oec&:1(58`lh/=;#<7iga(41*3>bnf!??%:5kio*61,19#<7iga(73*3>bnf!<9%:5kio*57,1bnf!=;%:5kio*45,01oec2>3?58`lh;9=4<7iga<07=3>bnf5;=2:5kio>23;12nbb1?17:fjj9476>1oec2=1?58`lh;:;4<7iga<31=3>bnf58?2:5kio>11;1;08;ekm8719?2nbb1<7>69gmk:517<0hd`32?58`lh;;94<7iga<23=3>bnf5992:5kio>07;169gmk:4?7=0hd`339<4?aoi4:35:6jfn=1=3>bnf5>;2:5kio>75;169gmk:3=7=0hd`347<4?aoi4==5;6jfn=6;:2=cag6?5384dhl?0;169gmk:2;7=0hd`355<4?aoi4;394dhl?1=803mce08716:fjj939?2nbb18?>69gmk:197=0hd`363<4?aoi4?95;6jfn=47:2=cag6=9394dhl?23803mce0;917:fjj90?6>1oec299?48`lh;>7=0hd`371<:?aoi4>;1<394dhl?34813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'7;emvp-55!11ocxz'32+;?air|!9?%55kotv+70/?3me~x%=9)99gkpr/;>#37iazt)1;-==cg|~#?4'8;emvp-2.02ndyy&;0(:8`jss =;"46j`uu*76,>bh}}"?:$64dnww,11.02ndyy&;8(:8`jss =3";6j`uu*6-==cg|~#9='7;emvp-36!11ocxz'53+;?air|!?8%55kotv+11/?3me~x%;:)99gkpr/=?#37iazt)74-==cg|~#95'7;emvp-3>!>1ocxz'6(:8`jss ?:"46j`uu*55,>bh}}"=9$64dnww,30.02ndyy&97(:8`jss ?2"46j`uu*5=,11?:8`jss488546j`uu>27;>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?:8`jss4:;546j`uu>06;>=18:flqq:4<720hb{{<27=<>bh}}68:364dnww861902ndyy2<8?:8`jss4:35;6j`uu>0:==cg|~78=07;emvp926611ocxz343<;?air|5>8255kotv?018?3me~x1::>99gkpr;6>1ocxz34?:8`jss4<:546j`uu>65;>bh}}6>9364dnww800902ndyy2:7?:8`jss4<2546j`uu>6=;199gkpr;><437iazt=45:==cg|~7::07;emvp90?611ocxz368<4?air|5<546j`uu>44;g;5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~:o1mekaPgdlfvdrhzV|?S9"/Xhnjj}&DG[O+Kh`jr`vlv%76&<>0jxbc7:kmpZekc11eknlzimf;?jpbzofd{l5rne\ahvsq8>0|ah_dosp|Ys`{oxd%>&159svjaXmdzuRzgrdqk,4/6<2zycjQjmqvz[qnumzb#>$?;;qplcZcjx}sTxe|jsi*0-42"=95rne\ahvsqV~c~h}g(4+20>vugnUna}zv_ujqavn/> ;?7}|`g^gntqX|axne&8)068twi`Wlg{xtQ{hsgpl->.9=1{~biPelrw}Zrozlyc$4'>6:rqkbYbey~rSyf}erj?=?699k1{~biPelrw}ZrozlycSl}|esv+4,7e3yxdkRkbpu{\pmtb{aUj~k}t)3*5g=wzfmTi`~{y^vkv`uoWhyxiz'2(3a?uthoVof|ywPtipfwmYf{zoyx%=&1c9svjaXmdzuRzgrdqk[dutm{~#8$?m;qplcZcjx}sTxe|jsi]bwvcu|!?"=o5rne\ahvsqV~c~h}g_`qpawr/> ;i7}|`g^gntqX|axneQnsrgqp-1.9k1{~biPelrw}ZrozlycSl}|esv+<,7e3yxdkRkbpu{\pmtb{aUj~k}t);*5a=wzfmTi`~{y^vkv`uoWhyxiz39;2=5g=wzfmTi`~{y^vkv`uoWgolmyk'0(3a?uthoVof|ywPtipfwmYimnki%?&1c9svjaXmdzuRzgrdqk[kc`i}o#>$?m;qplcZcjx}sTxe|jsi]mabgsm!9"=o5rne\ahvsqV~c~h}g_ogdeqc/< ;i7}|`g^gntqX|axneQaefcwa-3.9k1{~biPelrw}ZrozlycSckhaug+2,7e3yxdkRkbpu{\pmtb{aUeijo{e)5*5g=wzfmTi`~{y^vkv`uoWgolmyk'8(3a?uthoVof|ywPtipfwmYimnki%7&1e9svjaXmdzuRzgrdqk[kc`i}o757>19:rqkbYa}ef:?6~}of]eqijX|axne&?)018twi`Wog`Rzgrdqk,4/6;2zycjQiumn\pmtb{a"9%<=4psmd[cskdV~c~h}g(2+27>vugnUmyabPtipfwm.3!890|ah_gwohZrozlyc$8'>3:rqkbYa}efTxe|jsi*5-45#:?6~}of]eqijX|axne&7)018twi`Wog`Rzgrdqk,a:rqkbYa}efTxe|jsi]bwvcu|!:"=l5rne\bpjkW}byi~fParqfvq.6!8k0|ah_gwohZrozlycSl}|esv+6,7f3yxdkRhzlm]wlwct`Vkxh|{(2+2e>vugnUmyabPtipfwmYf{zoyx%:&1`9svjaXn|fgSyf}erj\evubz}">% ;j7}|`g^dvhiYs`{oxdRo|sdpw,2/6i2zycjQiumn\pmtb{aUj~k}t):*5d=wzfmTjxbc_ujqavnXizyn~y&6)0a8twi`Wog`Rzgrdqk[dutm{~757>11`9svjaXn|fgSyf}erj\j`af|l";%79q`Zekc8:0~iQkauc\gjsi|!:"==5}d^fbpdYdg|d$<'>0:pg[agsiVidycz'2(33?wbXlh~jSnaznu*0-464ws]geqgXkfex%?&119tvZbf|hUhcx`{(3+24>quWmkmRm`uov+7,773~xThlzn_bmvjq.3!8:0{Qkauc\gjsi|!?"==5xr^fbpdYdg|d$;'>0:uq[agsiVidycz'7(33?rtXlh~jSnaznu*;-46<{UomyoPcnwmp-?.9;1|~Rjnt`]`kphs400;285xr^gm2>quW{yqMNf248DE~2;j0M694>{R:5>3132?0:??l:a6811750sg?8m7?4n41a>0=#=:219><4}R:7>3132?0:??l:a68117503Z;o<7889;29564e=h=1>8<<7:Q;0?0013:1=>?i4?:082V>12?=?6;4>33`6e2<5=;9<7{Z=9783>4<628low^69:757>3<6;;h>m:4=5314?!3683;o=6X:3881p7ci3;0y1q)<6d;70`>"2;>0=;;5f6ba94?=h>0n1<75f6d394?=h>>=1<75`66794?=n>m?1<75f6bg94?=h>;21<7*=80852c=i:1:1<65`63594?"5080=:k5a29295>=h>;?1<7*=80852c=i:1:1>65`63694?"5080=:k5a29297>=h>;91<7*=80852c=i:1:1865`63094?"5080=:k5a29291>=h>;;1<7*=80852c=i:1:1:65`63294?"5080=:k5a29293>=h>8l1<7*=80852c=i:1:1465`60g94?"5080=:k5a2929=>=h>8n1<7*=80852c=i:1:1m65`60a94?"5080=:k5a2929f>=h>8k1<7*=80852c=i:1:1o65`60;94?"5080=:k5a2929`>=h>821<7*=80852c=i:1:1i65`60594?"5080=:k5a2929b>=h>8<1<7*=80852c=i:1:1==54o736>5<#:1;1:;h4n3:3>47<3f<:87>5$3:2>30a3g83<7?=;:m556<72-83=789f:l1<5<6;21d:<<50;&1<4<1>o1e>5>51598k376290/>5?567d8j7>728?07b8?f;29 7>62?i18l0;6)<71;45b>h5090:;65`61f94?"5080=:k5a29295==b:9l25?=83.94<496g9m6=6=9j10c;>7:18'6=7=>?l0b?6?:0f8?j07?3:1(?6>:74e?k4?83;n76a90783>!4?93<=j6`=8182b>=h>9>1<7*=80852c=i:1:1>=54o720>5<#:1;1:;h4n3:3>77<3f<;>7>5$3:2>30a3g83<7<=;:m544<72-83=789f:l1<5<5;21d:=>50;&1<4<1>o1e>5>52598k0`a290/>5?567d8j7>72;?07b;ie;29 7>62?i2nm0;6)<71;45b>h50909;65`5ga94?"5080=:k5a29296==j54?:%0;5?01n2d94=4=b:9l1c1=83.94<496g9m6=6=:j10c8h9:18'6=7=>?l0b?6?:3f8?j3a=3:1(?6>:74e?k4?838n76a:f583>!4?93<=j6`=8181b>=h=o91<7*=80852c=i:1:1?=54o4d1>5<#:1;1:;h4n3:3>67<3f?m=7>5$3:2>30a3g83<7==;:m6b5<72-83=789f:l1<5<4;21d9hk50;&1<4<1>o1e>5>53598k0cc290/>5?567d8j7>72:?07b;jc;29 7>62?i2mk0;6)<71;45b>h50908;65`5dc94?"5080=:k5a29297==74;n7fi:4?:%0;5?01n2d94=4?l0b?6?:2f8?j05i3:1(?6>:74e?k4?839n76a92883>!4?93<=j6`=8180b>=h>;<1<7*=80852c=i:1:18=54o73a>5<#:1;1:;h4n3:3>17<3f<:<7>5$3:2>30a3g83<7:=;:m540<72-83=789f:l1<5<3;21d9ko50;&1<4<1>o1e>5>54598k0ca290/>5?567d8j7>72=?07b;j4;29 7>62?i2m:0;6)<71;45b>h5090?;65f58594?"5080>hh5a29294>=n=0<1<7*=8086``=i:1:1=65f58694?"5080>hh5a29296>=n=091<7*=8086``=i:1:1?65f58094?"5080>hh5a29290>=n=0;1<7*=8086``=i:1:1965f58294?"5080>hh5a29292>=n=1l1<7*=8086``=i:1:1;65f59g94?"5080>hh5a2929<>=n=1n1<7*=8086``=i:1:1565f59a94?"5080>hh5a2929e>=n=1h1<7*=8086``=i:1:1n65f59;94?"5080>hh5a2929g>=n=121<7*=8086``=i:1:1h65f59594?"5080>hh5a2929a>=n=1<1<7*=8086``=i:1:1j65f59794?"5080>hh5a292955=4?4?:%0;5?3cm2d94=4>3:9j1=7=83.94<4:dd9m6=6=9=10e86?:18'6=7==mo0b?6?:078?l30m3:1(?6>:4ff?k4?83;=76g:7e83>!4?93?oi6`=81823>=n=>i1<7*=8086``=i:1:1=554i45a>5<#:1;19ik4n3:3>4?<3`?5$3:2>0bb3g83<7?n;:k63<<72-83=7;ke:l1<5<6j21b9:650;&1<4<2ll1e>5>51b98m010290/>5?55eg8j7>728n07d;86;29 7>62o2?<0;6)<71;7ga>h5090:j65f56194?"5080>hh5a292965=;=4?:%0;5?3cm2d94=4=3:9j13`=83.94<4:dd9m6=6=:=10e88j:18'6=7==mo0b?6?:378?l31l3:1(?6>:4ff?k4?838=76g:6b83>!4?93?oi6`=81813>=n=?h1<7*=8086``=i:1:1>554i44b>5<#:1;19ik4n3:3>7?<3`?=47>5$3:2>0bb3g83<75>52b98m002290/>5?55eg8j7>72;n07d;94;29 7>62o2>:0;6)<71;7ga>h50909j65f57094?"5080>hh5a292975=?4;h754?6=,;2:68jj;o0;4?5532c>9k4?:%0;5?3cm2d94=4<3:9j10b=83.94<4:dd9m6=6=;=10e8;l:18'6=7==mo0b?6?:278?l32j3:1(?6>:4ff?k4?839=76g:5`83>!4?93?oi6`=81803>=n=<31<7*=8086``=i:1:1?554i47;>5<#:1;19ik4n3:3>6?<3`?>;7>5$3:2>0bb3g83<7=n;:k613<72-83=7;ke:l1<5<4j21b98;50;&1<4<2ll1e>5>53b98m033290/>5?55eg8j7>72:n07d;69;29 7>62o2110;6)<71;7ga>h50908j65f58794?"5080>hh5a292905=;94?:%0;5?3cm2d94=4;3:9j13?=83.94<4:dd9m6=6=<=10e8;j:18'6=7==mo0b?6?:578?l32;3:1(?6>:4ff?k4?83>=76g:5383>!4?93?oi6`=81873>=h>>h1<75f6cd94?=e=9l1<7?50;2xL06b3-82h7;?f:m13`<722wi=>?50;394?6|@<:n7)<6d;305>i6;90;66sm7083>46528\0:3;=w548:88g>dagh4$35:>71c3`<2<7>5$3:2>3?63g83<7>4;h4;b?6=,;2:6;7>;o0;4?7<3`<3i7>5$3:2>3?63g83<7<4;h4;`?6=,;2:6;7>;o0;4?5<3`<3o7>5$3:2>3?63g83<7:4;h4;f?6=,;2:6;7>;o0;4?3<3`<3m7>5$3:2>3?63g83<784;h4;=?6=,;2:6;7>;o0;4?1<3`<347>5$3:2>3?63g83<764;h4;3?6=,;2:6;7>;o0;4??<3`5;h4g4?6=3f5;h4;1?6=,;2:6;69;o0;4?6<3`<387>5$3:2>3>13g83<7?4;h4;7?6=,;2:6;69;o0;4?4<3`<3>7>5$3:2>3>13g83<7=4;h4;5?6=,;2:6;69;o0;4?2<3`<3<7>5$3:2>3>13g83<7;4;h44b?6=,;2:6;69;o0;4?0<3`<5$3:2>3>13g83<794;h44`?6=,;2:6;69;o0;4?><3`<5$3:2>3>13g83<774;h4`g?6=3f<5;h4:f?6=,;2:6;7l;o0;4?6<3`<2m7>5$3:2>3?d3g83<7?4;h4:=?6=,;2:6;7l;o0;4?4<3`<247>5$3:2>3?d3g83<7=4;h4:3?6=,;2:6;7l;o0;4?2<3`<2:7>5$3:2>3?d3g83<7;4;h4:1?6=,;2:6;7l;o0;4?0<3`<287>5$3:2>3?d3g83<794;h4:7?6=,;2:6;7l;o0;4?><3`<2>7>5$3:2>3?d3g83<774;n776?6=3f??j7>5$3:2>0373g83<7>4;n77a?6=,;2:68;?;o0;4?7<3f??h7>5$3:2>0373g83<7<4;n77g?6=,;2:68;?;o0;4?5<3f??n7>5$3:2>0373g83<7:4;n77e?6=,;2:68;?;o0;4?3<3f??57>5$3:2>0373g83<784;n775$3:2>0373g83<764;n772?6=,;2:68;?;o0;4??<3f<2h7>5;h4f5?6=3`5$3:2>3g?3g83<7>4;h4b2?6=,;2:6;o7;o0;4?7<3`5$3:2>3g?3g83<7<4;h4b0?6=,;2:6;o7;o0;4?5<3`5$3:2>3g?3g83<7:4;h4b6?6=,;2:6;o7;o0;4?3<3`5$3:2>3g?3g83<784;h4b4?6=,;2:6;o7;o0;4?1<3`<2j7>5$3:2>3g?3g83<764;h4:a?6=,;2:6;o7;o0;4??<3f<<;7>5;n441?6=3`5;h770?6=3`7>5$3:2>3d43g83<7>4;h4a5?6=,;2:6;l<;o0;4?7<3`5$3:2>3d43g83<7<4;h4bb?6=,;2:6;l<;o0;4?5<3`5$3:2>3d43g83<7:4;h4b`?6=,;2:6;l<;o0;4?3<3`5$3:2>3d43g83<784;h4bf?6=,;2:6;l<;o0;4?1<3`5$3:2>3d43g83<764;h4b=?6=,;2:6;l<;o0;4??<3`5$3:2>3db3g83<7>4;h4ag?6=,;2:6;lj;o0;4?7<3`5$3:2>3db3g83<7<4;h4ae?6=,;2:6;lj;o0;4?5<3`5$3:2>3db3g83<7:4;h4a5$3:2>3db3g83<784;h4a2?6=,;2:6;lj;o0;4?1<3`5$3:2>3db3g83<764;h4a0?6=,;2:6;lj;o0;4??<3f??=7>5;h445;h4f6?6=3f5;n4g0?6=3`5;n415$3:2>30a3g83<7?4;n411?6=,;2:6;8i;o0;4?4<3f<987>5$3:2>30a3g83<7=4;n417?6=,;2:6;8i;o0;4?2<3f<9>7>5$3:2>30a3g83<7;4;n415?6=,;2:6;8i;o0;4?0<3f<9<7>5$3:2>30a3g83<794;n42b?6=,;2:6;8i;o0;4?><3f<:i7>5$3:2>30a3g83<774;n42`?6=,;2:6;8i;o0;4?g<3f<:o7>5$3:2>30a3g83<7l4;n42e?6=,;2:6;8i;o0;4?e<3f<:57>5$3:2>30a3g83<7j4;n425$3:2>30a3g83<7h4;n422?6=,;2:6;8i;o0;4?7732e==84?:%0;5?01n2d94=4>1:9l242=83.94<496g9m6=6=9;10c;?<:18'6=7=>?l0b?6?:018?j06:3:1(?6>:74e?k4?83;?76a91083>!4?93<=j6`=81821>=h>9l1<7*=80852c=i:1:1=;54o72f>5<#:1;1:;h4n3:3>41<3f<;h7>5$3:2>30a3g83<7?7;:m54f<72-83=789f:l1<5<6121d:=l50;&1<4<1>o1e>5>51`98k36f290/>5?567d8j7>728h07b8?9;29 7>62?i1810;6)<71;45b>h5090:h65`61594?"5080=:k5a29295`=4?:%0;5?01n2d94=4=1:9l254=83.94<496g9m6=6=:;10c;>>:18'6=7=>?l0b?6?:318?j0783:1(?6>:74e?k4?838?76a:fg83>!4?93<=j6`=81811>=h=oo1<7*=80852c=i:1:1>;54o4dg>5<#:1;1:;h4n3:3>71<3f?mo7>5$3:2>30a3g83<7<7;:m6bg<72-83=789f:l1<5<5121d9k750;&1<4<1>o1e>5>52`98k0`?290/>5?567d8j7>72;h07b;i7;29 7>62?i2n?0;6)<71;45b>h50909h65`5g794?"5080=:k5a29296`=j?4?:%0;5?01n2d94=4<1:9l1c7=83.94<496g9m6=6=;;10c8h?:18'6=7=>?l0b?6?:218?j3bm3:1(?6>:74e?k4?839?76a:ee83>!4?93<=j6`=81801>=h=li1<7*=80852c=i:1:1?;54o4ga>5<#:1;1:;h4n3:3>61<3f?nm7>5$3:2>30a3g83<7=7;:m6a<<72-83=789f:l1<5<4121d9h650;&1<4<1>o1e>5>53`98k0c0290/>5?567d8j7>72:h07b;j6;29 7>62?i2m<0;6)<71;45b>h50908h65`63c94?"5080=:k5a29297`=h4;n412?6=,;2:6;8i;o0;4?2732e==o4?:%0;5?01n2d94=4;1:9l246=83.94<496g9m6=6=<;10c;>::18'6=7=>?l0b?6?:518?j3ai3:1(?6>:74e?k4?83>?76a:eg83>!4?93<=j6`=81871>=h=l>1<7*=80852c=i:1:18;54o4g0>5<#:1;1:;h4n3:3>11<3`?2;7>5$3:2>0bb3g83<7>4;h7:2?6=,;2:68jj;o0;4?7<3`?287>5$3:2>0bb3g83<7<4;h7:7?6=,;2:68jj;o0;4?5<3`?2>7>5$3:2>0bb3g83<7:4;h7:5?6=,;2:68jj;o0;4?3<3`?2<7>5$3:2>0bb3g83<784;h7;b?6=,;2:68jj;o0;4?1<3`?3i7>5$3:2>0bb3g83<764;h7;`?6=,;2:68jj;o0;4??<3`?3o7>5$3:2>0bb3g83<7o4;h7;f?6=,;2:68jj;o0;4?d<3`?357>5$3:2>0bb3g83<7m4;h7;5$3:2>0bb3g83<7k4;h7;2?6=,;2:68jj;o0;4?`<3`?397>5$3:2>0bb3g83<7??;:k6<1<72-83=7;ke:l1<5<6921b95=50;&1<4<2ll1e>5>51398m0>5290/>5?55eg8j7>728907d;71;29 7>62o2090;6)<71;7ga>h5090:965f56g94?"5080>hh5a292953=;o4?:%0;5?3cm2d94=4>9:9j12g=83.94<4:dd9m6=6=9h10e896:18'6=7==mo0b?6?:0`8?l3003:1(?6>:4ff?k4?83;h76g:7683>!4?93?oi6`=8182`>=n=><1<7*=8086``=i:1:1=h54i456>5<#:1;19ik4n3:3>4`<3`?5$3:2>0bb3g83<75>52398m017290/>5?55eg8j7>72;907d;9f;29 7>62o2>l0;6)<71;7ga>h50909965f57f94?"5080>hh5a292963=:l4?:%0;5?3cm2d94=4=9:9j13>=83.94<4:dd9m6=6=:h10e888:18'6=7==mo0b?6?:3`8?l31>3:1(?6>:4ff?k4?838h76g:6483>!4?93?oi6`=8181`>=n=?>1<7*=8086``=i:1:1>h54i440>5<#:1;19ik4n3:3>7`<3`?=>7>5$3:2>0bb3g83<7=?;:k624<72-83=7;ke:l1<5<4921b9;>50;&1<4<2ll1e>5>53398m03a290/>5?55eg8j7>72:907d;:d;29 7>62o2=j0;6)<71;7ga>h50908965f54`94?"5080>hh5a292973=94;h76=?6=,;2:68jj;o0;4?5?32c>954?:%0;5?3cm2d94=4<9:9j101=83.94<4:dd9m6=6=;h10e8;9:18'6=7==mo0b?6?:2`8?l32=3:1(?6>:4ff?k4?839h76g:5583>!4?93?oi6`=8180`>=n=031<7*=8086``=i:1:1?h54i4;;>5<#:1;19ik4n3:3>6`<3`?297>5$3:2>0bb3g83<7:?;:k65>54398m013290/>5?55eg8j7>72=907d;99;29 7>62o2=l0;6)<71;7ga>h5090?965f54194?"5080>hh5a292903=5$3:2>3ee3g83<7>4;n4`5$3:2>3ee3g83<7<4;n4`2?6=,;2:6;mm;o0;4?5<3f5$3:2>3ee3g83<7:4;n4`0?6=,;2:6;mm;o0;4?3<3f5$3:2>3ee3g83<784;n4`6?6=,;2:6;mm;o0;4?1<3f5$3:2>3ee3g83<764;n4`4?6=,;2:6;mm;o0;4??<3f5$3:2>3c73g83<7>4;n4ga?6=,;2:6;k?;o0;4?7<3f5$3:2>3c73g83<7<4;n4gg?6=,;2:6;k?;o0;4?5<3f5$3:2>3c73g83<7:4;n4ge?6=,;2:6;k?;o0;4?3<3f5$3:2>3c73g83<784;n4g5$3:2>3c73g83<764;n4g2?6=,;2:6;k?;o0;4??<3f<5;n765?6=3`5;n4g6?6=3k?897>51;294~"51m0:?<5G5268L06b3f;8<7>5;|`673<7280;6=u+28f915`<@<9?7E;?e:m13`<722wi?hm50;694?6|,;3o6<N28l1/=9755:k47?6=3`2<6=44i3:1>5<0D8>j;%37=?391<75f8683>>o50;0;66a=8283>>{e<1i1<7:50;2x 7?c288i7E;<4:J64`=#9=31=6g83;29?l>02900e?6=:188k7>42900qo:7b;290?6=8r.95i4>2c9K162<@<:n7)?;9;38m25=831b4:4?::k1<7<722e94>4?::a0cd=8391<7>t$3;g>44?3A?886F:0d9K2c=#9m21:i?4$06:>4=n?:0;66g77;29?j4?;3:17pl:0783>6<729q/>4j513:8L0533A?;i6F9f:&2`=<1l81/=9751:k47?6=3`2<6=44o3:0>5<mm7>53;294~"51m0:>55G5268L06b3A"6<00:7d9<:188m=1=831d>5=50;9~f063290>6=4?{%0:`?75k2B>?95G51g8L3`<,8n36;j>;%37=?791<75f7b83>>o??3:17d<72;29?j4?;3:17pl:0483>6<729q/>4j513:8L0533A?;i6F9f:&2`=<1l81/=9751:k47?6=3`2<6=44o3:0>5<m57>55;294~"51m0:>n5G5268L06b3A"6<00:7d9<:188m2e=831b4:4?::k1<7<722e94>4?::a155=83>1<7>t$3;g>44e3A?886F:0d9'51?=92c5;h:4>5<5<7>54;294~"51m0:>o5G5268L06b3-;?57?4i6194?=n0>0;66g=8383>>i50:0;66sm51394?2=83:p(?7k:00a?M34<2B>o0;3:17d68:188m7>52900c?6<:188yg37k3:187>50z&1=a<6:k1C9>:4H42f?!7313;0e:=50;9j<2<722c94?4?::m1<6<722wi9=l50;694?6|,;3o6<N28l1/=9751:k47?6=3`2<6=44i3:1>5<0D8>j;%37=?791<75f8283>>o??3:17b<73;29?xd5l?0;694?:1y'6"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi>i;50;694?6|,;3o6<<6;I700>N28l1/=9751:k47?6=3`286=44i9594?=h:191<75rb3f7>5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg4c;3:187>50z&1=a<6:01C9>:4H42f?!7313;0e:=50;9j<6<722c3;7>5;n0;7?6=3th9h?4?:583>5}#:0n1=?74H417?M37m2.:844>;h50>5<>i50:0;66sm2e394?2=83:p(?7k:00:?M34<2B>o0;3:17d6<:188m=1=831d>5=50;9~f7b7290?6=4?{%0:`?7512B>?95G51g8 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<54;294~"51m0:>45G5268L06b3-;?57?4i6194?=n0:0;66g77;29?j4?;3:17pl=cd83>1<729q/>4j513;8L0533A?;i6*>4882?l142900e5=50;9j<2<722e94>4?::a76?=83>1<7>t$3;g>44>3A?886F:0d9'51?=92c5;h:0>5<l3;956F:359K15c<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=<7;290?6=8r.95i4>289K162<@<:n7)?;9;38m25=831b4>4?::k;3?6=3f83?7>5;|`073<72=0;6=u+28f957?<@<9?7E;?e:&20<<63`=86=44i9194?=n0>0;66a=8283>>{e;:?1<7:50;2x 7?c28827E;<4:J64`=#9=31=6g83;29?l>42900e5950;9l6=5=831vn>=;:187>5<7s-82h7?=9:J671=O=9o0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;%37=?791<75f8283>>o??3:17b<73;29?xd4;;0;694?:1y'6"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?>?50;694?6|,;3o6<<6;I700>N28l1/=9751:k47?6=3`286=44i9594?=h:191<75rb213>5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg50m3:187>50z&1=a<6:01C9>:4H42f?!7313;0e:=50;9j<6<722c3;7>5;n0;7?6=3th8;i4?:583>5}#:0n1=?74H417?M37m2.:844>;h50>5<>i50:0;66sm36a94?2=83:p(?7k:00:?M34<2B>o0;3:17d6<:188m=1=831d>5=50;9~f61e290?6=4?{%0:`?7512B>?95G51g8 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<54;294~"51m0:>45G5268L06b3-;?57?4i6194?=n0:0;66g77;29?j4?;3:17pl<7883>1<729q/>4j513;8L0533A?;i6*>4882?l142900e5=50;9j<2<722e94>4?::a72>=83>1<7>t$3;g>44>3A?886F:0d9'51?=92c5;h:0>5<l3;956F:359K15c<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=86;290?6=8r.95i4>289K162<@<:n7)?;9;38m25=831b4>4?::k;3?6=3f83?7>5;|`030<72=0;6=u+28f957?<@<9?7E;?e:&20<<63`=86=44i9194?=n0>0;66a=8283>>{e;8i1<7:50;2x 7?c28827E;<4:J64`=#9=31=6g83;29?l>42900e5950;9l6=5=831vn>?m:187>5<7s-82h7?=9:J671=O=9o0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;%37=?791<75f8283>>o??3:17b<73;29?xd4900;694?:1y'6"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?<650;694?6|,;3o6<<6;I700>N28l1/=9751:k47?6=3`286=44i9594?=h:191<75rb234>5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg56>3:187>50z&1=a<6:01C9>:4H42f?!7313;0e:=50;9j<6<722c3;7>5;n0;7?6=3th8=84?:583>5}#:0n1=?74H417?M37m2.:844>;h50>5<>i50:0;66sm30694?2=83:p(?7k:00:?M34<2B>o0;3:17d6<:188m=1=831d>5=50;9~f674290?6=4?{%0:`?7512B>?95G51g8 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<54;294~"51m0:>45G5268L06b3A"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?;750;694?6|,;3o6<<6;I700>N28l1C:k5+1e:92a7<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=98;290?6=8r.95i4>289K162<@<:n7E8i;%3g;h50>5<>i50:0;66sm37594?2=83:p(?7k:00:?M34<2B>=>m;0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;I4e?!7c034882?l142900e5=50;9j<2<722e94>4?::a733=83>1<7>t$3;g>44>3A?886F:0d9K2c=#9m21:i?4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg51<3:187>50z&1=a<6:01C9>:4H42f?M0a3-;o478k1:&20<<63`=86=44i9194?=n0>0;66a=8283>>{e;?91<7:50;2x 7?c28827E;<4:J64`=O>o1/=i656e38 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<7>54;294~"51m0:>45G5268L06b3A"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?;?50;694?6|,;3o6<<6;I700>N28l1C:k5+1e:92a7<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=?8;290?6=8r.95i4>289K162<@<:n7E8i;%3g;h50>5<>i50:0;66sm31594?2=83:p(?7k:00:?M34<2B>=>m;0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;I4e?!7c034882?l142900e5=50;9j<2<722e94>4?::a753=83>1<7>t$3;g>44>3A?886F:0d9K2c=#9m21:i?4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg57<3:187>50z&1=a<6:01C9>:4H42f?M0a3-;o478k1:&20<<63`=86=44i9194?=n0>0;66a=8283>>{e;991<7:50;2x 7?c28827E;<4:J64`=O>o1/=i656e38 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<7>54;294~"51m0:>45G5268L06b3A"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?=?50;694?6|,;3o6<<6;I700>N28l1C:k5+1e:92a7<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=?0;290?6=8r.95i4>289K162<@<:n7E8i;%3g;h50>5<>i50:0;66sm2gd94?2=83:p(?7k:00:?M34<2B>=>m;0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;%37=?791<75f8283>>o??3:17b<73;29?xd5n:0;694?:1y'6"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi>k<50;694?6|,;3o6<<6;I700>N28l1/=9751:k47?6=3`286=44i9594?=h:191<75rb3d2>5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg4a83:187>50z&1=a<6:01C9>:4H42f?!7313;0e:=50;9j<6<722c3;7>5;n0;7?6=3th9ik4?:583>5}#:0n1=?74H417?M37m2.:844>;h50>5<>i50:0;66sm2dg94?2=83:p(?7k:00:?M34<2B>o0;3:17d6<:188m=1=831d>5=50;9~f7cc290?6=4?{%0:`?7512B>?95G51g8 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<54;294~"51m0:>45G5268L06b3-;?57?4i6194?=n0:0;66g77;29?j4?;3:17pl=ec83>1<729q/>4j513;8L0533A?;i6*>4882?l142900e5=50;9j<2<722e94>4?::a700=83>1<7>t$3;g>44>3A?886F:0d9'51?=92c5;h:0>5<6=4;:183!4>l3;956F:359K15c<,8>26<5f7283>>o?;3:17d68:188k7>42900qo=:4;290?6=8r.95i4>289K162<@<:n7)?;9;38m25=831b4>4?::k;3?6=3f83?7>5;|`016<72=0;6=u+28f957?<@<9?7E;?e:&20<<63`=86=44i9194?=n0>0;66a=8283>>{e;<81<7:50;2x 7?c28827E;<4:J64`=#9=31=6g83;29?l>42900e5950;9l6=5=831vn>;>:187>5<7s-82h7?=9:J671=O=9o0(<:6:09j36<722c3?7>5;h:4>5<0D8>j;%37=?791<75f8283>>o??3:17b<73;29?xd4"6<00:7d9<:188m=5=831b4:4?::m1<6<722wi?9k50;694?6|,;3o6<<6;I700>N28l1/=9751:k47?6=3`286=44i9594?=h:191<75rb26g>5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg25j3:197>50z&1=a<6:h1C9>:4H42f?!7313;0e:=50;9j3f<722c3?7>5;h:4>5<0D8>j;%37=?791<75f7b83>>o?;3:17d68:188k7>42900qo:=8;291?6=8r.95i4>2`9K162<@<:n7)?;9;38m25=831b;n4?::k;7?6=3`2<6=44o3:0>5<9;7>55;294~"51m0:>l5G5268L06b3-;?57?4i6194?=n?j0;66g73;29?l>02900c?6<:188yg2513:197>50z&1=a<6:h1C9>:4H42f?!7313;0e:=50;9j3f<722c3?7>5;h:4>5<0D8>j;%37=?791<75f7b83>>o?;3:17d68:188k7>42900qo:=5;291?6=8r.95i4>2`9K162<@<:n7)?;9;38m25=831b;n4?::k;7?6=3`2<6=44o3:0>5<9?7>55;294~"51m0:>l5G5268L06b3-;?57?4i6194?=n?j0;66g73;29?l>02900c?6<:188yg25:3:197>50z&1=a<6:j1C9>:4H42f?!7313?0e:=50;9j3f<722c3;7>5;h0;6?6=3f83?7>5;|`761<72<0;6=u+28f957g<@<9?7E;?e:&20<<63`=86=44i6a94?=n0:0;66g77;29?j4?;3:17pl;1783>6<729q/>4j55218L0533A?;i6g8e;29?l7dn3:17b<8c;29?xd39<0;6>4?:1y'6o0m3:17d?lf;29?j40k3:17pl;0c83>1<729q/>4j51e;8L0533A?;i6g8e;29?l>?2900e?7>:188k71d2900qo:>4;297?6=8r.95i4:329K162<@<:n7d9j:188m4ea2900c?9l:188yg2713:187>50z&1=a<6l01C9>:4H42f?l1b2900e5650;9j6<7=831d>:m50;9~f17429086=4?{%0:`?34;2B>?95G51g8m2c=831b=nh50;9l62e=831vn9>8:187>5<7s-82h7?k9:J671=O=9o0e:k50;9j<=<722c95<4?::m13f<722wi8<<50;194?6|,;3o68=<;I700>N28l1b;h4?::k2gc<722e9;n4?::a053=83>1<7>t$3;g>4b>3A?886F:0d9j3`<722c347>5;h0:5?6=3f85;|`754<72:0;6=u+28f9165<@<9?7E;?e:k4a?6=3`;hj7>5;n04g?6=3th?<>4?:583>5}#:0n1=i74H417?M37m2c5;h:;>5<5<:<7>53;294~"51m0>?>5G5268L06b3`=n6=44i0ae>5<0D8>j;h5f>5<i1<75rb52e>5<4290;w)<6d;707>N2;=1C9=k4i6g94?=n9jl1<75`26a94?=zj:lm6=4;:183!4>l3;o56F:359K15co1<75f8983>>o5180;66a=7b83>>{e<9o1<7=50;2x 7?c2<987E;<4:J64`=n?l0;66g>cg83>>i5?j0;66sm3gf94?2=83:p(?7k:0f:?M34<2B>>o?03:17d<61;29?j40k3:17pl;0e83>6<729q/>4j55218L0533A?;i6g8e;29?l7dn3:17b<8c;29?xd4nk0;694?:1y'6o0m3:17d67:188m7?62900c?9l:188yg24n3:197>50z&1=a<6:h1C9>:4H42f?!7313;0e:=50;9j3f<722c3?7>5;h:4>5<0D8>j;%37=?791<75f7b83>>o?;3:17d68:188k7>42900qo:2`9K162<@<:n7)?;9;38m25=831b;n4?::k;7?6=3`2<6=44o3:0>5<8o7>55;294~"51m0:>l5G5268L06b3-;?57?4i6194?=n?j0;66g73;29?l>02900c?6<:188yg24j3:197>50z&1=a<6:h1C9>:4H42f?!7313;0e:=50;9j3f<722c3?7>5;h:4>5<0D8>j;%37=?791<75f7b83>>o?;3:17d68:188k7>42900qo:<9;291?6=8r.95i4>2`9K162<@<:n7)?;9;38m25=831b;n4?::k;7?6=3`2<6=44o3:0>5<847>55;294~"51m0:>l5G5268L06b3-;?57?4i6194?=n?j0;66g73;29?l>02900c?6<:188yg24?3:197>50z&1=a<6:h1C9>:4H42f?!7313;0e:=50;9j3f<722c3?7>5;h:4>5<0D8>j;%37=?791<75f7b83>>o?;3:17d68:188k7>42900qo=6c;297?6=8r.95i4:329K162<@<:n7d9j:188m4ea2900c?9l:188yg5f;3:1?7>50z&1=a<2;:1C9>:4H42f?l1b2900ed89K162<@<:n7d9j:188m=>=831b>4?50;9l62e=831vn>o=:180>5<7s-82h7;<3:J671=O=9o0e:k50;9j5f`=831d>:m50;9~f6?7290?6=4?{%0:`?7c12B>?95G51g8m2c=831b454?::k1=4<722e9;n4?::a7d7=8391<7>t$3;g>0543A?886F:0d9j3`<722c:ok4?::m13f<722wi?5h50;694?6|,;3o6N28l1b;h4?::k;5;n04g?6=3th8m=4?:283>5}#:0n19>=4H417?M37m2c5;h3`b?6=3f85;|`0<`<72=0;6=u+28f95a?<@<9?7E;?e:k4a?6=3`236=44i3;2>5<0D8>j;h5f>5<5<54;294~"51m0:h45G5268L06b3`=n6=44i9:94?=n:0;1<75`26a94?=zj:3n6=4<:183!4>l3?8?6F:359K15co1<75f1bd94?=h:>i1<75rb2:`>5<3290;w)<6d;3g=>N2;=1C9=k4i6g94?=n010;66g=9083>>i5?j0;66sm38f94?5=83:p(?7k:410?M34<2B>>o6ko0;66a=7b83>>{e;1h1<7:50;2x 7?c28n27E;<4:J64`=n?l0;66g78;29?l4>93:17b<8c;29?xd40h0;694?:1y'6o0m3:17d67:188m7?62900c?9l:188yg5?13:187>50z&1=a<6l01C9>:4H42f?l1b2900e5650;9j6<7=831d>:m50;9~f6>?290?6=4?{%0:`?7c12B>?95G51g8m2c=831b454?::k1=4<722e9;n4?::a7f6=83?1<7>t$3;g>44d3A?886F:0d9'51?==2c5;h5`>5<5<3290;w)<6d;31=>N2;=1C9=k4$06:>4=n?:0;66g73;29?l>02900c?6<:188yg5e?3:187>50z&1=a<6:01C9>:4H42f?!7313;0e:=50;9j<6<722c3;7>5;n0;7?6=3th8n;4?:583>5}#:0n1=?74H417?M37m2.:844>;h50>5<>i50:0;66sm3c794?2=83:p(?7k:00:?M34<2B>o0;3:17d6<:188m=1=831d>5=50;9~f6d3290?6=4?{%0:`?7512B>?95G51g8 42>281b;>4?::k;7?6=3`2<6=44o3:0>5<54;294~"51m0:>45G5268L06b3-;?57?4i6194?=n0:0;66g77;29?j4?;3:17pl1<729q/>4j513;8L0533A?;i6*>4882?l142900e5=50;9j<2<722e94>4?::a7g7=83>1<7>t$3;g>44>3A?886F:0d9'51?=92c5;h:0>5<6=4<:183!4>l3?8?6F:359K15co1<75f1bd94?=h:>i1<75rb575>5<4290;w)<6d;707>N2;=1C9=k4i6g94?=n9jl1<75`26a94?=zj=>j6=4;:183!4>l3;o56F:359K15co1<75f8983>>o5180;66a=7b83>>{e<=31<7:50;2x 7?c28n27E;<4:J64`=n?l0;66g78;29?l4>93:17b<8c;29?xd3<10;694?:1y'6o0m3:17d67:188m7?62900c?9l:188yg23?3:187>50z&1=a<6l01C9>:4H42f?l1b2900e5650;9j6<7=831d>:m50;9~f121290?6=4?{%0:`?7c12B>?95G51g8m2c=831b454?::k1=4<722e9;n4?::a013=83>1<7>t$3;g>4b>3A?886F:0d9j3`<722c347>5;h0:5?6=3f85;|`701<72=0;6=u+28f95a?<@<9?7E;?e:k4a?6=3`236=44i3;2>5<0D8>j;h5f>5<i1<75rb561>5<3290;w)<6d;3g=>N2;=1C9=k4i6g94?=n010;66g=9083>>i5?j0;66sm45394?2=83:p(?7k:0f:?M34<2B>>o?03:17d<61;29?j40k3:17pl;5883>1<729q/>4j513;8L0533A?;i6*>4882?l142900e5=50;9j<2<722e94>4?::a00g=83>1<7>t$3;g>44>3A?886F:0d9'51?=92c5;h:0>5<l3;9n6F:359K15c<,8>2685f7283>>o??3:17d<72;29?j4?;3:17pl;5e83>0<729q/>4j513a8L0533A?;i6*>4882?l142900e:m50;9j<2<722c94?4?::m1<6<722wi8:k50;694?6|,;3o6N28l1b;h4?::k;5;n04g?6=3th?;i4?:583>5}#:0n1=i74H417?M37m2c5;h:;>5<5<54;294~"51m0:h45G5268L06b3`=n6=44i9:94?=n:0;1<75`26a94?=zj==i6=4;:183!4>l3;o56F:359K15co1<75f8983>>o5180;66a=7b83>>{e<>k1<7:50;2x 7?c28n27E;<4:J64`=n?l0;66g78;29?l4>93:17b<8c;29?xd3>k0;694?:1y'6o0m3:17d67:188m7?62900c?9l:188yg21i3:187>50z&1=a<6l01C9>:4H42f?l1b2900e5650;9j6<7=831d>:m50;9~f10>290?6=4?{%0:`?7c12B>?95G51g8m2c=831b454?::k1=4<722e9;n4?::a03>=83>1<7>t$3;g>4b>3A?886F:0d9j3`<722c347>5;h0:5?6=3f85;|`722<72=0;6=u+28f95a?<@<9?7E;?e:k4a?6=3`236=44i3;2>5<0D8>j;h5f>5<i1<75rb2ff>5<3290;w)<6d;3g=>N2;=1C9=k4i6g94?=n010;66g=9083>>i5?j0;66sm3ef94?2=83:p(?7k:0f:?M34<2B>>o?03:17d<61;29?j40k3:17pl1<729q/>4j51e;8L0533A?;i6g8e;29?l>?2900e?7>:188k71d2900qo=kb;290?6=8r.95i4>d89K162<@<:n7d9j:188m=>=831b>4?50;9l62e=831vn>ml:187>5<7s-82h7?k9:J671=O=9o0e:k50;9j<=<722c95<4?::m13f<722wi?nl50;694?6|,;3o6N28l1b;h4?::k;5;n04g?6=3th8ol4?:583>5}#:0n1=i74H417?M37m2c5;h:;>5<5<54;294~"51m0:h45G5268L06b3`=n6=44i9:94?=n:0;1<75`26a94?=zj:i36=4;:183!4>l3;o56F:359K15co1<75f8983>>o5180;66a=7b83>>{e4?::a0a0=83?1<7>t$3;g>44d3A?886F:0d9'51?==2c5;h5`>5<5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9j6:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1bc290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5fa>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9jl:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1ba290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5aa>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9mn:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1e0290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5a:>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9m::186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1e1290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5a1>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9m<:186>5<7s-82h7?=c:J671=O=9o0(<:6:49j36<722c5;h:4>5<5<i:7>53;294~"51m0>?>5G5268L06b3`=n6=44i0ae>5<0D8>j;h5f>5<5<jn7>54;294~"51m0:h45G5268L06b3`=n6=44i9:94?=n:0;1<75`26a94?=zj=h?6=4<:183!4>l3?8?6F:359K15co1<75f1bd94?=h:>i1<75rb5c:>5<3290;w)<6d;3g=>N2;=1C9=k4i6g94?=n010;66g=9083>>i5?j0;66sm4c194?5=83:p(?7k:410?M34<2B>>o6ko0;66a=7b83>>{e93:17b<8c;29?xd3j;0;6>4?:1y'6o0m3:17d?lf;29?j40k3:17pl;a483>1<729q/>4j51e;8L0533A?;i6g8e;29?l>?2900e?7>:188k71d2900qo:m1;297?6=8r.95i4:329K162<@<:n7d9j:188m4ea2900c?9l:188yg2f;3:187>50z&1=a<6l01C9>:4H42f?l1b2900e5650;9j6<7=831d>:m50;9~f1d729086=4?{%0:`?34;2B>?95G51g8m2c=831b=nh50;9l62e=831vn9o>:187>5<7s-82h7?k9:J671=O=9o0e:k50;9j<=<722c95<4?::m13f<722wi8lh50;194?6|,;3o68=<;I700>N28l1b;h4?::k2gc<722e9;n4?::a0<`=83>1<7>t$3;g>4b>3A?886F:0d9j3`<722c347>5;h0:5?6=3f85;|`7e`<72:0;6=u+28f9165<@<9?7E;?e:k4a?6=3`;hj7>5;n04g?6=3th?5i4?:583>5}#:0n1=i74H417?M37m2c5;h:;>5<5<jh7>53;294~"51m0>?>5G5268L06b3`=n6=44i0ae>5<0D8>j;h5f>5<i1<75rb5d0>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9h=:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1`7290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5ge>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9kj:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;9m6F:359K15c<,8>26<5f7283>>o0k3:17d6<:188m=1=831d>5=50;9~f1cd290>6=4?{%0:`?75i2B>?95G51g8 42>281b;>4?::k4g?6=3`286=44i9594?=h:191<75rb5ga>5<2290;w)<6d;31e>N2;=1C9=k4$06:>4=n?:0;66g8c;29?l>42900e5950;9l6=5=831vn9kn:186>5<7s-82h7?=a:J671=O=9o0(<:6:09j36<722c5;h:0>5<l3;o?6F:359K15c<,8>26<:4i010>5<5<mh7>53;294~"51m0:h>5G5268L06b3-;?57?;;h307?6=3`;887>5;n04g?6=3th9o>4?:283>5}#:0n1=i=4H417?M37m2.:844=1:k276<722c:?94?::m13f<722wi>n<50;194?6|,;3o6N28l1/=975209j565=831b=>:50;9l62e=831vn?m>:180>5<7s-82h7?k3:J671=O=9o0(<:6:338m4542900e<=;:188k71d2900qod29K162<@<:n7)?;9;02?l74;3:17d?<4;29?j40k3:17pl=bg83>6<729q/>4j51e18L0533A?;i6*>48815>o6;:0;66g>3583>>i5?j0;66sm2cg94?5=83:p(?7k:0f0?M34<2B>i1<75rb3`g>5<4290;w)<6d;3g7>N2;=1C9=k4$06:>775<0D8>j;%37=?463`;8?7>5;h300?6=3f85;|`1fg<72:0;6=u+28f95a5<@<9?7E;?e:&20<<592c:?>4?::k271<722e9;n4?::a6g6=8391<7>t$3;g>4b43A?886F:0d9'51?=:81b=>=50;9j562=831d>:m50;9~f7ga29086=4?{%0:`?7c;2B>?95G51g8 42>2;;0e<=<:188m4532900c?9l:188yg4fm3:1?7>50z&1=a<6l:1C9>:4H42f?!73138:7d?<3;29?l74<3:17b<8c;29?xd5im0;6>4?:1y'6"6<009=6g>3283>>o6;=0;66a=7b83>>{e:hi1<7=50;2x 7?c28n87E;<4:J64`=#9=31><5f12194?=n9:>1<75`26a94?=zj;ki6=4<:183!4>l3;o?6F:359K15c<,8>26??4i010>5<5<53;294~"51m0:h>5G5268L06b3-;?57<>;h307?6=3`;887>5;n04g?6=3th9m44?:283>5}#:0n1=i=4H417?M37m2.:844=1:k276<722c:?94?::m13f<722wi>l650;194?6|,;3o6N28l1/=975209j565=831b=>:50;9l62e=831vn9;i:187>5<7s-82h7?k4:J671=O=9o0(<:6:0;8m4542900e<=;:188m4522900c?9l:188yg2193:1?7>50z&1=a<6l:1C9>:4H42f?!7313=;7d?<3;29?l74<3:17b<8c;29?xd4k:0;694?:1y'60D8=;;I73a>"6<00:>i5f12194?=n9:>1<75f12794?=h:>i1<75rb2a1>5<0290;w)<6d;3g3>N2;=1C9=k4$06:>1=n9:91<75f12694?=n9:?1<75f12494?=n9:=1<75f12:94?=h:>i1<75rb5:;>5<2290;w)<6d;3g1>N2;=1C9=k4$06:>7?5<6=44i015>5<0D8>j;%37=?4>3`;8?7>5;h300?6=3`;897>5;h302?6=3f85;|`0a<<72<0;6=u+28f95a3<@<9?7E;?e:&20<<512c:?>4?::k271<722c:?84?::k273<722e9;n4?::a7a0=83?1<7>t$3;g>4b23A?886F:0d9'51?=:01b=>=50;9j562=831b=>;50;9j560=831d>:m50;9~f1>0290>6=4?{%0:`?7c=2B>?95G51g8 42>2;30e<=<:188m4532900e<=::188m4512900c?9l:188yg20<3:197>50z&1=a<6l<1C9>:4H42f?!7313827d?<3;29?l74<3:17d?<5;29?l74>3:17b<8c;29?xd4m10;684?:1y'6"6<00956g>3283>>o6;=0;66g>3483>>o6;?0;66a=7b83>>{e;m?1<7;50;2x 7?c28n>7E;<4:J64`=#9=31>45f12194?=n9:>1<75f12794?=n9:<1<75`26a94?=zj=2m6=4<:183!4>l3;o?6F:359K15c<,8>26<:4i010>5<5<3:7>55;294~"51m0:h85G5268L06b3-;?57<6;h307?6=3`;887>5;h301?6=3`;8:7>5;n04g?6=3th?;>4?:483>5}#:0n1=i;4H417?M37m2.:844=9:k276<722c:?94?::k270<722c:?;4?::m13f<722wi?h950;794?6|,;3o6N28l1/=975289j565=831b=>:50;9j563=831b=>850;9l62e=831vn>j;:186>5<7s-82h7?k5:J671=O=9o0(<:6:3;8m4542900e<=;:188m4522900e<=9:188k71d2900qo:75;291?6=8r.95i4>d49K162<@<:n7)?;9;0:?l74;3:17d?<4;29?l74=3:17d?<6;29?j40k3:17pl;7383>0<729q/>4j51e78L0533A?;i6*>4881=>o6;:0;66g>3583>>o6;<0;66g>3783>>i5?j0;66sm3d494?3=83:p(?7k:0f6?M34<2B>i1<75rb2f0>5<2290;w)<6d;3g1>N2;=1C9=k4$06:>7?5<6=44i015>5<0D8>j;%37=?4>3`;8?7>5;h300?6=3`;897>5;h302?6=3f85;|`734<72<0;6=u+28f95a3<@<9?7E;?e:&20<<512c:?>4?::k271<722c:?84?::k273<722e9;n4?::a7`3=83?1<7>t$3;g>4b23A?886F:0d9'51?=:01b=>=50;9j562=831b=>;50;9j560=831d>:m50;9~f6b5290>6=4?{%0:`?7c=2B>?95G51g8 42>2;30e<=<:188m4532900e<=::188m4512900c?9l:188yg5a83:1?7>50z&1=a<6l:1C9>:4H42f?!7313;?7d?<3;29?l74<3:17b<8c;29?xd5j80;6>4?:1y'6"6<009=6g>3283>>o6;=0;66a=7b83>>{e:0o1<7=50;2x 7?c28n87E;<4:J64`=#9=31><5f12194?=n9:>1<75`26a94?=zj=:h6=4=:183!4>l3;o>6F:359K15c<,8>26<:4i010>5<0D8>j;%37=?733`;8?7>5;n04g?6=3th?<54?:383>5}#:0n1=i<4H417?M37m2.:844>4:k276<722e9;n4?::a050=8381<7>t$3;g>4b53A?886F:0d9'51?=9=1b=>=50;9l62e=831vn9>;:181>5<7s-82h7?k2:J671=O=9o0(<:6:068m4542900c?9l:188yg27:3:1>7>50z&1=a<6l;1C9>:4H42f?!7313;?7d?<3;29?j40k3:17pl;0183>7<729q/>4j51e08L0533A?;i6*>48820>o6;:0;66a=7b83>>{e;oo1<7<50;2x 7?c28n97E;<4:J64`=#9=31=95f12194?=h:>i1<75rb2d`>5<5290;w)<6d;3g6>N2;=1C9=k4$06:>425<jo7>52;294~"51m0:h?5G5268L06b3-;?57?;;h307?6=3f85;|`7ed<72;0;6=u+28f95a4<@<9?7E;?e:&20<<6<2c:?>4?::m13f<722wi8l650;094?6|,;3o6N28l1/=975159j565=831d>:m50;9~f1g129096=4?{%0:`?7c:2B>?95G51g8 42>28>0e<=<:188k71d2900qo:n4;296?6=8r.95i4>d39K162<@<:n7)?;9;37?l74;3:17b<8c;29?xd3i;0;6?4?:1y'6"6<00:86g>3283>>i5?j0;66sm4`294?4=83:p(?7k:0f1?M34<2B>l3;o>6F:359K15c<,8>26<:4i010>5<0D8>j;%37=?733`;8?7>5;n04g?6=3th?=:4?:383>5}#:0n1=i<4H417?M37m2.:844>4:k276<722e9;n4?::a0g1=8381<7>t$3;g>4b53A?886F:0d9'51?=9=1b=>=50;9l62e=831vn?l<:186>5<7s-82h7?k5:J671=O=9o0(<:6:308m4542900e<=;:188m4522900e<=9:188k71d2900qod49K162<@<:n7)?;9;01?l74;3:17d?<4;29?l74=3:17d?<6;29?j40k3:17pl<9283>6<729q/>4j51e18L0533A?;i6*>4881<>o6;:0;66g>3583>>i5?j0;66sm45a94?5=83:p(?7k:0f0?M34<2B>i1<75rb2;7>5<4290;w)<6d;3g7>N2;=1C9=k4$06:>7>5<0D8>j;%37=?4?3`;8?7>5;h300?6=3f85;|`0=0<72:0;6=u+28f95a5<@<9?7E;?e:&20<<502c:?>4?::k271<722e9;n4?::a01c=8391<7>t$3;g>4b43A?886F:0d9'51?=:11b=>=50;9j562=831d>:m50;9~f6?129086=4?{%0:`?7c;2B>?95G51g8 42>2;20e<=<:188m4532900c?9l:188yg23n3:1?7>50z&1=a<6l:1C9>:4H42f?!7313837d?<3;29?l74<3:17b<8c;29?xd41>0;6>4?:1y'6"6<00946g>3283>>o6;=0;66a=7b83>>{e<<:1<7=50;2x 7?c28n87E;<4:J64`=#9=31>55f12194?=n9:>1<75`26a94?=zj:336=4<:183!4>l3;o?6F:359K15c<,8>26?64i010>5<5<>=7>53;294~"51m0:h>5G5268L06b3-;?57<7;h307?6=3`;887>5;n04g?6=3th8544?:283>5}#:0n1=i=4H417?M37m2.:844=8:k276<722c:?94?::m13f<722wi88<50;194?6|,;3o6N28l1/=975299j565=831b=>:50;9l62e=831vn>7n:180>5<7s-82h7?k3:J671=O=9o0(<:6:3:8m4542900e<=;:188k71d2900qo::3;297?6=8r.95i4>d29K162<@<:n7)?;9;0;?l74;3:17d?<4;29?j40k3:17pl<9c83>6<729q/>4j51e18L0533A?;i6*>4881<>o6;:0;66g>3583>>i5?j0;66sm44694?5=83:p(?7k:0f0?M34<2B>i1<75rb2c4>5<4290;w)<6d;3g7>N2;=1C9=k4$06:>=15<0D8>j;%37=?453`;8?7>5;h300?6=3`;897>5;h302?6=3f85;|`1e1<72<0;6=u+28f95a3<@<9?7E;?e:&20<<5:2c:?>4?::k271<722c:?84?::k273<722e9;n4?::a6g>=83<1<7>t$3;g>4b13A?886F:0d9'51?=:k1b=>=50;9j562=831b=>;50;9j560=831b=>950;9l62e=831vn?o::185>5<7s-82h7?k6:J671=O=9o0(<:6:3`8m4542900e<=;:188m4522900e<=9:188m4502900c?9l:188yg4e13:1;7>50z&1=a<6l>1C9>:4H42f?!7313887d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?l7403:17b<8c;29?xd5i?0;6:4?:1y'6"6<009?6g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>o6;10;66a=7b83>>{e:k<1<7=50;2x 7?c28n87E;<4:J64`=#9=31><5f12194?=n9:>1<75`26a94?=zj;hj6=48:183!4>l3;o;6F:359K15c<,8>26?=4i010>5<5<5<5<53;294~"51m0:h>5G5268L06b3-;?57<>;h307?6=3`;887>5;n04g?6=3th9m:4?:683>5}#:0n1=i94H417?M37m2.:844=3:k276<722c:?94?::k270<722c:?;4?::k272<722c:?54?::m13f<722wi8k650;694?6|,;3o6N28l1/=9756d9j565=831b=>:50;9j563=831d>:m50;9~f1>b290>6=4?{%0:`?7c=2B>?95G51g8 42>288n7d?<3;29?l74<3:17d?<5;29?l74>3:17b<8c;29?xd4ml0;684?:1y'6"6<00:>h5f12194?=n9:>1<75f12794?=n9:<1<75`26a94?=zj:396=4<:183!4>l3;o?6F:359K15c<,8>26?64i010>5<5<?n7>53;294~"51m0:h>5G5268L06b3-;?57<7;h307?6=3`;887>5;n04g?6=3th9n?4?:583>5}#:0n1=i:4H417?M37m2.:844=a:k276<722c:?94?::k270<722e9;n4?::a6<`=83>1<7>t$3;g>4b33A?886F:0d9'51?=:h1b=>=50;9j562=831b=>;50;9l62e=831vn?l;:185>5<7s-82h7?k6:J671=O=9o0(<:6:3`8m4542900e<=;:188m4522900e<=9:188m4502900c?9l:188yg4f93:1:7>50z&1=a<6l?1C9>:4H42f?!73138i7d?<3;29?l74<3:17d?<5;29?l74>3:17d?<7;29?j40k3:17pl=b483>2<729q/>4j51e58L0533A?;i6*>48817>o6;:0;66g>3583>>o6;<0;66g>3783>>o6;>0;66g>3983>>i5?j0;66sm2`094?1=83:p(?7k:0f4?M34<2B>i1<75rb5;3>5<4290;w)<6d;3g7>N2;=1C9=k4$06:>425<0D8>j;h306?6=3f85;|`7b0<728:96=4?{%0:`?4?<2B>?95G51g8^24=99q:97?n:0495g<6:3;;6<=51082`?7d2;=1>;4>7;3f>4>=9o0vbk850:l25=<73-;h47=4$0a:>6=#9:31?6*>3`80?!74j390(<=l:29'56b=;2.:?h4<;%30b?5<,8>;6>5+15397>"6<;087)?;3;18 4232:1/=9;53:&203<43-82;7<4$3;;>7=#9=k1?6*>4c80?!73k390(<:k:29'51c=;2.:8k4<;%364?5<,8?:6>5+14097>"6=:087)?:4;18 4322:1/=8853:&212<43-;>47=4$07:>6=#95c80?!72k390(<;k:29'50c=;2.:9k4<;%354?5<,8<:6>5+17097>"6>:087)?94;18 4022:1/=;853:&222<43-;=47=4$04:>6=#9?k1?6*>6c80?!71k390(<8k:29'53c=;2.::k4<;%344?5<,8=:6>5+16097>"6?:087)?84;18 4122:1/=:853:&232<43-;<47=4$05:>6=#9>k1?6*>7c80?!70k390(<9k:29'52c=;2.:;k4<;%3;4?5<,82:6>5+19097>"60:087)?74;18 4>22:1/=5853:&2<2<43-;347=4$0::>6=#91k1?6*>8c80?!7?k390(<6k:29'5=c=;2.:4k4<;%3:4?5<,83:6>5+18097>"61:087)?64;18 4?22:1/=4853:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<7k:29'55+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-82?7?=7:&202<43-;ho7<8b:&1<0<5?k1/>58526`8j7>02;>0b?67:368 7?32;=i7)<65;0:6>"6kh097)?lb;08 04b2<8o7);=f;71`>h2;90986`:30810>"6<1087d?=4;29?l75;3:17d?=6;29?l75=3:17d<6a;29?l4>13:17d<6c;29?l4>j3:17d6?:188m2`=831b4?4?::k;5?6=3`83i7>5;h0;`?6=3`82<7>5;h0;b?6=3`83m7>5;h0;=?6=3`83o7>5;h0;f?6=3`=?6=44i6794?=n??0;66g87;29?j1?2900c:750;9l3d<722e5;hc1>5<#:1;1m<5a29294>=ni90;6)<71;c2?k4?83;07d7j:18'6=7=i81e>5>52:9j=a<72-83=7o>;o0;4?5<3`3h6=4+2939e4=i:1:1865f9c83>!4?93k:7c<70;78?l?f290/>5?5a09m6=6=>21b544?:%0;5?g63g83<794;h;;>5<#:1;1m<5a2929<>=n1>0;6)<71;c2?k4?83307d79:18'6=7=i81e>5>5a:9j=0<72-83=7o>;o0;4?d<3`386=4+2939e4=i:1:1o65f9383>!4?93k:7c<70;f8?l?6290/>5?5a09m6=6=m21b5=4?:%0;5?g63g83<7h4;h:e>5<#:1;1m<5a292955=h5090:=65f8e83>!4?93k:7c<70;31?>o?k3:1(?6>:`38j7>728907d6m:18'6=7=i81e>5>51598m=g=83.94<4n1:l1<5<6=21bm44?:%0;5?g63g83<7?9;:kb41<3`k<6=4+2939e4=i:1:1=554i`494?"5080j=6`=8182=>=ni<0;6)<71;c2?k4?83;j76gn4;29 7>62h;0b?6?:0`8?lg4290/>5?5a09m6=6=9j10e4h50;&1<4d:9j=1<72-83=7o>;o0;4?7b32c357>5$3:2>d75<#:1;1oh5a29294>=nkm0;6)<71;af?k4?83;07dml:18'6=7=kl1e>5>52:9jgg<72-83=7mj;o0;4?5<3`i86=4+2939g7=i:1:1<65fc083>!4?93i97c<70;38?lda290/>5?5c39m6=6=:21bnh4?:%0;5?e53g83<7=4;h`g>5<#:1;1o?5a29290>=njj0;6)<71;a1?k4?83?07dlm:18'6=7=k;1e>5>56:9jfd<72-83=7m=;o0;4?1<3`h26=4+2939g7=i:1:1465fb983>!4?93i97c<70;;8?ld0290/>5?5c39m6=6=i21bn;4?:%0;5?e53g83<7l4;h`7>5<#:1;1o?5a2929g>=nj:0;6)<71;a1?k4?83n07dl=:18'6=7=k;1e>5>5e:9jf4<72-83=7m=;o0;4?`<3`h;6=4+2939g7=i:1:1==54i`d94?"5080h>6`=81825>=nil0;6)<71;a1?k4?83;976gnd;29 7>62j80b?6?:018?lgd290/>5?5c39m6=6=9=10ell50;&1<45:9jgd<72-83=7m=;o0;4?7132ch57>5$3:2>f45<#:1;1o?5a29295==h5090:565fc783>!4?93i97c<70;3b?>od=3:1(?6>:b08j7>728h07dm;:18'6=7=k;1e>5>51b98mf6=83.94<4l2:l1<5<6l21bn84?:%0;5?e53g83<7?j;:kbe?6=,;2:6n<4n3:3>4`<3`n?6=4+2939`6=i:1:1<65fd383>!4?93n87c<70;38?lb6290/>5?5d29m6=6=:21bh=4?:%0;5?b43g83<7=4;h10>5<#:1;1??5a29294>=n;80;6)<71;11?k4?83;07d=?:18'6=7=;;1e>5>52:9j6c<72-83=7==;o0;4?5<3`8n6=4+293977=i:1:1865f2e83>!4?93997c<70;78?l5d290/>5?5339m6=6=>21b?o4?:%0;5?553g83<794;h1b>5<#:1;1??5a2929<>=n;00;6)<71;11?k4?83307d=7:18'6=7=;;1e>5>5a:9j72<72-83=7==;o0;4?d<3`9=6=4+293977=i:1:1o65f3483>!4?93997c<70;f8?l53290/>5?5339m6=6=m21b>n4?:%0;5?553g83<7h4;h60>5<#:1;18?5a29294>=n<80;6)<71;61?k4?83;07d:?:18'6=7=<;1e>5>52:9j7c<72-83=7:=;o0;4?5<3`9n6=4+293907=i:1:1865f4b83>!4?93>97c<70;78?l2e290/>5?5439m6=6=>21b8l4?:%0;5?253g83<794;h6:>5<#:1;18?5a2929<>=n<10;6)<71;61?k4?83307d:8:18'6=7=<;1e>5>5a:9j03<72-83=7:=;o0;4?d<3`>>6=4+293907=i:1:1o65f4583>!4?93>97c<70;f8?l5c290/>5?5439m6=6=m21b994?:%0;5?343g83<7>4;h71>5<#:1;19>5a29295>=n=80;6)<71;70?k4?83807d;?:18'6=7==:1e>5>53:9j0c<72-83=7;<;o0;4?2<3`>n6=4+293916=i:1:1965f5e83>!4?93?87c<70;48?l3d290/>5?5529m6=6=?21b9o4?:%0;5?343g83<764;h7b>5<#:1;19>5a2929=>=n=00;6)<71;70?k4?83k07d;7:18'6=7==:1e>5>5b:9j12<72-83=7;<;o0;4?e<3`?=6=4+293916=i:1:1h65f5483>!4?93?87c<70;g8?l2c290/>5?5529m6=6=n21b:94?:%0;5?043g83<7>4;h41>5<#:1;1:>5a29295>=n>80;6)<71;40?k4?83807d8?:18'6=7=>:1e>5>53:9j1c<72-83=78<;o0;4?2<3`!4?93<87c<70;48?l0e290/>5?5629m6=6=?21b:l4?:%0;5?043g83<764;h4:>5<#:1;1:>5a2929=>=n>10;6)<71;40?k4?83k07d88:18'6=7=>:1e>5>5b:9j23<72-83=78<;o0;4?e<3`<>6=4+293926=i:1:1h65f5d83>!4?93<87c<70;g8?l36i3:1(?6>:43:?k4?83:07d;>8;29 7>62<;27c<70;38?l36?3:1(?6>:43:?k4?83807d;>6;29 7>62<;27c<70;18?l36=3:1(?6>:437?k4?83:07d;>3;29 7>62<;?7c<70;38?l36:3:1(?6>:437?k4?83807d;>1;29 7>62<;?7c<70;18?l35k3:1(?6>:40a?k4?83:07d;=a;29 7>62<8i7c<70;38?l3513:1(?6>:40a?k4?83807d;=8;29 7>62<8i7c<70;18?l35?3:1(?6>:40a?k4?83>07d;=6;29 7>62<8i7c<70;78?l35=3:1(?6>:40a?k4?83<07d;=4;29 7>62<8i7c<70;58?l35;3:1(?6>:401?k4?83:07d;=1;29 7>62<897c<70;38?l3583:1(?6>:401?k4?83807d;>f;29 7>62<897c<70;18?l36m3:1(?6>:401?k4?83>07d;>d;29 7>62<897c<70;78?l36k3:1(?6>:401?k4?83<07d;>b;29 7>62<897c<70;58?jcb290/>5?5ee9m6=6=821din4?:%0;5?cc3g83<7?4;ngb>5<#:1;1ii5a29296>=hm00;6)<71;gg?k4?83907bk7:18'6=7=mm1e>5>54:9la2<72-83=7kk;o0;4?3<3fo=6=4+2939aa=i:1:1:65`e483>!4?93oo7c<70;58?jc3290/>5?5ee9m6=6=021di>4?:%0;5?cc3g83<774;ng1>5<#:1;1ii5a2929e>=hm80;6)<71;gg?k4?83h07bji:18'6=7=mm1e>5>5c:9l``<72-83=7kk;o0;4?b<3fno6=4+2939aa=i:1:1i65`db83>!4?93oo7c<70;d8?jbe290/>5?5ee9m6=6=9910cio50;&1<41:9l`<<72-83=7kk;o0;4?7532eo47>5$3:2>`b5<#:1;1ii5a292951=h5090:965`f483>!4?93oo7c<70;35?>ia<3:1(?6>:df8j7>728=07bh<:18'6=7=mm1e>5>51998kc4=83.94<4jd:l1<5<6121dj<4?:%0;5?cc3g83<7?n;:me4?6=,;2:6hj4n3:3>4d<3fom6=4+2939aa=i:1:1=n54od`94?"5080nh6`=8182`>=hm90;6)<71;gg?k4?83;n76ak5;29 7>62ln0b?6?:0d8?j76l3:1(?6>:03`?k4?83:07b?>b;29 7>628;h7c<70;38?j76i3:1(?6>:03`?k4?83807b?>9;29 7>628;h7c<70;18?j7683:1(?6>:02e?k4?83:07b??e;29 7>628:m7c<70;38?j77k3:1(?6>:02e?k4?83807b??b;29 7>628:m7c<70;18?j77i3:1(?6>:02e?k4?83>07b??9;29 7>628:m7c<70;78?j7703:1(?6>:02e?k4?83<07b??7;29 7>628:m7c<70;58?j77>3:1(?6>:02e?k4?83207b??5;29 7>628:m7c<70;;8?j77<3:1(?6>:02e?k4?83k07b??3;29 7>628:m7c<70;`8?j7793:1(?6>:02e?k4?83i07b??0;29 7>628:m7c<70;f8?j`a290/>5?511d8j7>72l10ckk50;&1<4<68o1e>5>5f:9lba<72-83=7??f:l1<5<6821djn4?:%0;5?77n2d94=4>1:9lbg<72-83=7??f:l1<5<6:21djl4?:%0;5?77n2d94=4>3:9lb<<72-83=7??f:l1<5<6<21dj54?:%0;5?77n2d94=4>5:9l541=83.94<4>0g9m6=6=9?10c:02e?k4?83;376a>1583>!4?93;;j6`=8182=>=h9891<7*=80824c=i:1:1=l54o031>5<#:1;1==h4n3:3>4d<3f;:=7>5$3:2>46a3g83<7?l;:m24a<72-83=7??f:l1<5<6l21d==<50;&1<4<68o1e>5>51d98kc1=83.94<4>0g9m6=6=9o10c<<=:18'6=7=9;;0b?6?:198k447290/>5?51338j7>72810c5?51338j7>72:10qo:i6;29554=83:p(?7k:3:7?M34<2B>b;31>46=9:0:=7?k:0a962<5>3;<68;28 4e?2:1/=n753:&27<<43-;8m7=4$01a>6=#9:i1?6*>3e80?!74m390(<=i:29'516=;2.:8<4<;%376?5<,8>86>5+15697>"6<<087)?;6;18 7?02;1/>4652:&20d<43-;?n7=4$06`>6=#9=n1?6*>4d80?!73n390(<;?:29'507=;2.:9?4<;%367?5<,8??6>5+14797>"6=?087)?:7;18 43?2:1/=8753:&21d<43-;>n7=4$07`>6=#95d80?!72n390(<8?:29'537=;2.::?4<;%357?5<,85+17797>"6>?087)?97;18 40?2:1/=;753:&22d<43-;=n7=4$04`>6=#9?n1?6*>6d80?!71n390(<9?:29'527=;2.:;?4<;%347?5<,8=?6>5+16797>"6??087)?87;18 41?2:1/=:753:&23d<43-;6=#9>n1?6*>7d80?!70n390(<6?:29'5=7=;2.:4?4<;%3;7?5<,82?6>5+19797>"60?087)?77;18 4>?2:1/=5753:&26=#91n1?6*>8d80?!7?n390(<7?:29'5<7=;2.:5?4<;%3:7?5<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(5+1b797>"6k?087)?l7;18 7?4288<7)?;7;18 4ed2;=i7)<75;04f>"50?09;o5a295961=i:121>95+286962d<,;3>6?7=;%3`e?4<,8ii6?5+53g917b<,<8m685<5<5<5<>o?:3:17d6>:188m7>b2900e?6k:188m7?72900e?6i:188m7>f2900e?66:188m7>d2900e?6m:188m22=831b;84?::k42?6=3`=<6=44o6:94?=h?00;66a8a;29?j1e2900el<50;&1<44=h5090976g6d;29 7>62h;0b?6?:298m5$3:2>d7o>13:1(?6>:`38j7>72>10e4650;&1<4<=h5090j76g65;29 7>62h;0b?6?:c98m<5=83.94<4n1:l1<57>5$3:2>d7o>83:1(?6>:`38j7>72o10e5h50;&1<40:9j<`<72-83=7o>;o0;4?7632c3h7>5$3:2>d75<#:1;1m<5a292956=h5090:865f8`83>!4?93k:7c<70;36?>of13:1(?6>:`38j7>728<07do7:18'6=7=i81e>5>51698md1=83.94<4n1:l1<5<6021bm;4?:%0;5?g63g83<7?6;:kb1?6=,;2:6l?4n3:3>4g<3`k?6=4+2939e4=i:1:1=o54i`194?"5080j=6`=8182g>=n1o0;6)<71;c2?k4?83;o76g64;29 7>62h;0b?6?:0g8?l>>290/>5?5a09m6=6=9o10enh50;&1<44=h5090976glb;29 7>62jo0b?6?:298mf5=83.94<4l2:l1<5<732ch=7>5$3:2>f46`=8181?>oem3:1(?6>:b08j7>72:10eoj50;&1<40=h5090=76gma;29 7>62j80b?6?:698mg?=83.94<4l2:l1<55$3:2>f46`=818b?>oe>3:1(?6>:b08j7>72k10eo:50;&1<4a=h5090n76gm1;29 7>62j80b?6?:g98mg6=83.94<4l2:l1<5<6821bmk4?:%0;5?e53g83<7?>;:kba?6=,;2:6n<4n3:3>44<3`ko6=4+2939g7=i:1:1=>54i`a94?"5080h>6`=81820>=nik0;6)<71;a1?k4?83;>76gla;29 7>62j80b?6?:048?le>290/>5?5c39m6=6=9>10en650;&1<48:9jg2<72-83=7m=;o0;4?7>32ch:7>5$3:2>f45<#:1;1o?5a29295g=1<7*=808`6>h5090:o65fc183>!4?93i97c<70;3g?>oe=3:1(?6>:b08j7>728o07don:18'6=7=k;1e>5>51g98ma2=83.94<4k3:l1<5<732co>7>5$3:2>a5oc83:1(?6>:e18j7>72:10e>=50;&1<4<4:2d94=4?;:k05?6=,;2:6><4n3:3>4=h5090976g=f;29 7>62:80b?6?:298m7c=83.94<4<2:l1<5<332c9h7>5$3:2>646`=8185?>o4j3:1(?6>:208j7>72>10e>o50;&1<4<4:2d94=47;:k0=?6=,;2:6><4n3:3><=h5090j76g<7;29 7>62:80b?6?:c98m60=83.94<4<2:l1<55$3:2>646`=818f?>o5k3:1(?6>:208j7>72o10e9=50;&1<4<3:2d94=4?;:k75?6=,;2:69<4n3:3>4=h5090976g62=80b?6?:298m6c=83.94<4;2:l1<5<332c?o7>5$3:2>146`=8185?>o3i3:1(?6>:508j7>72>10e9750;&1<4<3:2d94=47;:k7<=h5090j76g;6;29 7>62=80b?6?:c98m13=83.94<4;2:l1<55$3:2>146`=818f?>o2<3:1(?6>:418j7>72910e8<50;&1<4<2;2d94=4>;:k65?6=,;2:68=4n3:3>7=h5090876g;f;29 7>62<90b?6?:598m1c=83.94<4:3:l1<5<232c>h7>5$3:2>05?6`=8184?>o2j3:1(?6>:418j7>72110e8o50;&1<4<2;2d94=46;:k6=?6=,;2:68=4n3:3>d=h5090i76g:7;29 7>62<90b?6?:b98m00=83.94<4:3:l1<597>5$3:2>05?6`=818e?>o1<3:1(?6>:718j7>72910e;<50;&1<4<1;2d94=4>;:k55?6=,;2:6;=4n3:3>7=h5090876g:f;29 7>62?90b?6?:598m3b=83.94<493:l1<5<232c=o7>5$3:2>35o1i3:1(?6>:718j7>72110e;750;&1<4<1;2d94=46;:k5d=h5090i76g96;29 7>62?90b?6?:b98m33=83.94<493:l1<5i7>5$3:2>355<#:1;19<74n3:3>5=5<#:1;19<74n3:3>7=54i436>5<#:1;19<:4n3:3>5=5<#:1;19<:4n3:3>7=54i40`>5<#:1;19?l4n3:3>5=5<#:1;19?l4n3:3>7=54i404>5<#:1;19?l4n3:3>1=5<#:1;19?l4n3:3>3=5<#:1;19?<4n3:3>5=5<#:1;19?<4n3:3>7=54i43f>5<#:1;19?<4n3:3>1=5<#:1;19?<4n3:3>3=ibk3:1(?6>:df8j7>72810cho50;&1<46=h5090?76aj7;29 7>62ln0b?6?:498k`0=83.94<4jd:l1<5<132en97>5$3:2>`bib;3:1(?6>:df8j7>72010ch<50;&1<4g=h5090h76ake;29 7>62ln0b?6?:e98kab=83.94<4jd:l1<55$3:2>`b=hlh0;6)<71;gg?k4?83;:76ak9;29 7>62ln0b?6?:008?jb?290/>5?5ee9m6=6=9:10ci950;&1<44:9l`3<72-83=7kk;o0;4?7232em97>5$3:2>`b5<#:1;1ii5a292952=h5090:465`f383>!4?93oo7c<70;3:?>ia93:1(?6>:df8j7>728k07bh?:18'6=7=mm1e>5>51c98k``=83.94<4jd:l1<5<6k21dio4?:%0;5?cc3g83<7?k;:mf4?6=,;2:6hj4n3:3>4c<3fn>6=4+2939aa=i:1:1=k54o03g>5<#:1;1=5=5<#:1;1=7=54o033>5<#:1;1==h4n3:3>5=5<#:1;1==h4n3:3>7=54o02b>5<#:1;1==h4n3:3>1=5<#:1;1==h4n3:3>3=5<#:1;1==h4n3:3>==6=4+293955`5<#:1;1==h4n3:3>d=5<#:1;1==h4n3:3>f==hnl0;6)<71;33b>h5090m76aid;29 7>628:m7c<70;33?>iak3:1(?6>:02e?k4?83;:76aib;29 7>628:m7c<70;31?>iai3:1(?6>:02e?k4?83;876ai9;29 7>628:m7c<70;37?>ia03:1(?6>:02e?k4?83;>76a>1683>!4?93;;j6`=81822>=h98<1<7*=80824c=i:1:1=:54o036>5<#:1;1==h4n3:3>4><3f;:87>5$3:2>46a3g83<7?6;:m256<72-83=7??f:l1<5<6i21d=<<50;&1<4<68o1e>5>51c98k476290/>5?511d8j7>728i07b??d;29 7>628:m7c<70;3g?>i68;0;6)<71;33b>h5090:i65`f683>!4?93;;j6`=8182b>=h9;81<7*=808264=i:1:1<65`13294?"5080:><5a29295>=h98l1<7*=808264=i:1:1>65`10g94?"5080:><5a29297>=z{?ih6=4:{_4`g>;4n90:?>523`59565<5=l36<=;;<1fa?74;2wx:no50;335~X2=81U99<4^7f7?[0c:2T>8<5Q6bd8Z31f3Wo7S;;c:\60g=Y==k0R8:6;_77<>X2<>1U9984^7fe?[0cm2T=hi5Q6ea8Z3be3Wj20R;m8;_4`2>X1k<1U:n:4^7a0?[0d:2T=o<5Q6b289052289;70;?4;:4?82a132<70;?3;:4?837:32<70;?1;:4?837k32<70;?b;:4?827j32370:?9;:;?827?32370:?5;:;?827;32370:?1;:;?85an32370=id;:;?85aj32370=78;5f?85?0382=63;4084a>;3<8095<524709<2=:<>o1455246f9<==:<>i1455246`9<==:<>k1455247`9<==:6?76;<6e1?4?i27?j84=889>0c3=:1i019h::3:a?82a=3=?70:i5;56?82a=3==70:i5;54?82a=3i870:i5;a2?82a=3hm70:i5;`f?82a=3ho70:i5;``?82a=3hi70:i5;`b?82a=3h270:i5;`;?82a=3h<70:i5;`5?82a=3h?70:i5;`0?82a=3h970:i5;`2?82a=3h;70:i5;ce?82a=3kn70:i5;cg?82a=3kh70:i5;ca?82a=3ij70:i5;a:?82a=3i370:i5;a4?82a=3i=70:i5;a6?82a=3i?70:i5;a3?82a=3h>70:i5;cb?82a=3n?70:i5;f1?82a=3n:70:i5;f3?82a=39870:i5;14?82a=39=70:i5;16?82a=39?70:i5;0`?82a=3><70:i5;65?82a=3>>70:i5;67?82a=39o70:i5;77?82a=3?370:i5;74?82a=3?=70:i5;76?82a=3>o70:i5;4;?82a=3<<70:i5;45?82a=3<>70:i5;7f?82a=3?9o63;f4866d=:04?34>m97;=7:?7b0<2:?168k;5537891`22<8?70:i5;717>;3n<0>><524g79176<5=l>68?i;<6e1?36m27?j84:1e9>0c3==8i019h::43a?82a>382m63;f781=<=:5o4=5d5>7>>34>m:7<7c:?7b3<50k168k85759>0c0=?<168k85779>0c0=?>168k85c29>0c0=k8168k85bg9>0c0=jl168k85be9>0c0=jj168k85bc9>0c0=jh168k85b89>0c0=j1168k85b69>0c0=j?168k85b59>0c0=j:168k85b39>0c0=j8168k85b19>0c0=io168k85ad9>0c0=im168k85ab9>0c0=ik168k85c`9>0c0=k0168k85c99>0c0=k>168k85c79>0c0=k<168k85c59>0c0=k9168k85b49>0c0=ih168k85d59>0c0=l;168k85d09>0c0=l9168k85329>0c0=;>168k85379>0c0=;<168k85359>0c0=:j168k85469>0c0=0c0=<=168k853e9>0c0===168k85599>0c0==>168k85579>0c0==<168k854e9>0c0=>1168k85669>0c0=>?168k85649>0c0==l168k8553a891`12<8j70:i6;71=>;3n?0>>5524g49171<5=l=68<9;<6e2?35=27?j;4:259>0c0==;9019h9:402?82a>3?9<63;f7865c=:07c34>m:7;>c:?7b3<29k1v;mj:180[0dm27><94=839>0c?=:180q~=jf;296~X1?<16?hh52918yv0b93:18vP9e09>0=`=9:90196j:010?82>83;8?6s|6cd94?31sW;4mo0;3nh0;28:0;2880;4;10;4;?0;4;=0;4;;0;4;90;4?m0;4?k0;4?00;4?>0;4?<0;4>00;4>>0;4><0;4>:0;4>80;5n:0;5n80;5mo0;5mm0;5mk0;3:h0;3:>0;3:?0;3::0;3:=0;3;l0;3;j0;3;h0;3;10;3;?0;4j90;4j?0;4j=0;4j;0;3n<03>63;f48;5>;3n<095=524g796=`<5=l=65<4=5d5>=7<5=l=6?7?;<6e2?4?n2wx85m50;0xZ31034>3o7<73:p7f6=838pR;9m;<1`4?4?;2wx:i;50;7b[0c=27?4n483:?7<;483:?641<0;27><8483:?64f<0;27>483:?04=<0;278<:483:?043<0;278<8483:?041<0;278<>483:?047<0;278<<483:?045<0;279jk483:?013<0;27898483:?011<0;2789>483:?017<0;2789<483:?015<0;2788k483:?00`<0;2788i483:?71<<0;27?9l483:?727<0;27?9i483:?7`=<0;27?h;483:?7`2<0;27?h4483:?7`d<0;27?hi483:?7`g<0;27?hn483:?7``<0;27?hk483:?7gg<0;27?ol483:?7g=<0;27?o:483:?7g<<0;27?o8483:?7g1<0;27?o;483:?7g7<0;27?o>483:?7b6<0;27?j?483:?7b4<0;27?j=483:?7ac<0;27?ih483:?7aa<0;27?in483:?7ag<0;27?il483:?7b0{t<{t>?o1<73;:<6s|67a94?4|V?8>70:i6;33a>{t>?h1<73;;o6s|67c94?4|V?8870:i6;33f>{t>?31<73;;m6s|67:94?4|V?8:70:i6;33=>{t>?=1<73;;46s|67494?4|V?;m70:i6;333>{t>??1<73;9<6s|67694?4|V?;o70:i6;332>{t>?91<73;;96s|67394?4|V?;j70:i6;330>{t>?:1<73;;?6s|64d94?4|V?;370:i6;335>{t>3;;<6s|64f94?4|V?;=70:i6;de?xu1=j0;6?uQ607891`12oo0q~8:b;296~X19=168k8510d8yv02i3:1>vP9129>0c0=nm1v;;6:181[06:27?j;4ic:p20>=838pR;?>;<6e2?`e3ty=9;4?:3y]25`<5=l=6ko4}r461?6=:rT=;3n?0m46s|64194?4|V?:h70:i6;323>{t><81<73;::6s|64394?4|V?:j70:i6;32a>{t><:1<73;:96s|65d94?4|V?:370:i6;320>{t>=o1<73;:?6s|65f94?4|V?:=70:i6;326>{t>=h1<73;:=6s|65c94?4|V?:870:i6;33`>{t>=31<73;;>6s|65:94?4|V?::70:i6;d4?xu1<>0;6?uQ612891`228897p}94783>7}Y=ol019h::033?xu1<<0;6?uQ5gg891`228:n7p}94583>7}Y=on019h::02`?xu1<:0;6?uQ5ga891`228:i7p}94383>7}Y=oh019h::02b?xu1<90;6?uQ5g;891`228:27p}93g83>7}Y=o2019h::02;?xu1;l0;6?uQ5g5891`228:<7p}93e83>7}Y=o<019h::003?xu1;j0;6?uQ5g7891`228:=7p}93c83>7}Y=o>019h::026?xu1;h0;6?uQ5g1891`228:?7p}93883>7}Y=o8019h::020?xu1;10;6?uQ5g3891`228::7p}93683>7}Y=o:019h::023?xu1;<0;6?uQ5dg891`22ol0q~8<4;296~X2mm168k;5fd9~w3542909wS;jc:?7b0<69o1v;==:181[3bj27?j84id:p267=838pR8kn;<6e1?`d3ty=?=4?:3y]1`?<5=l>6kl4}r41b?6=:rT>i5524g79bd=z{?8n6=4={_7f3>;3n<0m56s|63f94?4|V7}Y>;k019h::035?xu1?;0;6?uQ63;891`228;n7p}97083>7}Y>;<019h::036?xu1>m0;6?uQ60`891`228;?7p}96383>7}Y>8:019h::030?xu1=>0;6?uQ617891`228;97p}94b83>7}Y=ok019h::032?xu1<80;6?uQ5dd891`228:o7p}93783>7}Y=l>019h::021?xu1:k0;6?uQ5d1891`22o=0q~;kf;296~X21>168k85cg9~w0bc2909wS;66:?7b3m:7o?;|q6`d<72;qU94=4=5d5>52z\6=7=:5<5sW?2=63;f78:g>{t=m=1<733i7p}:d783>7}Y=1l019h9:8c8yv3c=3:1>vP:8d9>0c0=101v8j;:181[3?l27?j;4ld:p1a5=838pR86l;<6e2???3ty>h?4?:3y]1=d<5=l=6494}r7g4?6=:rT>44524g49=3=z{;3n?0296s|5bg94?4|V<2<70:i6;;0?xu2km0;6?uQ594891`12080q~;lc;296~X20<168k85909~w0ee2909wS;74:?7b3<>82wx9no50;0xZ0>434>m:7ml;|q6g<<72;qU95<4=5d5>=`52z\6<4=:5<5sW?3<63;f78;`>{t=j?1<732h7p}:c583>7}Y=>n019h9:9`8yv3d;3:1>vP:7b9>0c0=0h1v8m=:181[30j27?j;4n9:p1f7=838pR89n;<6e2?g?3ty>o=4?:3y]12?<5=l=6nl4}r7ab?6=:rT>;5524g49e2=z{;3n?0j:6s|5cf94?4|V<==70:i6;c6?xu2jj0;6?uQ567891`12h>0q~;ma;296~X2?:168k85a29~w0d>2909wS;82:?7b3<>n2wx9o650;0xZ01634>m:77;;|q6f2<72;qU9:>4=5d5>=?52z\62c=:5<5sW?=i63;f48b6>{t=k>1<77}Y=?i019h::8g8yv3e:3:1>vP:6c9>0c3=1m1v8l>:181[31i27?j846c:p1d`=838pR887;<6e1??e3ty>mh4?:3y]131<5=l>64o4}r7b`?6=:rT>:;524g79=<=z{;3n<0hh6s|5``94?4|V<;168k;5979~w0g?2909wS;91:?7b0<>=2wx9l950;0xZ00734>m977<;|q6e3<72;qU98h4=5d6><452z\61a=:5<5sW?>o63;f48:4>{t=h81<77}Y=vP:589>0c3=0l1v87i:181[32027?j847d:p1d3ty>5i4?:3y]100<5=l>65l4}r7:g?6=:rT>98524g79;3n<0j56s|5d094?4|V<3270:i5;c;?xu2m80;6?uQ58:891`22jh0q~;j0;296~X21<168k;5a69~w0bd2909wS;7a:?7b02wx9i?50;0xZ01a34>m97o:;|q6g3<72;qU9::4=5d6>d252z\62<=:5rs4`3>5<5sW?>i63;f48:b>{t=h?1<77}Y=<8019h::9;8yv7cl3:1hv3:37813`=:<9n1;h523g`93`=:<>k1;h5247593`=:;mh1;h523b:93`=:44434>m:7?=4:?7b3<6::1v>kk:18085bk32<70=jf;:4?85bm38219019<9:9189142219019<<:91891452;2970:=4;:0?824n32870:63;4j>03?63;4j<03?63;4j:03?63;4j803?6s|3da94?0|5:oh6?6<;<1e4?74<278m:4>359>0c>=9:?01>kj:017?85f=3;8>6s|49f94?5|5=2h6594=5:a>=1<5=2n6?9l;|q64d<72;?p196l:3:1?82?j383>63:0b81<6=:<<314>5244c9<6=:5<4=57g>7>534>o476<;<6g2?4?:27?h:473:?7`<0c4=0:168k?5829>0c6=0:168hh5829>0`c=0:168hj5829>0`e=0:168hl5829>0`g=0:1v96m:18682?j383?63;608271=:<1l1=>:4=5:f>45334>2<7?<4:p0cg=839p19hm:95891`f2;2870:i9;5`?xu3nk0;6?u24g`96=5<5=lo6<=;;|q640<72:q69=85869>152=?j169=;52918yv37>3:1>v3:0781<6=:=921=>:4}r6e=?6=;r7?jl477:?7b<<50:168kj51218yv37<3:1?v3:0581<6=:=9?14:5251:9565mo7>54z?646<50;169=<5290890662;2970:id;04g>{t473:?077;3n<095n524g796j2wx9=950;1x906d2;2970;?b;0;6>;28109;n5rs42:>5<51r7>6a1=0:16>i85829>6a3=0:16>i:5829>6a5=0:16>i<5829>6a7=0:16>i>5829>6f`=0:16>nk5829>74e=0:16?74g=0:16?<75829>74>=0:16?<95829>740=0:16?<;5829>742=0:16?<=5829>75>=0:16?=95829>750=0:16?=;5829>752=0:16?==5829>754=0:16?=?5829>756=0:16>kh5829>700=0:16?8;5829>702=0:16?8=5829>704=0:16?8?5829>706=0:16?9h5829>71c=0:16?9j5829~w675290:4=3;e>453348j=7?<4:?1e7<6;=1v?mk:18784c?383?63;898276=:<>?1=>=4=56a>4533ty9h54?:3y>6a0=0>16>4k526a8yv4dk3:18v3=d781<6=:<121=>;4=556>45234>>87?<4:p6a?=838p1?j::95897?a2;=h7p}=cc83>1}::m?1>5=4=5:4>45434><87?<3:?716<6;=1v?jn:18584c<32<70;5i=0:?;522`79561<5;k=6<=7;<0b3?7402wx>no50;6x97b32;2870:77;301>;3?=0:?852440956252z?1`6290?w0;30?0:?>524619565<5=?:6<=;;|q1`f<72;q6>i<5869>6d4=:>i0q~524949563<5==86<=:;<664?74<2wx>ij50;0x97b621=01?o;:35`?xu5k>0;69u22e396=5<5=2>6<=<;<646?74;27?8k4>359~w7bb2909w0349>01c=9:>0q~6=4;{<0`b?4?;27?494>329>027=9:9019:k:017?xu5m90;6?u22bg9<2=::h=1>:m4}r0`0?6=0=2=9:?0199>:016?823k3;886s|36694?1|5:926594=25f>7>4348i=7?<4:?1f6<6;=16>o<5126897d3289?70{t;;l1<7:t=21:>7>4349n57?<4:?0`3<6;=16?4<51218yv54i3:1>v3<398;3>;5j809;n5rs20f>5<2s49847<73:?0=4379>7a0=9:<01>7m:010?xu4;k0;6?u23259<2=::k81>:m4}r11`?6==r78?:4=829>7<6=0116?h65126896b2289?70=6a;307>{t;:i1<78t=215>=1<5;h86?9l;<0a3?74>279n54>369>6g?=9:201?ln:01;?xu4:j0;68u232496=5<5:2m6564=2g;>451349o97?<6:?0=<<6;:1v>=k:181854=32<70{t;;h1<7;t=216>7>43493i767;<1f3?74<278h94>359>7<>=9:90q~=7}:;:914:522c5962e55z?076<50:16?5m5899>7`0=9:>01>j<:017?85>>3;8?6s|35294?4|5:996594=3`;>71d3ty8>54?:4y>764=:1901>6m:9:896c1289=70=k3;302>;41<0:?>5rs262>5<5s498=768;<0a=?40k2wx??950;7x96562;2870=7a;:;?85b=3;88631=>=4}r176?6=:r78?=477:?1fd<5?j1v><9:1868548383?63<888;<>;4m<0:?;523e09560<5:386<=<;|q025<72;q6?:k5869>73g=:190q~=:f;296~;4?m03;63<6881<6=z{:=86=49{<14`?4?;279n<4>329>6g5=9:901?l=:010?84e<3;8?63=b48276=z{:?n6=4={<14g?>0349=47<73:p724=83?p1>9l:3:0?84e;3;8963=b38270=::k>1=>;4=3`6>4523ty89i4?:3y>72d=0>16?;952918yv5093:18v3<7c81<6=::k91=>84=3`7>451348i97?<6:p70e=838p1>9n:95896012;287p}<7183>2}:;>k1>5=4=3`4>452348i47?<6:?1f<<6;>16>oo5124897d3289<70{t;=1<5:<>6?6<;|q02c<72?q6?:75291897d0289?70;5j00:?;522cc9563<5;h>6<=7;|q01d<72;q6?:65869>732=:190q~=9e;291~;4?1094>522c59565<5;h36<=;;<0a=?74=279nl4>359~w63>2909w0=87;:4?851;383?6s|37f94?2|5:=<6?6<;<0a359>6gg=9:90q~=:8;296~;4??03;63<6381<6=z{:329>6g0=9:90q~=:7;296~;4?<03;63<6081<6=z{:359~w7`b2909w0=>c;:4?8570383?6s|2gf94?4|5:;i6594=224>7>43ty8=<4?:7y>74d=:1901?7j:010?84f83;8?63=9g8276=::h;1=>=4=3c1>4543ty9jn4?:3y>74g=0>16?=852918yv5683:19v3<1`81<6=::h:1=>;4=3;e>452348j=7?<5:?1e7<6;<1v?hm:181856132<70=?5;0;7>{t;9l1<7:t=23:>7>4348j<7?<6:?1e4<6;?16>l<51248yv4ai3:1>v3<198;3>;48=094>5rs22f>5<0s49:47<73:?1e1<6;<16>l;5124897g1289<70;5i80:?:522`0956152z?0524=829~w66c290=w0=>7;0;7>;5i=0:?9522`79563<5;k=6<=9;<0b3?74=279m?4>399~w7`?2909w0=>6;:4?857:383?6s|31a94?3|5:;=6?6<;<0b0?74;279m84>359>6d0=9:?01?o8:017?xu5n>0;6?u23079<2=:;9;1>5=4}r13f?6=6d3=9:901?o9:017?84f?3;8?6s|2g494?4|5:;?6594=223>7>43ty8742=:1901?o9:010?84f;3;8?6s|2g794?4|5:;86594=3de>7>43ty8<44?:3y>745=:1901?o<:017?xu45=4}r17f?6=:r78:4477:?010<50:1v>:n:181851032<70=:4;0;7>{t;=31<7=1<5:?86?6<;|q00=<72;q6?;85869>704=:190q~=;7;296~;4><03;63<5081<6=z{:>=6=4={<150?>0349><7<73:p713=838p1>8<:958962a2;287p}<4583>7}:;?814:5235g96=552z?0247>43ty9i54?:3y>750=0>16>k<52918yv4b?3:1>v3<048;3>;5n8094>5rs3g5>5<5s49;8768;<0e4?4?;2wx>h;50;0x966421=01?ki:3:0?xu5m=0;6?u23109<2=::lo1>5=4}r0f7?6=:r78<<477:?1aa<50:1v?k=:181857832<70{t:l;1<7=1<5;oi6?6<;|q770<720q6>k:5869>06`=:1901?o7:010?85c>3;8?63<938271=:0348j47<8c:p74c=838p1?h=:95897g>2;=h7p}<1g83>7}::o;14:522`c962e52z?1b571d3ty8>>4?:3y>6`b=0>16>lk526a8yv55<3:1>v3=eb8;3>;5io09;n5rs206>5<5s48nn768;<0a4?40k2wx8h750;5x963121=019h<:3:0?84ej3;8?63;f4805>;3n<0??63;f7805>;3n?0??6s|36d94?4|5:?>6594=3`a>71d3ty84=4?:3y>702=0>16>om526a8yv5?93:1>v3<528;3>;5jm09;n5rs2:1>5<5s49>>768;<0aa?40k2wx?5=50;0x963621=01?li:35`?xu40=0;6?u23429<2=::j:1>:m4}r1;1?6=:r788k477:?1g4<5?j1v>69:181853m32<70{t;1=1<7=1<5;i86?9l;|q705<728?p19i019<7:6a891402>i019<6:6a891412>i019<::6a891442>i019<=:6a891432>i019=i:6a8915b2>i019=k:6a8915d2>i019=m:6a8915f2>i019=6:6a8915?2>i019=8:6a891512>i01>h?:35`?xu4nh0;6?u243`9<2=:<8<1>:m4}r615?6=o4=829>06`=0>16?h751218917028987p}7}:<;k14:52407962e9<7>54z?76d<50:168>k5869>7`?=9:?019>l:010?xu4n>0;6?u243:9<2=:<891>:m4}r62a?6=54=829>06e=0>16?h651278916?28987p}7}:<;=14:52400962e:h7>54z?762<50:168>l5869>7`1=9:9019>9:010?xu4n10;6?u243;9<2=:<8>1>:m4}r62b?6=44=829>06b=0>16?h651218916f28987p}7}:<;<14:52403962e:o7>54z?763<50:168>o5869>7`1=9:?019>;:010?xu4n=0;6?u24379<2=:<8:1>:m4}r62f?6=84=829>06?=0>16?h851218916528987p}7}:<;914:5241g962e:57>54z?766<50:168>95869>7`3=9:901>hj:010?xu4n80;6?u24309<2=:<9n1>:m4}r62?4=829>060=0>16?h;5127896`d28987p}7}:<;>14:5241d962e:m7>54z?761<50:168>65869>7`0=9:?019>?:010?xu38k0;6?u240493`=:<9h1>:m4}r623?6=:r7?=;4>cg9>041=:>i0q~:?9;297~;39<0;38009;n5rs52`>5<4s4>:97?lf:?74g<518168=m526a8yv27?3:1?v3;1584a>;380005g=:>i0q~:?5;297~;39:0;38<09;n5rs52;>5<4s4>:?7?lf:?742<518168=6526a8yv27;3:1?v3;1384a>;38<0050=:>i0q~:?1;297~;3980;38809;n5rs527>5<4s4>:=7?lf:?746<518168=:526a8yv5an3:1?v3;1184a>;3880054=:>i0q~=id;297~;38o0;4nm09;n5rs523>5<4s4>;j7?lf:?0bc<518168=>526a8yv5aj3:1?v3;0d84a>;4nm07cc=:>i0q~=ic;297~;38m0:ok523g`96<7<5:lh6?9l;|q771<720q68>k5291897g>289870;4l?0:?85238`9562<5=l>68?4=5d6>34<5=l=68?4=5d5>348?7>59z?77a<50:16>lo5121897g>289?70=k5;307>;41h0:?9524g7915=:5<>s4>8o7<73:?1eg<6;:16>lo5126896b2289>70=69;300>;3n<0?j63;f4854>;3n?0?j63;f7854>{t<:;1<77t=51a>7>4348jo7?<3:?1eg<6;=16?i:5121896??289?70:i5;6f?82a=3?m70:i6;6f?82a>3?m7p};3183><}:<:k1>5=4=3cg>454348jo7?<4:?0`1<6;<16?495126891`22=4=3cg>453349o?7?<3:?0=3<6;=168k;55b9>0c3=>j168k855b9>0c0=>j1v9:4=2f0>452349297?<4:?7b0<2j27?j849b:?7b3<2j27?j;49b:p07b=833p19=8:3:0?84e83;8?63=ag8271=:;m81=>=4=2;7>45334>m97;n;<6e1?0f34>m:7;n;<6e2?0f3ty?>n4?:9y>060=:1901?l?:017?85c:3;8963<928271=:7l:0ae?85>:3803ty85=4?:2y>7d5=?l16?4?57d9>7<6=:>i0q~=6b;297~;4i:0:ok5238396<7<5:3i6?9l;|q0fc<72;q6?l=526a896d021=0q~=7f;297~;4i;0;40o09;n5rs2;b>5<4s49j>7?lf:?0=5<51816?4o526a8yv5em3:1>v35<4s49j=79j;<1;b?1b3493i7<8c:p7o>:0ae?85?n382=63<98813f=z{:ho6=4={<1b5?40k278n8477:p7=b=839p1>o?:6g896>b2>o01>6k:35`?xu4110;6>u23`295f`<5:2n6?7>;<1:u238d93`=:;1n1;h5239a962e53z?0=c<6ko16?5j5283896?02;=h7p}7}:;0l1>:m4=2`0>=153z?0=`<0m2784n48e:?079:18085>m3;hj63<8b81=4=:;0<1>:m4}r1ae?6=:r785h4=7b9>7g4=0>1v>6n:18085>l3=n70=7b;5f?85?i389278584=7b9~w6d>2909w0=6d;04g>;4j803;6s|39;94?4|5:2j6:k4=2::>71d3ty8594?:3y>7=g=:0;01>7;:35`?xu4010;6?u239;93`=:;121>:m4}r1:7?6=:r78444=909>7<5=:>i0q~=n6;296~;40103463m?:95896e52;=h7p}7}:;k:1>5=4=2a0>4523ty8mk4?:3y>7g1=:1901>m<:010?xu4il0;6?u23c496=5<5:i86<=;;|q0ea<72;q6?o;5291896e5289<7p}7}:;k>1>5=4=2a1>4513ty8mo4?:3y>7g5=:1901>m=:016?xu4ih0;6?u23c096=5<5:i96<=<;|q0e<<72;q6?o?5291896e5289?7p};4`83>7}:<?n7>52z?710<6ko1689l526a8yv22j3:1>v3;54813f=:<<314:5rs56:>5<4s4>>:79j;<67e?1b34>?57<8c:p002=839p19;9:0ae?823i382=63;55813f=z{=?h6=4={<662?40k27?9l477:p0a2=83?p19:n:9:891bb2;2870:i2;:4?820=3;8:63;558276=z{=>36=4={<67=?1b34>?47<8c:p0a5=83?p19:6:9:891bc2;2870:i1;:4?820<3;8863;528276=z{=?86=4={<67=?4>927?9>4=7b9~w1202909w0:;8;5f?823?3836564=5f`>7>434>m<768;<640?74>27?9?4>329~w1352909w0:;8;0:5>;3=;09;n5rs565>5<5s4>?;79j;<672?40k2wx8i?50;7x9120212019jm:3:0?82bn32<70:83;300>;3=80:?>5rs572>5<5s4>?;7<61:?714<5?j1v9:::181823>3=n70:;5;04g>{t=><5=nj6?6<;<6fa?>034>382=63;51813f=z{=>?6=4={<671?1b34>?87<8c:p0f`=83?p19:::9:891b>2;2870:jd;:4?820:3;8863;4g8276=z{=>m6=4={<671?4>927?8k4=7b9~w1242909w0:;4;5f?823;38?6564=5f;>7>434>no768;<646?74>27?8h4>329~w12b2909w0:;4;0:5>;35<5s4>??79j;<676?40k2wx8nj50;7x9124212019j8:3:0?82bj32<70:81;300>;35rs56g>5<5s4>??7<61:?70a<5?j1v9:>:181823:3=n70:;1;04g>{t=><5=n=6?6<;<6fe?>034><=7?<6:?70f<6;:1v9:l:181823:382=63;4b813f=z{=2m6=4=0z?70448c:?7b7<0k27?j<48c:?7b5<0k27?ik48c:?7a`<0k27?ii48c:?7af<0k27?io48c:?7ad<0k27?5=4=7b9~w1302909w0::9;0;7>;3=o0:?95rs57;>5<5s4>>m7<73:?71c<6;<1v98=:180821:383?63;5g8276=:=4}r654?6=:r7?9i48c:?724<5?j1v9;j:181822l32<70::f;04g>{t<>31<72c<5==o6?9l;|q7<6<72;q68:k5283891>?2;=h7p};8`83>7}:<>o1>:m4=5:f>4523ty?;54?:3y>02b=?l168:m526a8yv2?:3:1>v3;7e81=4=:<1=1>:m4}r643?6=:r7?;n48e:?73g<5?j1v96>:181820k382=63;87813f=z{===6=4={<64f?1b34>;<6;0?40k2wx8;850;0x910e2>o0198n:35`?xu3?90;6?u247`96<7<5==>6?9l;|q7<<<72;q68;l526a891>b289=7p};6483>7}:=j7>52z?72d<518168::526a8yv21<3:1>v3;6884a>;3>109;n5rs54f>5<5s4>=57<61:?736<5?j1v98<:18182103=n70:97;04g>{t7?634><>7<8c:p03e=838p1988:3;2?82093871d3ty8i94?:3y>7a`=:0;01>k6:35`?xu4mk0;6?u23ed962e<5:on6<=:;|q0`<<72;q6?ik57d9>7ab=:>i0q~=j3;296~;4ll095<523d:962e52z?0`a<0m278hn4=7b9~w6c52909w0=kd;0:5>;4m>09;n5rs2f4>5<5s49oo79j;<1gf?40k2wx?h?50;0x96bd2;3:70=j6;04g>{t;l:1<77?6349n97<8c:p7f1=838p1>ml:6g896ee2;=h7p}7}:;ji1>4?4=2f5>71d3ty8il4?:3y>7fe=:>i01>kj:015?xu4k?0;6?u23b`93`=:;jk1>:m4}r1g4?6=:r78oo4=909>7a3=:>i0q~=l5;296~;4kh09278h94=7b9~w6e32909w0=l9;5f?85d038;<1g7?40k2wx?nj50;0x96e?2;3:70=k2;04g>{t=1<5=i?6?6<;<6;1?74>27?m=4>329~w1d?290?w0:k6;:4?82d:383?63;858273=:<0i1=>=4}r6a=?6=1}:6<=;;<6b6?74;2wx8om50;6x91bf21=019m9:3:0?82?>3;8:63;a58276=z{=hm6=4;{<6g`?>034>h57<73:?7<2<6;=168lo51218yv2el3:18v3;dc8;3>;3k>094>524949562<5=k=6<=<;|q7f`<72=q68im5869>0f>=:1901968:015?82f03;8?6s|4b294?2|5=nn6594=5ab>7>434>347?<6:?7ef<6;:1v9m>:18782cn32<70:lb;0;7>;3010:?9524c59565o97>54z?7`c<50:168k=5869>023=9:>019:m:010?xu31h0;6?u24b`9<2=::m4}r6:=?6=:r7?ol477:?7f0<5?j1v978:18182d032<70:m3;04g>{t<0<1<7=1<5=h96?9l;|q7==<72;q68n75869>0g2=:>i0q~:64;296~;3k<03;63;b1813f=z{=386=4={<6`0?>034>jj7<8c:p0<3=838p19m9:95891d62;=h7p};9083>7}:2>7>52z?7g6o019om:6g891g>2;=h7p};ab83>6}:7?634>jo7<8c:p0d1=839p19l;:6g891g>2>o019o8:35`?xu3ih0;6>u24c695f`<5=k26?7>;<6be?40k2wx8l;50;1x91d42>o019o8:6g891g22;=h7p};a983>6}:7?634>j47<8c:p0d5=839p19l=:6g891g22>o019o<:35`?xu3i?0;6>u24c095f`<5=k>6?7>;<6b2?40k2wx8l?50;1x91d62>o019o<:6g891g62;=h7p};a583>6}:7?634>j87<8c:p0<`=839p19l?:6g891g62>o0197i:35`?xu3i;0;6>u24c295f`<5=k:6?7>;<6b6?40k2wx84j50;1x91ga2>o0197i:6g891?c2;=h7p};a183>6}:7?634>j<7<8c:p0o0197m:35`?xu31l0;6>u24`g95f`<5=3o6?7>;<6:a?40k2wx84m50;1x91gc28im70:6b;0:5>;31j09;n5rs5g;>5<0s4>m>7<73:?1ff<6;:16>ol5126891`22::019h::53891`12::019h9:538yv2b?3:1;v3;f081<6=::kn1=>=4=3``>45334>m97m:70c6=:1901?lj:010?84el3;8863;f481a>;3n<08j63;f781a>;3n?08j6s|4d794?1|5=om6?6<;<0ab?74;279nh4>359>0c3=:m168k;53d9>0c0=:m168k853d9~w1c3290;5k90:?>522cd9562<5=l>6>m4=5d6>1e<5=l=6>m4=5d5>1en?7>57z?7aa<50:16>n?5121897e7289?70:i5;1a?82a=3>i70:i6;1a?82a>3>i7p};e383>2}:5=4=3a1>454348h=7?<4:?7b0<4i27?j84;a:?7b3<4i27?j;4;a:p0`7=83=p19km:3:0?84d;3;8?63=c38271=:4>359>0c3=;1168k;5499>0c0=;1168k85499~w4bd2909w0=l3;04g>;4k;0:?55rs5d7>5<69r7?4k4=7b9>0c3==8k019h::43;?82a=3?:;63;f48653=:07434>m97;>2:?7b0<298168k8550c891`12<;370:i6;723>;3n?0>=;524g49143<5=l=68?<;<6e2?36:27?j;4:109~w7702909w0;5jh0:?:5rs36f>5<5s48j?7<8c:?1e2<6;>1v9h8:18682a03844134>m:7?=5:~w0ba2909wS;67:?45?3>?2.>>2.><2.>;2.>2909wS;62:?45?3>:2.>dd9~w0b?2909wS;61:?45?3>92.>dg9~w0b02909wS;60:?45?3>82.>e19~w0b12909wS;7f:?45?3?n2.>e09~w0b22909wS;7e:?45?3?m2.>e59~w0b32909wS;7d:?45?3?l2.>e99~w0b42909wS;7c:?45?3?k2.>ec9~w0b52909wS;7b:?45?3?j2.>f79~w0b72909wS;79:?45?3?12.>2.>2909wS;72:?45?3?:2.>2.>2909wS;82:?45?30:2.>2.>2909wS;92:?45?31:2.>2.>12.>02.>=2.>e39~w3ed2909wS8lc:?45?0dk2.>e29~w3eb2909wS8le:?45?0dm2.>e49~w3b22909wS8k5:?45?0c=2.>e79~w3c62909wS8j1:?45?0b92.>e69~w3172909wS8=8:?45?0502.>e89~w30b2909wS8=7:?45?05?2.>e`9~w30d2909wS8=5:?45?05=2.>eb9~w30e2909wS8=4:?45?05<2.>ee9~w30f2909wS8=3:?45?05;2.>ed9~w30>2909wS8=2:?45?05:2.>eg9~w30?2909wS8=1:?45?0592.>f19~w3002909wS8=0:?45?0582.>f09~w3012909wS8>f:?45?06n2.>f39~w3022909wS8>e:?45?06m2.>f29~w3032909wS8>d:?45?06l2.>f59~w3042909wS8>c:?45?06k2.>f49~w3062909wS8>a:?45?06i2.>f69~w3072909wS8>9:?45?0612.>f99~w33a2909wS8>8:?45?0602.>f89~w33b2909wS8>7:?45?06?2.>f`9~w33c2909wS8>6:?45?06>2.>fc9~w33d2909wS8>5:?45?06=2.>fb9~w33e2909wS8>4:?45?06<2.>fe9~w33f2909wS8>3:?45?06;2.>fd9~w33>2909wS8>2:?45?06:2.>fg9~w33?2909wS8>1:?45?0692.>2.>2909wS8?2:?45?07:2.>2.>2909wS;i2:?45?3a:2.>2.>2.>b:?45?06j2.>0:?45?0682.>l2.>vF:0d9~jfg62909wE;?e:mgd4=838pD8>j;|l`e6<72;qC9=k4}oab0?6=:rB>5<5sA?;i6sac`494?4|@<:n7p`la683>7}O=9o0qcmn8;296~N28l1vbno6:181M37m2weolo50;0xL06b3tdhmo4?:3yK15c52zJ64`=zfjko6=4={I73a>{ikho1<7vF:0d9~jfd62909wE;?e:mgg4=838pD8>j;|l`f6<72;qC9=k4}oaa0?6=:rB>5<5sA?;i6sacc494?4|@<:n7p`lb683>7}O=9o0qcmm8;296~N28l1vbnl6:181M37m2weooo50;0xL06b3tdhno4?:3yK15c52zJ64`=zfjho6=4={I73a>{ikko1<7vF:0d9~jfe62909wE;?e:mgf4=838pD8>j;|l`g6<72;qC9=k4}oa`0?6=:rB>5<5sA?;i6sacb494?4|@<:n7p`lc683>7}O=9o0qcml8;296~N28l1vbnm6:181M37m2weono50;0xL06b3tdhoo4?:3yK15c52zJ64`=zfjio6=4={I73a>{ikjo1<7vF:0d9~jfb62909wE;?e:mga4=838pD8>j;|l``6<72;qC9=k4}oag0?6=:rB>5<5sA?;i6sace494?4|@<:n7p`ld683>7}O=9o0qcmk8;296~N28l1vbnj6:181M37m2weoio50;0xL06b3tdhho4?:3yK15c52zJ64`=zfjno6=4={I73a>{ikmo1<7vF:0d9~jfc62909wE;?e:mg`4=838pD8>j;|l`a6<72;qC9=k4}oaf0?6=:rB>5<5sA?;i6sacd494?4|@<:n7p`le683>7}O=9o0qcmj8;296~N28l1vbnk6:181M37m2weoho50;0xL06b3tdhio4?:3yK15c52zJ64`=zfjoo6=4={I73a>{iklo1<7vF:0d9~jf`62909wE;?e:mgc4=838pD8>j;|l`b6<72;qC9=k4}oae0?6=:rB>5<5sA?;i6sacg494?4|@<:n7p`lf683>7}O=9o0qcmi8;296~N28l1vbnh6:181M37m2weoko50;0xL06b3tdhjo4?:3yK15c52zJ64`=zfjlo6=4={I73a>{ikoo1<7vF:0d9~ja662909wE;?e:m`54=838pD8>j;|lg46<72;qC9=k4}of30?6=:rB>5<5sA?;i6sad1494?4|@<:n7p`k0683>7}O=9o0qcj?8;296~N28l1vbi>6:181M37m2weh=o50;0xL06b3tdo52zJ64`=zfm:o6=4={I73a>{il9o1<7vF:0d9~ja762909wE;?e:m`44=838pD8>j;|lg56<72;qC9=k4}of20?6=:rB>5<5sA?;i6sad0494?4|@<:n7p`k1683>7}O=9o0qcj>8;296~N28l1vbi?6:181M37m2weh52zJ64`=zfm;o6=4={I73a>{il8o1<7vF:0d9~ja462909wE;?e:m`74=838pD8>j;|lg66<72;qC9=k4}of10?6=:rB>5<5sA?;i6sad3494?4|@<:n7p`k2683>7}O=9o0qcj=8;296~N28l1vbi<6:181M37m2weh?o50;0xL06b3tdo>o4?:3yK15c52zJ64`=zfm8o6=4={I73a>{il;o1<7vF:0d9~ja562909wE;?e:m`64=838pD8>j;|lg76<72;qC9=k4}of00?6=:rB>5<5sA?;i6sad2494?4|@<:n7p`k3683>7}O=9o0qcj<8;296~N28l1vblo;:182M37m2wen>j50;3xL06b3tdi?h4?:0yK15c51zJ64`=zfk>;6=4>{I73a>{ij=;1<7?tH42f?xhe<;0;6j;|la03<728qC9=k4}o`73?6=9rB>5<6sA?;i6sab5;94?7|@<:n7p`m4`83>4}O=9o0qcl;b;295~N28l1vbo:l:182M37m2wen9j50;3xL06b3tdi8h4?:0yK15c51zJ64`=zfk?;6=4>{I73a>{ij<;1<7?tH42f?xhe=;0;6j;|la13<728qC9=k4}o`63?6=9rB>5<6sA?;i6sab4;94?7|@<:n7p`m5`83>4}O=9o0qcl:b;295~N28l1vbo;l:182M37m2wen8j50;3xL06b3tdi9h4?:0yK15cj7>51zJ64`=zfk<;6=4>{I73a>{ij?;1<7?tH42f?xhe>;0;6j;|la23<728qC9=k4}o`53?6=9rB>5<6sA?;i6sab7;94?7|@<:n7p`m6`83>4}O=9o0qcl9b;295~N28l1vbo8l:182M37m2wen;j50;3xL06b3tdi:h4?:0yK15c51zJ64`=zfk=;6=4>{I73a>{ij>;1<7?tH42f?xhe?;0;6j;|la33<728qC9=k4}o`43?6=9rB>5<6sA?;i6sab6;94?7|@<:n7p`m7`83>4}O=9o0qcl8b;295~N28l1vbo9l:182M37m2wen:j50;3xL06b3tdi;h4?:0yK15c51zJ64`=zfk2;6=4>{I73a>{ij1;1<7?tH42f?xhe0;0;63290:wE;?e:mf=3=83;pD8>j;|la<3<728qC9=k4}o`;3?6=9rB>5<6sA?;i6sab9;94?7|@<:n7p`m8`83>4}O=9o0qcl7b;295~N28l1vbo6l:182M37m2wen5j50;3xL06b3tdi4h4?:0yK15c51zJ64`=zfk3;6=4>{I73a>{ij0;1<7?tH42f?xhe1;0;6;3:1=vF:0d9~jg?3290:wE;?e:mf<3=83;pD8>j;|la=3<728qC9=k4}o`:3?6=9rB>5<6sA?;i6sab8;94?7|@<:n7p`m9`83>4}O=9o0qcl6b;295~N28l1vbo7l:182M37m2wen4j50;3xL06b3tdi5h4?:0yK15c51zJ64`=zfkk;6=4>{I73a>{ijh;1<7?tH42f?xhei;0;6j;|lae3<728qC9=k4}o`b3?6=9rB>5<6sA?;i6sab`;94?7|@<:n7p`ma`83>4}O=9o0qclnb;295~N28l1vbool:182M37m2wenlj50;3xL06b3tdimh4?:0yK15c51zJ64`=zfkh;6=4>{I73a>{ijk;1<7?tH42f?xhej;0;6j;|laf3<728qC9=k4}o`a3?6=9rB>5<6sA?;i6sabc;94?7|@<:n7p`mb`83>4}O=9o0qclmb;295~N28l1vboll:182M37m2wenoj50;3xL06b3tdinh4?:0yK15c51zJ64`=zfki;6=4>{I73a>{ijj;1<7?tH42f?xhek;0;6j;|lag3<728qC9=k4}o``3?6=9rB>5<6sA?;i6sabb;94?7|@<:n7p`mc`83>4}O=9o0qcllb;295~N28l1vboml:182M37m2wennj50;3xL06b3tdioh4?:0yK15c51zJ64`=zfkn;6=4>{I73a>{ijm;1<7?tH42f?xhel;0;6j;|la`3<728qC9=k4}o`g3?6=9rB>5<6sA?;i6sabe;94?7|@<:n7p`md`83>4}O=9o0qclkb;295~N28l1vbojl:182M37m2wenij50;3xL06b3tdihh4?:0yK15c51zJ64`=zfko;6=4>{I73a>{ijl;1<7?tH42f?xhem;0;6j;|laa3<728qC9=k4}o`f3?6=9rB>5<6sA?;i6sabd;94?7|@<:n7p`me`83>4}O=9o0qcljb;295~N28l1vbokl:182M37m2wenhj50;3xL06b3tdiih4?:0yK15c51zJ64`=zfkl;6=4>{I73a>{ijo;1<7?tH42f?xhen;0;6j;|lab3<728qC9=k4}o`e3?6=9rB>5<6sA?;i6sabg;94?7|@<:n7p`mf`83>4}O=9o0qclib;295~N28l1vbohl:182M37m2wenkj50;3xL06b3tdijh4?:0yK15c51zJ64`=zfj:;6=4>{I73a>{ik9;1<7?tH42f?xhd8;0;6j;|l`43<728qC9=k4}oa33?6=9rB>5<6sA?;i6sac1;94?7|@<:n7p`l0`83>4}O=9o0qcm?b;295~N28l1vbn>l:182M37m2weo=j50;3xL06b3tdh51zJ64`=zfj;;6=4>{I73a>{ik8;1<7?tH42f?xhd9;0;6j;|l`53<728qC9=k4}oa23?6=9rB>5<6sA?;i6sac0;94?7|@<:n7p`l1`83>4}O=9o0qcm>b;295~N28l1vbn?l:182M37m2weo51zJ64`=zfj8;6=4>{I73a>{ik;;1<7?tH42f?xhd:;0;6j;|l`63<728qC9=k4}oa13?6=9rB>5<6sA?;i6sac3;94?7|@<:n7p`l2`83>4}O=9o0qcm=b;295~N28l1vbnh4?:0yK15c51zJ64`=zfj9;6=4>{I73a>{ik:;1<7?tH42f?xhd;;0;6j;|l`73<728qC9=k4}oa03?6=9rB>5<6sA?;i6sac2;94?7|@<:n7p`l3`83>4}O=9o0qcmj50;3xL06b3tdh?h4?:0yK15c51zJ64`=zfj>;6=4>{I73a>{ik=;1<7?tH42f?xhd<;0;6j;|l`03<728qC9=k4}oa73?6=9rB>5<6sA?;i6sac5;94?7|@<:n7p`l4`83>4}O=9o0qcm;b;295~N28l1vbn:l:182M37m2weo9j50;3xL06b3tdh8h4?:0yK15c51zJ64`=zfj?;6=4>{I73a>{ik<;1<7?tH42f?xhd=;0;6j;|l`13<728qC9=k4}oa63?6=9rB>5<6sA?;i6sac4;94?7|@<:n7p`l5`83>4}O=9o0qcm:b;295~N28l1vbn;l:182M37m2weo8j50;3xL06b3tdh9h4?:0yK15cj7>51zJ64`=zfj<;6=4>{I73a>{ik?;1<7?tH42f?xhd>;0;6j;|l`23<728qC9=k4}oa53?6=9rB>5<6sA?;i6sac7;94?7|@<:n7p`l6`83>4}O=9o0qcm9b;295~N28l1vbn8l:182M37m2weo;j50;3xL06b3tdh:h4?:0yK15c51zJ64`=zfj=;6=4>{I73a>{ik>;1<7?tH42f?xhd?;0;6j;|l`33<728qC9=k4}oa43?6=9rB>5<6sA?;i6sac6;94?7|@<:n7p`l7`83>4}O=9o0qcm8b;295~N28l1vbn9l:182M37m2weo:j50;3xL06b3tdh;h4?:0yK15c51zJ64`=zfj2;6=4>{I73a>{ik1;1<7?tH42f?xhd0;0;63290:wE;?e:mg=3=83;pD8>j;|l`<3<728qC9=k4}oa;3?6=9rB>5<6sA?;i6sac9;94?7|@<:n7p`l8`83>4}O=9o0qcm7b;295~N28l1vbn6l:182M37m2weo5j50;3xL06b3tdh4h4?:0yK15c51zJ64`=zfj3;6=4>{I73a>{ik0;1<7?tH42f?xhd1;0;6;3:1=vF:0d9~jf?3290:wE;?e:mg<3=83;pD8>j;|l`=3<728qC9=k4}oa:3?6=9rB>5<6sA?;i6sac8;94?7|@<:n7p`l9`83>4}O=9o0qcm6b;295~N28l1vbn7l:182M37m2weo4j50;3xL06b3tdh5h4?:0yK15c51zJ64`=zutwKLNui37866<70mj;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1193456789:;<=9?01D7?5>AN81:?6?!009154=4:3CE\XZ5DH>05?699;18>7AZTQWW>AI;;80;2<<4378JJUSS2mce0>;50?37?60=G\^[YY4kotv?70<7681?==5;45670123<=:?<9>>0:69MKVR\3NB\1=50?d80?OIX\^1[H2<:1<25>2=G\^[YY4KOQ>0>583345wn{546sno;:?;#>1:47?3?>>8:0:479974:=30>>03=866?0168<@>F923?74>?939:2==>>?3=548>3:;9MKVR\3XOMIJ]<983:45<13CE\XZ5WSCG@W:?294:i675IORVP?vugnUna}zv_ujqavn;03:5>856:HLSQQ2@D[YY4rne\ahvsqV~c~h}g_ogdeqc;03:5=i56:HLSQQ956:HLSQQ?=AGZ^X7~}of]eqijX|axneQaefcwa9>=87;3744@UURVP?bf|hUhcx`{<983:4d<13E^X][[:sf\`drfWje~by27:1<2f>?=G\^[YY4xr^fbpdYdg|d054?>39B6<=F[PDHS_KH1:@0?GS502H^_RGAFN38G7=DM880OEKLK^NJG@HTMV^R\H<4CH18GIT>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE38@1=CKJI97IG:;EK?4;025;0384DH>27;021;023;02=;32;5KI=05:3=CA58<2;5KI=0;:3=CA582285KI=0=2>BN4::546JF<2394;00:0=CA5>596JF<4<6?AO;>7?0HD28>49GM9>9=2NB0409;EKS85813MC[0<09;EKS878?3MC[0>4?>79GMU:46<1OC1>16:FL8469>2ND02ND0<=16:FL8429>2ND0<;16:FL8409>2ND0<916:FL84>9>2ND0<715:FL84813ME7>=09;EM?64813ME7>?09;EM?66813ME7>909;EM?60813ME7>;09;EM?62813ME7>509;EM?6<823ME7>384DN>04;>16:FL8679=2ND0>0:;EM?0;3285KO=4=1>BH4>4>7IA38?78@J:>6?1OC]2?>79GKU:66?1OC]2=>99GKU:4294=7IA_<2<5?AIXZLM97K<:;GCOW@40JI^J4:DE65>=;H31?L453@997D:=;H7;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\72168;HLJPZ5>?2CEEYQJR\:>0@XZ;4:NVP02H688>0B<>=3:L251=I98??7C?>659M54133G;:495A10;0?K75<2D:>=:4N0020>H6:;>0B<<<4:L261286@>2768J440<2D:>5:4N00:7>H6;=1E=>>;;O3051=I9:8?7C?<359M56233G;8995A1247?K74?=1E=>6;;O30=6=I9=>0B<:?4:L2042986@>4268J423<2D:88:4N0650>H6<>>0B<:74:L20<5>95A1467?K72==1E=89;;O36<1=I9<387C?94:L22526368J404<2D::9:4N0467>H6?:1E=5=4N0;1?K443G8;?6@=129M675H51:1E?==4N230?K55;2D8?>5A3518J6343G9=?6@<729M7=5;1E;?5A839M=6=I1?90B49<;O;:b>HEWK_X\D@PPSMSW2=IM]]D^F:4NNLF5>I53FA:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U<=UIDH:4^J_9:PGEABU49427_JNDEP?5;?89Q@DBCZ59556\KAEFQ818>3[NJHI\35?;8VAGCL[6=245]D@FGV919j2XOMIJ]<983:<=ULHNO^1615:PGGIM33[NNB85]EFAF5>U33ZKMI55\AWGGEQG6;2YBARM@LMKPMJHXKAOHG55\IL]NKAC?3ZAB\R^FN79PKPTDM<1X^[OC3:QPV2=SALEMJ=94THGLBC733]S[I>=4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pbhl\|jt789:T^h}zlu307>S7'nxm"h gbz-gim'Drd~R|k_qlwvZdnfVrd~=>?1^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTnd`Pxnp3454XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^`jjZ~hz9:;?R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pre]sjqtXj`dTtb|?016\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Rlfn^zlv567=VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\flhXpfx;<=8PRdqvhq74;2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVhbbRv`r1233ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6789UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[beXpfx;<=?PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^pg[uhszVmhSua}0121[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQhc^zlv567;VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT~iQnup\cfYg{:;<9Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWniTtb|?017\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZtcWyd~Ril_ymq4561W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;;R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?012\V`urd};8?6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv5679VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\flhXpfx;<==4U1-dvc(un&mht#mcky-N|jtX{U{by|Pbhl\|jt789>T^h}zlu307>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZdnfVrd~=>?5^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp3450XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;;R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?01]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3457XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:9S_k|umv277=R8&myj#|i/fa{*fjlp&GscQxr^rmpwY`kVrd~=>?3^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTknQwos2341YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_fa\|jt789?T^h}zlu306>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZadWqey<=>9_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UloRv`r1233ZTb{|f=??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2?>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>2:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:56;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag682??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec2;>338Q5)`zo$yj"ilx/aoo})ulVzexQmio>6:77<]9%l~k }f.e`|+ekcq%yhR~ats]amk:16;;0Y=!hrg,qb*adp'iggu!}d^rmpwYeag6<2??4U1-dvc(un&mht#mcky-q`Zvi|{Uiec27>328Q5)`zo$yj"ilx/aoo})ulVzexQmio]365=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ7582_;#j|i.sd,cf~)keas#jPpovq[goiW;8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT??>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ;219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^714>S7'nxm"h gbz-gim'{nT|cz}_ckm[3473\:$kh!rg-dg}(ddbr$~iQnup\flhX?;:0Y=!hrg,qb*adp'iggu!}d^rmpwYeagU3>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123470<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;<<<9;T2,cw`)zo%lou lljz,vaYwf}xTnd`Pxnp34545>2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=><279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567<;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0405?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789<9:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012465=R8&myj#|i/fa{*fjlp&xoS}`{r^e`858582_;#j|i.sd,cf~)keas#jPpovq[be;978;7X> gsd-vc)`kq$h`fv re]sjqtXoj692?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1=1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<5<14>S7'nxm"h gbz-gim'{nT|cz}_fa?1;473\:$kh!rg-dg}(ddbr$~iQnup\cf:16;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k5=5>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh050>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP00d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^32b>S7'nxm"h gbz-gim'{nT|cz}_fa\64`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ56n2_;#j|i.sd,cf~)keas#jPpovq[beX<8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV?:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT:f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP8348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;878=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<0<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo585>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>0:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm783<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8085>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk181279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:06;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi38?06?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W98>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_006?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W;8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_206?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W=8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_406?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W?8>7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_606?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`W1827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?4;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?31?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7>3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;;7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?0;4>3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?35?0:?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;7:3<6;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7;?7827X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3?<;4?3\:$kh!rg-dg}(ddbr$~iQnup\cfYf{{olSi?P03:8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8U:>55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z4502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_20;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T8?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y2:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^41<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S:<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X0;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv5679;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVrd~=>?6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^zlv567?;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6;2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2>>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>1:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:46;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag6?2??4U1-dvc(un&mht#mcky-tvZvi|{Uiec2:>338Q5)`zo$yj"ilx/aoo})pzVzexQmio>5:77<]9%l~k }f.e`|+ekcq%|~R~ats]amk:06;;0Y=!hrg,qb*adp'iggu!xr^rmpwYeag632?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ?219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^314>S7'nxm"h gbz-gim'~xT|cz}_ckm[7473\:$kh!rg-dg}(ddbr${Qnup\flhX;;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU?>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR;=0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_703?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\376<]9%l~k }f.e`|+ekcq%|~R~ats]amkY?:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?0348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw67888=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01012>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:8>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123070<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<8<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34505>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>8219V4*aun'xm#jmw.bnh|*quWyd~Ril<1<14>S7'nxm"h gbz-gim'~xT|cz}_fa?5;473\:$kh!rg-dg}(ddbr${Qnup\cf:56;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k595>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh090=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm35?03?P6(o{l%~k!hcy,`hn~({U{by|Pgb>5:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg919:91^<"i}f/pe+be&jf`t"y}_qlwvZad414:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT<f:W3+bta&{l$knv!cmi{+rtXxg~ySjmP20d8Q5)`zo$yj"ilx/aoo})pzVzexQhc^12b>S7'nxm"h gbz-gim'~xT|cz}_fa\04`<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ36n2_;#j|i.sd,cf~)keas#z|Ppovq[beX>8l0Y=!hrg,qb*adp'iggu!xr^rmpwY`kV=:j6[?/fpe*w`(ojr%oaew/vp\tkruWniT4?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?4;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0<0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc949:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2<>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;<78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<4<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5<5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>4:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm743<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[5423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS<<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[7423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS><:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[1423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS8<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[3423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS:<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[=4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?30?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7=3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;:7827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?7;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?34?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;793<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;>7827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?3;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?38?0;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;TS7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S><7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X<;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]66==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R8=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W>837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\<73<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123773<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;8?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r123173<]9%l~k }f.e`|+ekcq%|~R~ats]dgZ~hz9:;:?;4U1-dvc(un&mht#mcky-tvZvi|{UloRv`r12337g<]9%l~k }f.eo4+tc'nx:"hxfu-QEHYULVOESKYIT00:?P6(o{l%~k!hl1,q`*au9'xm{kz R@O\V@AH]]UNB<?1=2=73=R8&myj#|i/fn3*wb(o{;%~kyit.avvwYao~Tyo{e^ov|56795:5=>;4U1-dvc(un&mg<#|k/fp2*w`pn}%hy|Pfvdw[vrf|lUfyu>?00>2:60<]9%l~k }f.eo4+tc'nx:"hxfu-`qwtXn~lS~zntd]nq}67886:2<<7;T2,cw`)zo%l`= }d.eq5+tao~$i`~{y^dtbqYaj;=0Y=!hrg,qb*ak8'xo#j|>.sdtbq)bey~rSkyit^k1b>S7'nxm"h gm2-va)`z8$yjzh{/dosp|Yao~TeRczx123466<]9%l~k }f.eo4+tc'nx:"hxfu-fiur~Wo}mxRgPmtz34566;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;879m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5;5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7>3=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1=13g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw34?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=7=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?2;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}919;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;07>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6:29>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1<1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<2<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?0;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:26=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5<58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We0:0;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz38?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>::16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv979<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4;4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx7?3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc2;>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;?_ymq838382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\|jt;?7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yg{6329>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vrd~1712g9V4*aun'xm#jb?.sf,cwgt&nzn"je.PBIZCJX]STJOQfnw15?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,VDKXMDZ_URHM_hlu5ZOI^V:856[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#ljeurvf`ZquWyd~R|k_qcg8584i2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'hnay~zjd^uq[uhszVxoS}ok<1<26c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*bnnoU{by|Pp`f?5;4a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(l`lmS}`{r^rb`949:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;;78m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl5>5>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn793 gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV?9i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU=>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT;?k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS5!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:66:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<3<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789682>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238184?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2:>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674?48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>4:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;050<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:>6;h0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%{~biPelrw}Zo4>2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'yxdkRkbpu{\mZejxVfn<=>?369V4*aun'xm#jb?.sf,cwgt&nzn"je.rqkbYbey~rSdQlmq]oa567889i7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$|ah_dosp|YnWjg{Sak?0122[VQ7;h1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pcx>3:6g<]9%l~k }f.eo4+tc'nxj#i}db3-vae(EziSjPdb]j[vse89:;Snw31?1b?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+HurjVxoSimPi^qvf5678Vir0?0!re-dvdu)o{nh=#|kc.OpqgYulVnhSdQ|uc2345Ydq595?l5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\g|:36:k0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$A~{m_sf\`fYnWzi<=>?_b{?1;5f3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;S7'nxm"h gm2-va)`zhy%kjl1/pgg*Kt}kUyhRjl_h]pqg6789Uhu1913c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~7<3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Zjr|5;5?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\hpr;:79i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^nvp959;k1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pltv?0;5e3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;.sf`+HurjVxoSimPi^qvf5678Vf~x1913c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xd|~743=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Z~hz5:5?o5Z0.eqb+ta'nf;"j gscp*btck8$yhn!Bst`\vaYckVcTxl?012\|jt;979i7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#@}zb^pg[aeXaVy~n=>?0^zlv949;k1^<"i}f/pe+bj7&{n$ko|.fpgg4(ulj%FxlPre]ggZoX{|h;<=>Pxnp?7;5e3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'Dy~nR|k_ea\mZurj9:;.sf`+HurjVxoSimPi^qvf5678Vrd~1813c9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-NwpdXzmUooRgPst`3456Xpfx7;3=m;T2,cw`)zo%l`= }d.eqev(`zmi:"jl/LqvfZtcWmiTeR}zb1234Z~hz525>l5Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[l:76;k0Y=!hrg,qb*ak8'xo#j|ns/eq`f7)zmi$~iQkc^k?5;4f3\:$kh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf<3<1e>S7'nxm"h gm2-va)`zhy%kjl1/pgg*tcWmiTe1=12`9V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`6?2?o4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZo;=78j7X> gsd-vc)`d9$yh"i}ar,dvae6&{nh#jPdb]j8385i2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi=5=6d=R8&myj#|i/fn3*wb(o{kx"j|kc0,q`f)ulVnhSd27>3;8Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,vaYckVcT<4U1-dvc(un&mg<#|k/fpbw+aulj;%~im re]ggZoX{|h;<=>30?11?P6(o{l%~k!hl1,q`*auiz$l~im>.sf`+wbXljUbS~{m01238484:2_;#j|i.sd,ci6)zm%l~l}!gsf`5+tck&xoSimPi^qvf5678585??5Z0.eqb+ta'nf;"j gscp*btck8$yhn!}d^f`[lYt}k:;<=2<>208Q5)`zo$yj"ic0/pg+btf{'myhn?!rea,vaYckVcTxl?012?0;553\:$kh!rg-dh5(ul&mym~ hrea2*wbd'{nThnQf_rwa45674<48>6[?/fpe*w`(oe:%~i!hr`q-cwbd9'xoo"|k_ea\mZurj9:;<181339V4*aun'xm#jb?.sf,cwgt&nxoo< }db-q`ZbdW`Uxyo>?01>4:64<]9%l~k }f.eo4+tc'nxj#i}db3-vae(zmUooRgPst`3456;07827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?4;4>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;;78m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_4[)ody%a~ gsd-vc)`d9$yh"i}ar,qwqu(k9%}=1?1229V4*aun'xm#jb?.sf,cwgt&{y"m?/w3?6;443\:$kh!rg-dh5(ul&mym~ }suq,g5)q9595>>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?34?0a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\5Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P3^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=R:Pnnv34566:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_7[)ody%a~/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5969::1^<"i}f/pe+bj7&{n$ko|.sqww*e6';7=3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1:12c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\4Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T8R``t12344473\:$kh!rg-dh5(ul&mym~ }suq,gjkw8;:0Y=!hrg,qb*ak8'xo#j|ns/pppv)dgdz:>45Z0.eqb+ta'nf;"j gscp*wus{&xjaRkbpu{\bgYn;91^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j[jt789:8=6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]efZoXg{:;<=?=3:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp72<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~:>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu010>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|:8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{4368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr2:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by8=4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp2433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d4?74U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:76;i0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>3:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1?1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0?0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4;4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;;78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>7:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2;>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1;12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5?5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az838Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?3;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw37?]qp7g<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|30?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0<0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz585>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:46;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?0;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<4<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1812`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6<2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;078o7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8=8Xz};m7X> gsd-vc)`d9$yh"|nup,gjsi|5:5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7=3?i;T2,cw`)zo%l`= }d.psjqt(kfex1<11g9V4*aun'xm#jb?.sf,vuhsz&idycz33?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=6=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?1;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9099o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;?7;m7X> gsd-vc)`d9$yh"|nup,gjsi|525=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T<e:W3+bta&{l$ka>!re-qtkru'je~byQ<1d9V4*aun'xm#jb?.sf,vuhsz&idyczP40g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_43f?P6(o{l%~k!hl1,q`*twf}x$ob{at^42a>S7'nxm"h gm2-va)uxg~y#naznu]45`=R8&myj#|i/fn3*wb(zyd~"m`uov\<74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;87897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0<0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=0=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:46;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;783<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><4<16>S7'nxm"h gm2-va)uxg~y#naznu]g5909:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86<2?<4U1-dvc(un&mg<#|k/srmpw)dg|dSi?38?02?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[5463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W88:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S?<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_202?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[1463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W<8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S;<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_602?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[=433\:$kh!rg-dh5(ul&x{by| cnwmpZhh|9:;=>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0=0!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2>>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>0:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8184n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:26:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4?48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6<2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir050;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz31?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>1:16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^nvp959<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4=4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~793:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy29>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;?_mww8=8382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;17>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6929>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~1=1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<5<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?1;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]{kw:16=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Z~hz5=58=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey050;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|39?0e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd=0=6c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f?7;4a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`929:o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdb;=78m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvfl5<5>k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhn7;3S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae]06`=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\07c<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[04b3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Z05m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY0:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX0;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW09<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=0=72=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*bnnoU{by|Pp`f\eab789:7?3=8;T2,cw`)zo%l`= xr.etev(`xo$|~}h dhde[uhszVzjhRokd1234929;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>35?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785<5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?3;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<161369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;178j7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZo4:2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[hs89:8?;5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"~}of]eqijXaVg~t=>?3^QT464<]9%l~k }f.eo4+qu'n}j#if/uqtc)wzfmTjxbc_h]mkq6788987X> gsd-vc)`d9$|~"ixar,dtc(pzyl$|ah_gwohZoXff~;<=?>299V4*aun'xm#jb?.vp,crgt&nzm"z|f.uq[wusWm;956[?/fpe*w`(oe:%{!hw`q-svrt'j;$ol|}yogw858512_;#j|i.sd,ci6){%l{l}!wrvp+f7(khxyuck{<0<1=>S7'nxm"h gm2-sw)`hy%{~z|/b3,gdtuqgo0?0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n? c`pq}kcs4:49j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX4X(`e8$f=?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^7Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n? glw{*bk\:T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.enq}(`eR9V"jc|.lq1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP8P hmr,nw75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64949?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2>>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28785;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8682?=4U1-dvc(un&mg<#y}/fubw+qt|z%h="x><5<1f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y7Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t2[4Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0]1[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_2]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z3:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`6*efz{seiy2>>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvwim}692?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:46;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&mfyu hmZ2^*bk6&d;9j6[?/fpe*w`(oe:%{!hw`q-svrt'j8$k`{w.foX5X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h>"ibuy,di^4Z&ngx"`}=f:W3+bta&{l$ka>!ws-dsdu)z~x#n< glw{*bk\;T$la~ bs3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR>V"jc|.lq17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:76;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0<0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>1:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64:49?6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z<2;>3`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[5Yffm:;<=?=b:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0]2[dhc89:;=?l4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x>_3]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z gsd-vc)`d9$|~"ixar,twqu(kfg{>?64U1-dvc(un&mg<#y}/fubw+qt|z%ym`Qiumn\bgYn:<1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf2b9V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYnWfx;<=>=d:W3+bta&{l$ka>!ws-dsdu)z~x#ob_gwohZoXg{:;<=?>d:W3+bta&{l$ka>!ws-qehYpzVoeSd?>f:W3+bta&{l$ka>!ws-qehYpzVoeSd?P1318Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr5<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex<<;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw672<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~8>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu610>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|<8?7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{6368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr0:=1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~by6=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4949o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az858Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?5;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw31?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2=>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp692R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq595>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}959W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8185k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<5<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw35?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs793Q}t3;8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6=2?m4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\g|:16Vx>45Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}919:j1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=5=[wr5i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=2=6d=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc2>>3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7>36:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|36?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0:0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz525>i5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:?6Vx=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~7<3?i;T2,cw`)zo%l`= xr.usjqt(kfex1?11g9V4*aun'xm#jb?.vp,suhsz&idycz32?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=1=5c=R8&myj#|i/fn3*rt(yd~"m`uov?0;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9399o1^<"i}f/pe+bj7&~x${}`{r.alqkr;>7;m7X> gsd-vc)`d9$|~"ynup,gjsi|5=5=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~743?j;T2,cw`)zo%l`= xr.usjqt(kfexR>>e:W3+bta&{l$ka>!ws-ttkru'je~byQ>1d9V4*aun'xm#jb?.vp,suhsz&idyczP20g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_23f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^62a>S7'nxm"h gm2-sw)pxg~y#naznu]65`=R8&myj#|i/fn3*rt(yd~"m`uov\24c<]9%l~k }f.eo4+qu'~zex!lotlw[27b3\:$kh!rg-dh5(pz&}{by| cnwmpZ>5:2_;#j|i.sd,ci6){%||cz}/bmvjqYc95:5>?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<2>>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?6;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64:49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1:1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>6:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;>7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:0:0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=:=64=R8&myj#|i/fn3*rt(yd~"m`uov\`4Y7:81^<"i}f/pe+bj7&~x${}`{r.alqkrXl8U:><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th?2;>308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e0?1;453\:$kh!rg-dh5(pz&}{by| cnwmpZb54?49>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>191239V4*aun'xm#jb?.vp,suhsz&idyczPd3>;:77<]9%l~k }f.eo4+qu'~zex!lotlw[a4X8;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T=??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi0Y=!hrg,qb*ak8'}y#z~ats-`kphsWge<=>>169V4*aun'xm#`kb/emvpZo;87;37X> gsd-vc)jmd%ocxzPi=33:4><]9%l~k }f.ofi*bh}}Ub027;7?3\:$kh!rg-nah)cg|~Te1?;>0:8Q5)`zo$yj"cjm.flqqYn48?5=55Z0.eqb+ta'dof#iazt^k?538602_;#j|i.sd,i`k(lfSd2>7?3;?P6(o{l%~k!bel-gkprXa5;32<64U1-dvc(un&gna"j`uu]j84?99>1^<"i}f/pe+hcj'me~xRg31?3;?P6(o{l%~k!bel-gkprXa58;2<64U1-dvc(un&gna"j`uu]j8779911^<"i}f/pe+hcj'me~xRg323<2<>S7'nxm"h mdo,`jssW`69?3?7;T2,cw`)zo%fi`!kotv\m9436820Y=!hrg,qb*kbe&ndyyQf<37=5==R8&myj#|i/lgn+air|Vc7>;0>8:W3+bta&{l$ahc dnww[l:5?7;37X> gsd-vc)jmd%ocxzPi=0;:4><]9%l~k }f.ofi*bh}}Ub0?71169V4*aun'xm#`kb/emvpZo;:7;37X> gsd-vc)jmd%ocxzPi=13:4><]9%l~k }f.ofi*bh}}Ub0>?1199V4*aun'xm#`kb/emvpZo;;;4:46[?/fpe*w`(elg$hb{{_h>07;7?3\:$kh!rg-nah)cg|~Te1=;>0:8Q5)`zo$yj"cjm.flqqYn4:?5=:5Z0.eqb+ta'dof#iazt^k?7;703\:$kh!rg-nah)cg|~Te1:1169V4*aun'xm#`kb/emvpZo;=7;<7X> gsd-vc)jmd%ocxzPi=4=52=R8&myj#|i/lgn+air|Vc7;3?8;T2,cw`)zo%fi`!kotv\m9>99>1^<"i}f/pe+hcj'me~xRg39?35?P6(o{l%~k!bel-gkprXaV:::6[?/fpe*w`(elg$hb{{_h]252=R8&myj#|i/lgn+air|VcT==?8;T2,cw`)zo%fi`!kotv\mZ769>1^<"i}f/pe+hcj'me~xRgP1334?P6(o{l%~k!bel-gkprXaV;8=:5Z0.eqb+ta'dof#iazt^k\51703\:$kh!rg-nah)cg|~TeR?:169V4*aun'xm#`kb/emvpZoX9?;<7X> gsd-vc)jmd%ocxzPi^3452=R8&myj#|i/lgn+air|VcT=5?8;T2,cw`)zo%fi`!kotv\mZ7>9?1^<"i}f/pe+hcj'me~xRgP2058Q5)`zo$yj"cjm.flqqYnW;::;6[?/fpe*w`(elg$hb{{_h]1541<]9%l~k }f.ofi*bh}}UbS?<>7:W3+bta&{l$ahc dnww[lY5;8=0Y=!hrg,qb*kbe&ndyyQf_3623>S7'nxm"h mdo,`jssW`U99<94U1-dvc(un&gna"j`uu]j[706?2_;#j|i.sd,i`k(lfSdQ=7058Q5)`zo$yj"cjm.flqqYnW;2:;6[?/fpe*w`(elg$hb{{_h]1=40<]9%l~k }f.ofi*bh}}UbS>?8;T2,cw`)zo%fi`!kotv\mZ579>1^<"i}f/pe+hcj'me~xRgP3034?P6(o{l%~k!bel-gkprXaV99=:5Z0.eqb+ta'dof#iazt^k\76703\:$kh!rg-nah)cg|~TeR=;169V4*aun'xm#`kb/emvpZoX;<;=7X> gsd-vc)jmd%ocxzPi^622>S7'nxm"h mdo,`jssW`U>=;5Z0.eqb+ta'dof#iazt^k\240<]9%l~k }f.ofi*bh}}UbS:?9;T2,cw`)zo%fi`!kotv\mZ>6>2_;#j|i.sd,i`k(lfSdQ61b9V4*aun'xm#`kb/emvpZoXff~;<=?>9:W3+bta&{l$ahc dnww[hcj494:m6[?/fpe*w`(elg$hb{{_lgn84699h1^<"i}f/pe+hcj'me~xRcjm=32:4g<]9%l~k }f.ofi*bh}}Ufi`2>2?3b?P6(o{l%~k!bel-gkprXelg7=>0>a:W3+bta&{l$ahc dnww[hcj48>5=l5Z0.eqb+ta'dof#iazt^ofi97268k0Y=!hrg,qb*kbe&ndyyQbel>22;7f3\:$kh!rg-nah)cg|~Tahc316<2e>S7'nxm"h mdo,`jssWdof0<611`9V4*aun'xm#`kb/emvpZkbe5;22<74U1-dvc(un&gna"j`uu]nah:668k0Y=!hrg,qb*kbe&ndyyQbel>14;7f3\:$kh!rg-nah)cg|~Tahc320<2e>S7'nxm"h mdo,`jssWdof0?<11`9V4*aun'xm#`kb/emvpZkbe5882 gsd-vc)jmd%ocxzPmdo?6086i2_;#j|i.sd,i`k(lfS`kb<34=5d=R8&myj#|i/lgn+air|Vgna1<8>0c8Q5)`zo$yj"cjm.flqqYjmd6943?n;T2,cw`)zo%fi`!kotv\i`k;:04:56[?/fpe*w`(elg$hb{{_lgn8786i2_;#j|i.sd,i`k(lfS`kb<22=5d=R8&myj#|i/lgn+air|Vgna1=>>0c8Q5)`zo$yj"cjm.flqqYjmd68>3?n;T2,cw`)zo%fi`!kotv\i`k;;:4:m6[?/fpe*w`(elg$hb{{_lgn86299h1^<"i}f/pe+hcj'me~xRcjm=16:4?<]9%l~k }f.ofi*bh}}Ufi`2<>0;8Q5)`zo$yj"cjm.flqqYjmd6?2<74U1-dvc(un&gna"j`uu]nah:26830Y=!hrg,qb*kbe&ndyyQbel>5:4?<]9%l~k }f.ofi*bh}}Ufi`28>0;8Q5)`zo$yj"cjm.flqqYjmd632<74U1-dvc(un&gna"j`uu]nah:>6><0Y=!hrg,qb*kbe&mia#immf,dfhaf&gmnon mmf-ahnYjmdUlick}aumq+sgkam$hy| r`ookjv\8T$ym` }/w7\jjoia}$ym`!\DQ,TMACXX[CF=5 [DQ3a?P6(o{l%~k!bel-wiuYuidUyhRka1b9V4*aun'xm#`kb/uos[wgjW{nTic?>d:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hi=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd003?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g64e<]9%l~k }f.pppgjl'{nT~~zPcnoa5a=R8&myj#|i/sqwfim(zmUyyQlol`25`=R8&myj#|i/sqwfim(zmUyyQ}ef>3:4c<]9%l~k }f.pppgjl'{nT~~zPrde?5;7b3\:$kh!rg-qwqdkc&xoS}{_sgd8786l2_;#j|i.sd,vvredb%yhR||t^pfcZ66l2_;#j|i.sd,vvredb%yhR||t^pfcZ76l2_;#j|i.sd,vvredb%yhR||t^pfcZ46l2_;#j|i.sd,vvredb%|~R||t^cpv`a582_;#j|i.sd,vvredb%|~R||t^cpv`aXl88;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo>374WSCG@W:4601\^LJKR=6==>QUIMNY0806;VPB@AT;>730[_OKDS>4:g=PZHNO^1650?;8SWGCL[63285XRBNH0>QUMG;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UQLOSG\MK@H>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh6i2Uhk"oiigm\600;?iTjzh{.oel57=fn`ldS?;9x24`[cqa|::0mkgio^062}51kVl|jy"iigm\c`hbzh~d~Rx;_5.MKKC+FFDN?oj4agkekZ42>q9=oRhxfu.emciXoldn~lz`r^t7[1*|kVbjRgat^aoo86+kVbjR}}su?3(fYulVlyi|3>,b]kevYulVnjxlQlotlw94*dWmceSzgkti?02)eX`hyT{Qkauc\gjsi|4:'oRhzlm]ehdatW{yS{oc=0.`[lkwdlgnbyo{inl\p|vb5;&hSx}j_doaaabblVxnk0>#c^uq[ctby4;'oR{|e^flqqYu{}7: nQznegqbiipWee|1="l_icp[jpbzofd{0>#c^rqaiiflVfjxh`ly<2/gZbh}}U|eizg=24/gZktofdTzlb21-a\vaYcmy~c18?=,b]kevYrfmoyjaax=1.`[mgtWhffc~zPftno95*dWakxS}{=0.`[agsiVidyczPwhfwl8?+kVbjRy}_`ah95*dW|ynShml=1.`[fijefdToaalk<2/gZquWhi`Saax=1.`[wbXxg~ySzgkti?:(fYr{lUm{kzPd`vb[firf}7; nQgar]bhhit|Vof|yw20-a\vaYci}kTob{at^uj`qn:1%iTc{k}fmmt[iip59&hSz|Ppovq[roc|a72 nQrne\ahvsqV~r|h3>,b]tvZbf|hUhcx`{_vkgpm;>$jU{~hb`ae]qabu:9%iThb{{_sqw[sgk59&hSeo|_ecweZeh}g~6=?"l_qplcZcjx}sTxe|jsi]mabgsmV|j`07#c^rqkbYbey~rSyf}erj\evubz}U}ma37,b]kevYqieco1="l_qplcZ`rdeUdk|h^cpw`tsWkg1>q9=oRhxfu.`[sgkamUgcz3?,b]svlkXn`ldSywe<726}51$jU{~biPftno[qwm4;'oRcjmnpz[qwm4;'q?m4agkekZ42>q9=oRhxfu]emciXoldn~lz`r^t7[1Y{}U:o6ocmnqw[`kw|ph0mac`su]eqij13kkhgx~k;cc`opvXehx`i=4cmig?agsiVidycz'0(f8`drfWje~by&>)e9geqgXkfex%<&d:fbpdYdg|d$>'k;ecweZeh}g~#8$j4d`vb[firf}">%i5kauc\gjsi|!<"h6jnt`]`kphs >#o7io{a^alqkr/0 l0hlzn_bmvjq:?294<7ilftdpq2>bnf!:":6jfn)3*3>bnf!;;%:5kio*25,1='8;ekm,77.?2nbb%<=)69gmk.5; =0hd`'25+4?aoi ;?";6jfn)05-2=cag"9;$94dhl+6=/03mce$?7&6:fjj-5.?2nbb%=?)69gmk.49 =0hd`'33+4?aoi :9";6jfn)17-2=cag"89$84dhl+0,069gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb169gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<4?aoi4:;5;6jfn=11:2=cag68?394dhl?718>3mce0>;50?58`lh;;<4=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-==cg|~#=5'7;emvp-7>!>1ocxz'2(:8`jss ;:"46j`uu*15,>bh}}"99$64dnww,70.02ndyy&=7(:8`jss ;2"46j`uu*1=,1'7;emvp-57!11ocxz'30+;?air|!99%55kotv+76/?3me~x%=;)99gkpr/;<#<7iazt)6*3>bh}}">%:5kotv+2,1.?2ndyy&6)69gkpr;8720hb{{<02=<>bh}}6:=364dnww844902ndyy2>3?:8`jss48>546j`uu>21;>bh}}6:5394dnww848?3me~x199gkpr;:8437iazt=01:==cg|~7>>07;emvp943611ocxz324<;?air|58=255kotv?628?3me~x1<7>99gkpr;:04<7iazt=0=<>bh}}68<364dnww867902ndyy2<2?:8`jss4:9546j`uu>00;g;50?:8`jss4:?5;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss40437hjff3ld`0=bey~r>k5iigm\c`hbzh~d~Rx;_5.#\ljnfq*HC_K/Gdlfvdrhz);:"8:4ftno3>oi|Vigg55agb`vmib?3f|n~kb`w`9svjaXmdzu<:4psmd[`kw|pUdk|h)2*51=wzfmTi`~{y^vkv`uo 8#:86~}of]fiur~W}byi~f'2(37?uthoVof|ywPtipfwm.4!8>0|ah_dosp|Ys`{oxd%:&159svjaXmdzuRzgrdqk,0/6<2zycjQjmqvz[qnumzb#:$?;;qplcZcjx}sTxe|jsi*4-42vugnUna}zv_ujqavnXizyn~y&>)0`8twi`Wlg{xtQ{hsgplZgt{lx$?'>b:rqkbYbey~rSyf}erj\evubz}"8%vugnUna}zv_ujqavnXizyn~y27:1<2f>vugnUna}zv_ujqavnXflmjxh&?)0`8twi`Wlg{xtQ{hsgplZhboh~n$<'>b:rqkbYbey~rSyf}erj\j`af|l"9%vugnUna}zv_ujqavnXflmjxh&7)0f8twi`Wlg{xtQ{hsgplZhboh~n054?>89svjaXn|fg=>5rne\bpjkW}byi~f'0(30?uthoVl~`aQ{hsgpl-7.9:1{~biPftno[qnumzb#>$?<;qplcZ`rdeUdk|h)1*56=wzfmTjxbc_ujqavn/< ;87}|`g^dvhiYs`{oxd%;&129svjaXn|fgSyf}erj+2,743yxdkRhzlm]wlwct`!="=>5rne\bpjkW}byi~f'8(36?uthoVl~`aQ{hsgpl9>=87;j7}|`g^dvhiYs`{oxdRo|sdpw,5/6i2zycjQiumn\pmtb{aUj~k}t)3*5d=wzfmTjxbc_ujqavnXizyn~y&=)0c8twi`Wog`Rzgrdqk[dutm{~#?$?n;qplcZ`rdeUdk|h^cpw`ts =#:m6~}of]eqijX|axneQnsrgqp-3.9h1{~biPftno[qnumzbTm~}jru*5-4gc:rqkbYa}efTxe|jsi]bwvcu|521<3?n;qplcZ`rdeUdk|h^lfcdrb 9#:m6~}of]eqijX|axneQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4ga:rqkbYa}efTxe|jsi]mabgsm!?"=l5rne\bpjkW}byi~fPndebp`.1!8k0|ah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2g>vugnUmyabPtipfwmYimnki1650?48vaYddb;;7jPd`vb[firf}";%<>4re]geqgXkfex%?&119q`Zbf|hUhcx`{(3+24>tcWmkmRm`uov+7,773{nThlzn_bmvjq.3!8:0~iQkauc\gjsi|!?"==5}d^fbpdYdg|d$;'>0:pg[agsiVidycz'7(33?wbXlh~jSnaznu*;-463??;sf\`drfWje~by2<>028vaYci}kTob{at=6=55=ulVnjxlQlotlw808682xoSio{a^alqkr;>7;;7jPd`vb[firf}6<2<<4re]geqgXkfex1650?33?wbXlh~jSnaznu>;:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus<2~yyh74uoffvcjh<1}magk6:uq[del>2}ySnbd119tvZbf|hUhcx`{(1+24>quWmkmRm`uov+5,773~xThlzn_bmvjq.5!8:0{Qkauc\gjsi|!9"==5xr^fbpdYdg|d$9'>0:uq[agsiVidycz'5(33?rtXlh~jSnaznu*5-46<{UomyoPcnwmp-1.991|~Rjnt`]`kphs 1#:<6y}_ecweZeh}g~7<3?=;vp\`drfWje~by27:1<6?rtXmg<0{Q}suCDuc7=2JKt97l:G87>4}T;=0>8>48:011f0g02;?:5?ua48c95>h31k0>7):68;6:6>{T;;0>8>48:011f0g02;?:5?5\e6860=<72899n8o8:372=7=T;;0>854?:011f0g02;?:5>5k48f94?7=9rY887;;3;59564e=h=1>8?62:tW53`=83;1=7?i5zQ00?33;3=1=>:2.?4=4j8:T7=<<5s|l:6<5zf383?x"6=?0:?6l;9e83>0g=;3?jwE:8e:X1g?5|k3h1>;4r$057>1?c3->2;7;;5:k6=f<722e>:44?::k6f4<722e>8;4?::m601<722c>m84?::k6=`<722e>=:4?:%3647;=84?:%3647;=>4?:%3647;==4?:%3647;47;32e>47;47;<54?:%3647;<84?:%360:9l152=83.:954:3`9m501=9810c8><:18'50>==:k0b<;8:008?j37:3:1(<;7:41b?k72?3;876a:0083>!7203?8m6`>56820>=h=9:1<7*>59867d=i9<=1=854o5de>5<#9<219>o4n074>40<3f>mi7>5$07;>05f3g;>;7?8;:m7ba<72-;>47;c;29 43?2<9j7c?:7;3a?>i29k0;6)?:8;70e>h6=>0:o65`50c94?"6=10>?l5a14595a==<4?:%36==:k0b<;8:308?j2ai3:1(<;7:41b?k72?38876g;cd83>!7203>m=6`>5683?>o3km0;6)?:8;6e5>h6=>0:76g;cb83>!7203>m=6`>5681?>o3kk0;6)?:8;6e5>h6=>0876g;c`83>!7203>m=6`>5687?>o3k00;6)?:8;6e5>h6=>0>76g;c683>!7203>m=6`>5685?>o3k?0;6)?:8;6e5>h6=>0<76g;c483>!7203>m=6`>568;?>o3k=0;6)?:8;6e5>h6=>0276g;c283>!7203>m=6`>568b?>o3k;0;6)?:8;6e5>h6=>0i76g;c083>!7203>m=6`>568`?>o3k90;6)?:8;6e5>h6=>0o76g;bg83>!7203>m=6`>568f?>o3jl0;6)?:8;6e5>h6=>0m76g;bb83>!7203>m=6`>56824>=n5987b4=i9<=1=<54i5`b>5<#9<218k?4n074>44<3`>i57>5$07;>1`63g;>;7?<;:k7f=<72-;>47:i1:l212<6<21b8o950;&21=<3n81e=8951498m1d1290/=8654g38j43028<07d:m5;29 43?2=l:7c?:7;34?>o3j=0;6)?:8;6e5>h6=>0:465f4c194?"6=10?j<5a14595<=;o363?7e32c?h?4?:%36c:9j0a7=83.:954;f09m501=9m10e9j?:18'50>=!7203>m=6`>56814>=n5987b4=i9<=1><54i5`1>5<#9<218k?4n074>74<3`>i=7>5$07;>1`63g;>;7<<;:m6=4<72-;>47;6a:l212<732e>5=4?:%36i2d:9:4>;:m647;6a:l212<532e>4h4?:%36i2d:9:4<;:m647;6a:l212<332e>4n4?:%36i2d:9:4:;:m647;6a:l212<132e>4l4?:%36i2d:9:48;:m6<<<72-;>47;6a:l2128l4?::k6<=<722h?;k4?:083>5}O<>o0(<9;:55e?j72=3:17pl62;295?6=8rB?;h5+1669=7=h180;66sm2c83>g7=9h31=k;tH55f?_4d28

=4>f;02>73=:;09?7<;:b8a>70=:>0997<8:b812?472k0987<<:30964<6n3w/=::54`28 `3=191/>k4;9d9'76<31o1/=8>51468m03c290/=86554g8j4302910e8;l:18'50>====290/=86554g8j4302=10e8;7:18'50>======<>0b<;8:098m036290/=8655468j4302;10e8;?:18'50>==<>0b<;8:298m02a290/=8655468j4302=10e8:j:18'50>==<>0b<;8:498m02c290/=8655468j4302?10e8:l:18'50>==<>0b<;8:698m02e290/=8655468j4302110e87l:188k02>2900e888:18'50>==?20b<;8:198m001290/=86557:8j4302810e88::18'50>==?20b<;8:398m003290/=86557:8j4302:10e88<:18'50>==?20b<;8:598m005290/=86557:8j4302<10e88>:18'50>==?20b<;8:798m007290/=86557:8j4302>10e8;i:18'50>==?20b<;8:998k1g52900c9oj:18'50>===290/=8654`d8j4302<10c9o7:18'50>=10c9o9:18'50>=2900e8l>:188m015290/=8655618j4302910e89>:18'50>==>90b<;8:098m017290/=8655618j4302;10e88i:18'50>==>90b<;8:298m00b290/=8655618j4302=10e88k:18'50>==>90b<;8:498m00d290/=8655618j4302?10e88m:18'50>==>90b<;8:698m00f290/=8655618j4302110c8:9:188k0232900e8o::188m1g32900e89l:18'50>==>n0b<;8:198m01e290/=86556f8j4302810e89n:18'50>==>n0b<;8:398m01>290/=86556f8j4302:10e897:18'50>==>n0b<;8:598m010290/=86556f8j4302<10e899:18'50>==>n0b<;8:798m012290/=86556f8j4302>10e89;:18'50>==>n0b<;8:998m0>1290/=8655958j4302910e86::18'50>==1=0b<;8:098m0>3290/=8655958j4302;10e86<:18'50>==1=0b<;8:298m0>5290/=8655958j4302=10e86>:18'50>==1=0b<;8:498m0>7290/=8655958j4302?10e89i:18'50>==1=0b<;8:698m01b290/=8655958j4302110c9o>:188m0202900e9o::188m0d52900c87i:188k0g32900e87j:188k070290/=86552c8j4302910c8?9:18'50>==:k0b<;8:098k072290/=86552c8j4302;10c8?;:18'50>==:k0b<;8:298k074290/=86552c8j4302=10c8?=:18'50>==:k0b<;8:498k077290/=86552c8j4302?10c8>i:18'50>==:k0b<;8:698k06b290/=86552c8j4302110c8>k:18'50>==:k0b<;8:898k06d290/=86552c8j4302h10c8>m:18'50>==:k0b<;8:c98k06f290/=86552c8j4302j10c8>6:18'50>==:k0b<;8:e98k06?290/=86552c8j4302l10c8>8:18'50>==:k0b<;8:g98k062290/=86552c8j43028:07b;?4;29 43?2<9j7c?:7;32?>i28:0;6)?:8;70e>h6=>0:>65`51094?"6=10>?l5a145956=6:9l0cc=83.:954:3`9m501=9>10c9hk:18'50>==:k0b<;8:0:8?j2ak3:1(<;7:41b?k72?3;276a:1e83>!7203?8m6`>5682e>=h=8i1<7*>59867d=i9<=1=o54o43a>5<#9<219>o4n074>4e<3f?:m7>5$07;>05f3g;>;7?k;:m65<<72-;>47;i3nk0;6)?:8;70e>h6=>09>65`4gc94?"6=10>?l5a145966=5<#9<218k?4n074>4=5<#9<218k?4n074>6=5<#9<218k?4n074>0=5<#9<218k?4n074>2=6=4+14:90c75<#9<218k?4n074><=5<#9<218k?4n074>g=5<#9<218k?4n074>a=5<#9<218k?4n074>c=4;h6af?6=,8?369h>;o363?7632c?nl4?:%362:9j0g?=83.:954;f09m501=9:10e9l7:18'50>=76g;b783>!7203>m=6`>56822>=n5987b4=i9<=1=:54i5`7>5<#9<218k?4n074>4><3`>i?7>5$07;>1`63g;>;7?6;:k7`1<72-;>47:i1:l212<6i21b8i=50;&21=<3n81e=8951c98m1b5290/=8654g38j43028i07d:k1;29 43?2=l:7c?:7;3g?>o3l90;6)?:8;6e5>h6=>0:i65f4bd94?"6=10?j<5a14595c=4;h6a`?6=,8?369h>;o363?4632c?n?4?:%36==0k0b<;8:098k0>a290/=86558c8j4302;10c86j:18'50>==0k0b<;8:298k0>c290/=86558c8j4302=10c86l:18'50>==0k0b<;8:498k0>e290/=86558c8j4302?10c86n:18'50>==0k0b<;8:698k0>>290/=86558c8j4302110c8oj:18'50>==k:0b<;8:198k0gc290/=8655c28j4302810c8ol:18'50>==k:0b<;8:398k0ge290/=8655c28j4302:10c8on:18'50>==k:0b<;8:598k0g>290/=8655c28j4302<10c8o7:18'50>==k:0b<;8:798k0g0290/=8655c28j4302>10c8o9:18'50>==k:0b<;8:998k02f2900c9l?:188m0>?2900c8o=:188f1?2290:6=4?{%340??53A>286F;7d9l=4<722wi84850;394?6|,8=?699i;I6:0>N3?l1d=8;50;9~f7cb290?6=4?{%340?>f3A>286F;7d9'e7<13`8o6=44i2794?=n9<31<75`14c94?=zj:3j6=4;:183!70<32j7E:64:J73`=#i;0:7d76:187>5<7s-;<876n;I6:0>N3?l1/m?4>;h0g>5<5<4290;w)?84;:4?M2><2B?;h5G289'ac<2i81/m?4>;h0g>5<5<4290;w)?84;:4?M2><2B?;h5G289'ac<2i81/m?4>;h0g>5<5883>>i6=h0;66sm46794?5=83:p(<9;:958L1?33A>7?4i3f94?=n;<0;66a>5`83>>{eN512.nj7;n1:&b6?7>o4=3:17d?:9;29?j72i3:17pl;7283>1<729q/=::58`9K0<2<@==n7)o=:09j6a<722c897>5;h36=?6=3f;>m7>5;|`737<72=0;6=u+16690D99j;%c1>4=n:m0;66g<5;29?l7213:17b?:a;29?xd3?80;694?:1y'522=0h1C84:4H55f?!g5281b>i4?::k01?6=3`;>57>5;n36e?6=3th?;n4?:583>5}#9>>14l5G4868L11b3-k96<5f2e83>>o4=3:17d?:9;29?j72i3:17pl;7c83>1<729q/=::58`9K0<2<@==n7)o=:09j6a<722c897>5;h36=?6=3f;>m7>5;|`2e3<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg7f=3:187>50z&2315;h12>5<7?4i3f94?=n;80;66g<5;29?j72i3:17pl>a383>1<729q/=::5899K0<2<@==n7)o=:09j6a<722c8=7>5;h16>5<758;<>N31=1C8:k4$`095>o5l3:17d=>:188m63=831d=8o50;9~f4g7290?6=4?{%340?>?3A>286F;7d9'e7<63`8o6=44i2394?=n;<0;66a>5`83>>{e90l1<7:50;2x 4132120D97;;I64a>"f:3;0e?j50;9j74<722c897>5;n36e?6=3th:5h4?:583>5}#9>>1455G4868L11b3-k96<5f2e83>>o493:17d=::188k43f2900qo?ie;290?6=8r.:;9478:J7=1=O<>o0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a5ce=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd6nk0;694?:1y'522=011C84:4H55f?!g5281b>i4?::k05?6=3`9>6=44o07b>5<54;294~"6?=0346F;959K02c<,h81=6g=d;29?l562900e>;50;9l50g=831vn5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm1g:94?2=83:p(<9;:9:8L1?33A>m7>5;|`2b2<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg7a>3:187>50z&2315;h12>5<>l50;694?6|,8=?6564H5;7?M20m2.j>7?4i3f94?=n;80;66g<5;29?j72i3:17pl=3`83>1<729q/=::5899K0<2<@==n7)o=:09j6a<722c8=7>5;h16>5<758;<>N31=1C8:k4$`095>o5l3:17d=>:188m63=831d=8o50;9~f75?290?6=4?{%340?>?3A>286F;7d9'e7<63`8o6=44i2394?=n;<0;66a>5`83>>{e::=1<7:50;2x 4132120D97;;I64a>"f:3;0e?j50;9j74<722c897>5;n36e?6=3th9?;4?:583>5}#9>>1455G4868L11b3-k96<5f2e83>>o493:17d=::188k43f2900qo<<5;290?6=8r.:;9478:J7=1=O<>o0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a5`2=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd6m:0;694?:1y'522=011C84:4H55f?!g5281b>i4?::k05?6=3`9>6=44o07b>5<7>54;294~"6?=0346F;959K02c<,h81=6g=d;29?l562900e>;50;9l50g=831vn:187>5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm1d294?2=83:p(<9;:9:8L1?33A>m7>5;|`2`c<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg7cm3:187>50z&2315;h12>5<7?4i3f94?=n;80;66g<5;29?j72i3:17pl=2`83>1<729q/=::5899K0<2<@==n7E<6;%ge>0g63-k96<5f2e83>>o493:17d=::188k43f2900qo<=9;290?6=8r.:;9478:J7=1=O<>o0D?74$dd91d7<,h81=6g=d;29?l562900e>;50;9l50g=831vn?<7:187>5<7s-;<8767;I6:0>N3?l1C>45+eg86e4=#i;0:7d?950;694?6|,8=?6564H5;7?M20m2B956*jf;7b5>"f:3;0e?j50;9j74<722c897>5;n36e?6=3th9>;4?:583>5}#9>>1455G4868L11b3A827)ki:4c2?!g5281b>i4?::k05?6=3`9>6=44o07b>5<54;294~"6?=0346F;959K02c<@;30(hh55`38 d4=92c9h7>5;h12>5<5`83>>{e:;91<7:50;2x 4132120D97;;I64a>N512.nj7;n1:&b6?7>o4=3:17b?:a;29?xd5:;0;694?:1y'522=011C84:4H55f?M4>3-om68o>;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg7c:3:187>50z&231o5l3:17d=>:188m63=831d=8o50;9~f4b6290?6=4?{%340?>?3A>286F;7d9K6<=#mo0>m<5+a382?l4c2900e>?50;9j70<722e:9l4?::a5a6=83>1<7>t$057>=><@=3?7E:8e:J1=>"bn3?j=6*n2;38m7b=831b?<4?::k01?6=3f;>m7>5;|`2gc<72=0;6=u+1669<==O<0>0D99j;I0:?!ca25;h16>5<758;<>N31=1C8:k4H3;8 ``==h;0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5G289'ac<2i81/m?4>;h0g>5<>i6=h0;66sm1ba94?2=83:p(<9;:9:8L1?33A>7?4i3f94?=n;80;66g<5;29?j72i3:17pl>cc83>1<729q/=::5899K0<2<@==n7E<6;%ge>0g63-k96<5f2e83>>o493:17d=::188k43f2900qo?la;290?6=8r.:;9478:J7=1=O<>o0D?74$dd91d7<,h81=6g=d;29?l562900e>;50;9l50g=831vn5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm1cd94?2=83:p(<9;:9:8L1?33A>m7>5;|`2f`<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg7el3:187>50z&2315;h12>5<7?4i3f94?=n;80;66g<5;29?j72i3:17pl>b`83>1<729q/=::5899K0<2<@==n7)o=:09j6a<722c8=7>5;h16>5<758;<>N31=1C8:k4$`095>o5l3:17d=>:188m63=831d=8o50;9~f4d?290?6=4?{%340?>?3A>286F;7d9'e7<63`8o6=44i2394?=n;<0;66a>5`83>>{e:821<7:50;2x 4132120D97;;I64a>"f:3;0e?j50;9j74<722c897>5;n36e?6=3th9=:4?:583>5}#9>>1455G4868L11b3-k96<5f2e83>>o493:17d=::188k43f2900qo<>6;290?6=8r.:;9478:J7=1=O<>o0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a642=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd59:0;694?:1y'522=011C84:4H55f?!g5281b>i4?::k05?6=3`9>6=44o07b>5<7>54;294~"6?=0346F;959K02c<,h81=6g=d;29?l562900e>;50;9l50g=831vn??>:187>5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm20294?2=83:p(<9;:9:8L1?33A>m7>5;|`060<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn><;:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd4::0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th8><4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f647290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl<1d83>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<t$057>=?<@=3?7E:8e:&b6?7>o493:17d=::188k43f2900qo=>2;297?6=8r.:;94;929K0<2<@==n7d=?:188m`0=831d=8=50;9~f67629086=4?{%340?2>;2B?595G46g8m66=831bi;4?::m216<722wi?=650;694?6|,8=?6k>4H5;7?M20m2c8<7>5;h14>5<5<53;294~"6?=0?5>5G4868L11b3`9;6=44id494?=h9<91<75rb225>5<3290;w)?84;d3?M2><2B?;h5f3183>>o4?3:17d?99;29?j72;3:17pl<0g83>6<729q/=::54818L1?33A>50z&2314?::a75c=8391<7>t$057>1?43A>286F;7d9j75<722cn:7>5;n367?6=3th85}#9>>1j=5G4868L11b3`9;6=44i2594?=n9?31<75`14194?=zj::o6=4<:183!70<3>2?6F;959K02c>i6=:0;66sm31294?2=83:p(<9;:g28L1?33A>286F;7d9j75<722c8;7>5;h35=?6=3f;>?7>5;|`04g<72:0;6=u+16690<5<@=3?7E:8e:k04?6=3`o=6=44o070>5<54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e;9k1<7=50;2x 4132=387E:64:J73`=n;90;66gj6;29?j72;3:17pl=f`83>1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn>=8:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd4;?0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th8?94?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f654290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl<3383>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`075<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd5>m0;6>4?:1y'522=<090D97;;I64a>o483:17dk9:188k4342900qo<9c;297?6=8r.:;94;929K0<2<@==n7d=?:188m`0=831d=8=50;9~f73e290?6=4?{%340?`73A>286F;7d9j75<722c8;7>5;h35=?6=3f;>?7>5;|`12g<72:0;6=u+16690<5<@=3?7E:8e:k04?6=3`o=6=44o070>5<m7>54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e:?k1<7=50;2x 4132=387E:64:J73`=n;90;66gj6;29?j72;3:17pl=5883>1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn?86:180>5<7s-;<87:63:J7=1=O<>o0e>>50;9ja3<722e:9>4?::a60>=83>1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<7587=6=O<0>0D99j;h13>5<>0;6>4?:1y'522=<090D97;;I64a>o483:17dk9:188k4342900qo<:6;290?6=8r.:;94i0:J7=1=O<>o0e>>50;9j72<722c::44?::m216<722wi>;850;194?6|,8=?697<;I6:0>N3?l1b?=4?::kf2?6=3f;>?7>5;|`110<72=0;6=u+1669b5=O<0>0D99j;h13>5<5<4290;w)?84;6:7>N31=1C8:k4i2294?=nm?0;66a>5283>>{e:<>1<7:50;2x 4132o:0D97;;I64a>o483:17d=8:188m40>2900c<;<:188yg42;3:187>50z&2314?::a6=b=83?1<7>t$057>=d<@=3?7E:8e:&b6?0>o4=3:17d?:9;29?j72i3:17pl=8283>1<729q/=::5899K0<2<@==n7)o=:09j6a<722c8=7>5;h16>5<758;<>N31=1C8:k4$`095>o5l3:17d=>:188m63=831d=8o50;9~f7>6290?6=4?{%340?>?3A>286F;7d9'e7<63`8o6=44i2394?=n;<0;66a>5`83>>{e:1:1<7:50;2x 4132120D97;;I64a>"f:3;0e?j50;9j74<722c897>5;n36e?6=3th9;k4?:583>5}#9>>1455G4868L11b3-k96<5f2e83>>o493:17d=::188k43f2900qo<8e;290?6=8r.:;9478:J7=1=O<>o0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a62e=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd5?k0;694?:1y'522=011C84:4H55f?!g5281b>i4?::k05?6=3`9>6=44o07b>5<53;294~"6?=0?5>5G4868L11b3`9;6=44id494?=h9<91<75rb3c2>5<4290;w)?84;gb?M2><2B?;h5+a382=>o><3:17d7::188k4342900qo286F;7d9j75<722c8;7>5;h35=?6=3f;>?7>5;|`1e5<72:0;6=u+1669ad=O<0>0D99j;%c1>4?1<75f9483>>i6=:0;66sm2`:94?5=83:p(<9;:5;0?M2><2B?;h5f3183>>ob>3:17b?:3;29?xd51>0;694?:1y'522=n91C84:4H55f?l572900e>950;9j53?=831d=8=50;9~f7?a29086=4?{%340?cf3A>286F;7d9'e7<612c287>5;h;6>5<7587=6=O<0>0D99j;h13>5<4?:1y'522=mh1C84:4H55f?!g52830e4:50;9j=0<722e:9>4?::a6d0=8391<7>t$057>1?43A>286F;7d9j75<722cn:7>5;n367?6=3th9584?:583>5}#9>>1j=5G4868L11b3`9;6=44i2594?=n9?31<75`14194?=zj;3o6=4<:183!70<3oj7E:64:J73`=#i;0:56g64;29?l?22900c<;<:188yg4f=3:1?7>50z&231<31:1C84:4H55f?l572900eh850;9l505=831vn?7;:187>5<7s-;<87h?;I6:0>N3?l1b?=4?::k03?6=3`;=57>5;n367?6=3th95n4?:283>5}#9>>1il5G4868L11b3-k96<74i8694?=n1<0;66a>5283>>{e:h>1<7=50;2x 4132=387E:64:J73`=n;90;66gj6;29?j72;3:17pl=9283>1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn?7m:180>5<7s-;<87kn;I6:0>N3?l1/m?4>9:k:0?6=3`3>6=44o070>5<53;294~"6?=0?5>5G4868L11b3`9;6=44id494?=h9<91<75rb3;1>5<3290;w)?84;d3?M2><2B?;h5f3183>>o4?3:17d?99;29?j72;3:17pl=9`83>6<729q/=::5e`9K0<2<@==n7)o=:0;8m<2=831b584?::m216<722wi>l<50;194?6|,8=?697<;I6:0>N3?l1b?=4?::kf2?6=3f;>?7>5;|`1=4<72=0;6=u+1669b5=O<0>0D99j;h13>5<5<4290;w)?84;gb?M2><2B?;h5+a382=>o><3:17d7::188k4342900qoo0(l<51:k1`?6=3`9:6=44i2794?=h95<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a6gg=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd5j00;694?:1y'522=011C84:4H55f?!g5281b>i4?::k05?6=3`9>6=44o07b>5<54;294~"6?=0346F;959K02c<,h81=6g=d;29?l562900e>;50;9l50g=831vn?l8:187>5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm2c494?2=83:p(<9;:9:8L1?33A>m7>5;|`1f0<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg4e<3:187>50z&2315;h12>5<m6=4;:183!70<32j7E:64:J73`=#i;0=7d5<7s-;<876n;I6:0>N3?l1/m?49;h0g>5<5<3290;w)?84;:;?M2><2B?;h5+a382?l4c2900e>?50;9j70<722e:9l4?::a61?=83>1<7>t$057>=><@=3?7E:8e:&b6?7>o4=3:17b?:a;29?xd4=;0;6>4?:1y'522=<090D97;;I64a>o483:17dk9:188k4342900qo=:1;297?6=8r.:;94;929K0<2<@==n7d=?:188m`0=831d=8=50;9~f626290?6=4?{%340?`73A>286F;7d9j75<722c8;7>5;h35=?6=3f;>?7>5;|`015<72:0;6=u+16690<5<@=3?7E:8e:k04?6=3`o=6=44o070>5<54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e;=l1<7=50;2x 4132=387E:64:J73`=n;90;66gj6;29?j72;3:17pl<3g83>1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn>:j:180>5<7s-;<87:63:J7=1=O<>o0e>>50;9ja3<722e:9>4?::a76c=83>1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<7587=6=O<0>0D99j;h13>5<4?:1y'522=<090D97;;I64a>o483:17dk9:188k4342900qo=o0e>>50;9j72<722c::44?::m216<722wi?9l50;194?6|,8=?697<;I6:0>N3?l1b?=4?::kf2?6=3f;>?7>5;|`07g<72=0;6=u+1669b5=O<0>0D99j;h13>5<5<3290;w)?84;d3?M2><2B?;h5f3183>>o4?3:17d?99;29?j72;3:17pl<3883>1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn>8=:187>5<7s-;<8767;I6:0>N3?l1/m?4>;h0g>5<>i6=h0;66sm37394?2=83:p(<9;:9:8L1?33A>m7>5;|`025<72=0;6=u+1669<==O<0>0D99j;%c1>4=n:m0;66g<1;29?l522900c<;n:188yg52n3:187>50z&2315;h12>5<7?4i3f94?=n;80;66g<5;29?j72i3:17pl<5b83>1<729q/=::5899K0<2<@==n7)o=:09j6a<722c8=7>5;h16>5<758;<>N31=1C8:k4$`095>o5l3:17d=>:188m63=831d=8o50;9~f617290?6=4?{%340?>f3A>286F;7d9'e7<13`8o6=44i2794?=n9<31<75`14c94?=zj:1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<758e4>N31=1C8:k4i2294?=n;>0;66g>6883>>i6=:0;66sm39c94?2=83:p(<9;:g28L1?33A>o0e>>50;9j72<722c::44?::m216<722wi?5650;694?6|,8=?6k>4H5;7?M20m2c8<7>5;h14>5<5<54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e;>21<7:50;2x 4132o:0D97;;I64a>o483:17d=8:188m40>2900c<;<:188yg50?3:187>50z&2314?::a720=83>1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<758e4>N31=1C8:k4i2294?=n;>0;66g>6883>>i6=:0;66sm2d394?2=83:p(<9;:g28L1?33A>o0e>>50;9j72<722c::44?::m216<722wi>ih50;694?6|,8=?6k>4H5;7?M20m2c8<7>5;h14>5<5<54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e:mn1<7:50;2x 4132o:0D97;;I64a>o483:17d=8:188m40>2900c<;<:188yg4dm3:187>50z&2314?::a6fb=83>1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<758e4>N31=1C8:k4i2294?=n;>0;66g>6883>>i6=:0;66sm2b`94?2=83:p(<9;:g28L1?33A>o0e>>50;9j72<722c::44?::m216<722wi?ih50;794?6|,8=?6574H5;7?M20m2.j>7?4i3f94?=n:l0;66g<1;29?l522900c<;n:188yg5cl3:197>50z&2312c9h7>5;h0f>5<5<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th8i=4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f6c6290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`0a1<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn>k::186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd4l:0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th8h=4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f6ea290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`0ga<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn>mm:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd4kj0;684?:1y'522=0k1C84:4H55f?!g52?1b>i4?::k1a?6=3`9>6=44i07:>5<7587=6=O<0>0D99j;h13>5<2?6F;959K02c>i6=:0;66sm3c494?2=83:p(<9;:g28L1?33A>286F;7d9j75<722c8;7>5;h35=?6=3f;>?7>5;|`0fa<72:0;6=u+16690<5<@=3?7E:8e:k04?6=3`o=6=44o070>5<7>54;294~"6?=0m<6F;959K02c>o6>00;66a>5283>>{e;ki1<7=50;2x 4132=387E:64:J73`=n;90;66gj6;29?j72;3:17pl1<729q/=::5f19K0<2<@==n7d=?:188m61=831b=;750;9l505=831vn>lm:180>5<7s-;<87:63:J7=1=O<>o0e>>50;9ja3<722e:9>4?::a7dc=83>1<7>t$057>c6<@=3?7E:8e:k04?6=3`9<6=44i04:>5<7587=6=O<0>0D99j;h13>5<4?:1y'522=<090D97;;I64a>o483:17dk9:188k4342900qo=na;290?6=8r.:;94i0:J7=1=O<>o0e>>50;9j72<722c::44?::m216<722wi?o650;194?6|,8=?697<;I6:0>N3?l1b?=4?::kf2?6=3f;>?7>5;|`0e=<72=0;6=u+1669b5=O<0>0D99j;h13>5<5<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th8j;4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f6`2290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`0b7<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn>h>:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd4n90;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th??l4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f15>290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl;3983>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`773<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn9=::186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd3;:0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th??<4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f157290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl;2g83>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`76a<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn95<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd3:k0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th?>54?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f140290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl;2783>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<6=4::183!70<3227E:64:J73`=#i;0:7dm7>5;|`761<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn9<<:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd3:;0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th?>=4?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f17a290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl;4183>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`77`<72<0;6=u+1669<<=O<0>0D99j;%c1>4=n:m0;66g=e;29?l562900e>;50;9l50g=831vn9=k:186>5<7s-;<8766;I6:0>N3?l1/m?4>;h0g>5<>o4=3:17b?:a;29?xd3;j0;684?:1y'522=001C84:4H55f?!g5281b>i4?::k1a?6=3`9:6=44i2794?=h95<2290;w)?84;::?M2><2B?;h5+a382?l4c2900e?k50;9j74<722c897>5;n36e?6=3th??94?:483>5}#9>>1445G4868L11b3-k96<5f2e83>>o5m3:17d=>:188m63=831d=8o50;9~f14>290>6=4?{%340?>>3A>286F;7d9'e7<63`8o6=44i3g94?=n;80;66g<5;29?j72i3:17pl;1d83>0<729q/=::5889K0<2<@==n7)o=:09j6a<722c9i7>5;h12>5<m7>5;|`73=<72:0;6=u+1669ad=O<0>0D99j;%c1>a=n1=0;66g65;29?j72;3:17pl;6e83>6<729q/=::5e`9K0<2<@==n7)o=:e9j=1<722c297>5;n367?6=3th:594?:283>5}#9>>1il5G4868L11b3-k96<:4i8694?=n1<0;66a>5283>>{e9091<7=50;2x 4132lk0D97;;I64a>"f:3;?7d7;:188m<3=831d=8=50;9~f4?529086=4?{%340?cf3A>286F;7d9'e7<6<2c287>5;h;6>5<758fe>N31=1C8:k4$`0951=n1=0;66g65;29?j72;3:17pl>9183>6<729q/=::5e`9K0<2<@==n7)o=:068m<2=831b584?::m216<722wi=5h50;194?6|,8=?6ho4H5;7?M20m2.j>7?;;h;7>5<50z&231?7>5;|`2<1<72:0;6=u+1669ad=O<0>0D99j;%c1>421<75f9483>>i6=:0;66sm19194?5=83:p(<9;:dc8L1?33A>5<7s-;<87kn;I6:0>N3?l1/m?4>4:k:0?6=3`3>6=44o070>5<53;294~"6?=0nm6F;959K02c<,h81=95f9583>>o>=3:17b?:3;29?xd6090;6>4?:1y'522=mh1C84:4H55f?!g528>0e4:50;9j=0<722e:9>4?::a52`=8391<7>t$057>`g<@=3?7E:8e:&b6?733`3?6=44i8794?=h9<91<75rb05f>5<4290;w)?84;gb?M2><2B?;h5+a3820>o><3:17d7::188k4342900qo?8d;297?6=8r.:;94ja:J7=1=O<>o0(l<5159j=1<722c297>5;n367?6=3th98h4?:583>5}#9>>1io5G4868L11b3-k965;4i8694?=n1<0;66g66;29?j72;3:17pl=4b83>0<729q/=::5eb9K0<2<@==n7)o=:49j=1<722c297>5;h;5>5<4?::a73`=8391<7>t$057>`g<@=3?7E:8e:&b6?4f3`3?6=44i8794?=h9<91<75rb365>5<3290;w)?84;ga?M2><2B?;h5+a381<>o><3:17d7::188m<0=831d=8=50;9~f60c290>6=4?{%340?cd3A>286F;7d9'e7<6?2c287>5;h;6>5<>i6=:0;66sm37a94?1=83:p(<9;:dg8L1?33A>5283>>{e:0:1<7;50;2x 4132li0D97;;I64a>"f:32n7d7;:188m<3=831b5;4?::k:3?6=3f;>?7>5;|`10;6=u+1669a`=O<0>0D99j;%c1>1=n1=0;66g65;29?l?12900e4950;9j==<722c257>5;n367?6=3th85;4?:283>5}#9>>1il5G4868L11b3-k96<74i8694?=n1<0;66a>5283>>{e;191<7=50;2x 4132lk0D97;;I64a>"f:3;27d7;:188m<3=831d=8=50;9~f7ce29086=4?{%340?cf3A>286F;7d9'e7<612c287>5;h;6>5<758fe>N31=1C8:k4$`095<=n1=0;66g65;29?j72;3:17pl<9e83>6<729q/=::5e`9K0<2<@==n7)o=:99j=1<722c297>5;n367?6=3th8584?:483>5}#9>>1in5G4868L11b3-k9650z&2315<2290;w)?84;g`?M2><2B?;h5+a382e>o><3:17d7::188m<0=831b5:4?::m216<722wi>i950;794?6|,8=?6hm4H5;7?M20m2.j>7?n;h;7>5<>o>?3:17b?:3;29?xd41=0;684?:1y'522=mj1C84:4H55f?!g528k0e4:50;9j=0<722c2:7>5;h;4>5<758fg>N31=1C8:k4$`095d=n1=0;66g65;29?l?12900e4950;9l505=831vn?k6:186>5<7s-;<87kl;I6:0>N3?l1/m?4>a:k:0?6=3`3>6=44i8494?=n1>0;66a>5283>>{e:m<1<7;50;2x 4132li0D97;;I64a>"f:3;j7d7;:188m<3=831b5;4?::k:3?6=3f;>?7>5;|`0=6<72<0;6=u+1669af=O<0>0D99j;%c1>4g1<75f9483>>o>>3:17d78:188k4342900qo=70;291?6=8r.:;94jc:J7=1=O<>o0(l<51`9j=1<722c297>5;h;5>5<4?::a6a3=83?1<7>t$057>`e<@=3?7E:8e:&b6?7f3`3?6=44i8794?=n1?0;66g67;29?j72;3:17pl<9b83>0<729q/=::5eb9K0<2<@==n7)o=:9a8m<2=831b584?::k:2?6=3`3<6=44o070>5<7>55;294~"6?=0no6F;959K02c<,h81=l5f9583>>o>=3:17d79:188m<1=831d=8=50;9~f61a290>6=4?{%340?cd3A>286F;7d9'e7<6i2c287>5;h;6>5<>i6=:0;66sm2d594?3=83:p(<9;:da8L1?33A>5;n367?6=3th9h94?:483>5}#9>>1in5G4868L11b3-k9650z&2315<4290;w)?84;gb?M2><2B?;h5+a3820>o><3:17d7::188k4342900qo?85;297?6=8r.:;94ja:J7=1=O<>o0(l<5159j=1<722c297>5;n367?6=3th8<44?:383>5}#9>>1i45G4868L11b3-k9655f9583>>i6=:0;66sm31594?4=83:p(<9;:d;8L1?33A>3A>286F;7d9'e75<52;294~"6?=0n56F;959K02c<,h8146g64;29?j72;3:17pl<0083>7<729q/=::5e89K0<2<@==n7)o=:99j=1<722e:9>4?::a6c`=8381<7>t$057>`?<@=3?7E:8e:&b6?>1<75`14194?=zj;lo6=4=:183!70<3o27E:64:J73`=#i;037d7;:188k4342900qoo0(l<58:k:0?6=3f;>?7>5;|`0f2<72;0;6=u+1669a<=O<0>0D99j;%c1>==n1=0;66a>5283>>{e;k?1<7<50;2x 4132l30D97;;I64a>"f:320e4:50;9l505=831vn>l<:181>5<7s-;<87k6;I6:0>N3?l1/m?47;h;7>5<758f=>N31=1C8:k4$`09<>o><3:17b?:3;29?xd4io0;6?4?:1y'522=m01C84:4H55f?!g5211b594?::m216<722wi?lj50;094?6|,8=?6h74H5;7?M20m2.j>764i8694?=h9<91<75rb2ca>5<5290;w)?84;g:?M2><2B?;h5+a38;?l?32900c<;<:188yg5f13:1>7>50z&2315;n367?6=3th8=>4?:383>5}#9>>1i45G4868L11b3-k9655f9583>>i6=:0;66sm3b394?4=83:p(<9;:d;8L1?33A>f290?6=4?{%340?ce3A>286F;7d9'e7<6j2c287>5;h;6>5<5<7s-;<87km;I6:0>N3?l1/m?4>b:k:0?6=3`3>6=44i8494?=h9<91<75rb055>5<3290;w)?84;ga?M2><2B?;h5+a382f>o><3:17d7::188m<0=831d=8=50;9~f4>e290>6=4?{%340?cd3A>286F;7d9'e7<6=2c287>5;h;6>5<>i6=:0;66sm16`94?3=83:p(<9;:da8L1?33A>5;n367?6=3th:4n4?:783>5}#9>>1ii5G4868L11b3-k965<7s-;<87kk;I6:0>N3?l1/m?4>c:k:0?6=3`3>6=44i8494?=n1>0;66g68;29?j72;3:17pl>8983>3<729q/=::5ee9K0<2<@==n7)o=:0a8m<2=831b584?::k:2?6=3`3<6=44i8:94?=h9<91<75rb05;>5<1290;w)?84;gg?M2><2B?;h5+a382g>o><3:17d7::188m<0=831b5:4?::k:?7>5;|`11f<72:0;6=u+1669ad=O<0>0D99j;%c1>4?1<75f9483>>i6=:0;66sm35094?5=83:p(<9;:dc8L1?33A>5<7s-;<87kn;I6:0>N3?l1/m?4>9:k:0?6=3`3>6=44o070>5<53;294~"6?=0nm6F;959K02c<,h81=45f9583>>o>=3:17b?:3;29?xd5=l0;6>4?:1y'522=mh1C84:4H55f?!g52830e4:50;9j=0<722e:9>4?::a712=8391<7>t$057>`g<@=3?7E:8e:&b6?7>3`3?6=44i8794?=h9<91<75rb37e>5<4290;w)?84;gb?M2><2B?;h5+a382=>o><3:17d7::188k4342900qo=;5;297?6=8r.:;94ja:J7=1=O<>o0(l<5189j=1<722c297>5;n367?6=3th9:=4?:283>5}#9>>1il5G4868L11b3-k96<74i8694?=n1<0;66a>5283>>{e;=<1<7=50;2x 4132lk0D97;;I64a>"f:3;27d7;:188m<3=831d=8=50;9~f70629086=4?{%340?cf3A>286F;7d9'e7<612c287>5;h;6>5<758fe>N31=1C8:k4$`095<=n1=0;66g65;29?j72;3:17pl=6383>6<729q/=::5e`9K0<2<@==n7)o=:0;8m<2=831b584?::m216<722wi?9650;194?6|,8=?6ho4H5;7?M20m2.j>7?6;h;7>5<50z&231?7>5;|`134<72<0;6=u+1669af=O<0>0D99j;%c1>601<75f9483>>o>>3:17d78:188k4342900qoo0(l<58g9j=1<722c297>5;h;5>5<>o>13:17b?:3;29?xd5>=0;6>4?:1y'522=mh1C84:4H55f?!g52830e4:50;9j=0<722e:9>4?::a71g=8391<7>t$057>`g<@=3?7E:8e:&b6?7>3`3?6=44i8794?=h9<91<75rb0:4>5<2290;w)?84;g`?M2><2B?;h5+a3821>o><3:17d7::188m<0=831b5:4?::m216<722wi=:950;794?6|,8=?6hm4H5;7?M20m2.j>7?:;h;7>5<>o>?3:17b?:3;29?xd3>10;6;4?:1y'522=mm1C84:4H55f?!g521n0e4:50;9j=0<722c2:7>5;h;4>5<5;h;:>5<758fa>N31=1C8:k4$`0953=n1=0;66g65;29?l?12900e4950;9j==<722c257>5;n367?6=3th85h4?:283>5}#9>>1il5G4868L11b3-k9655f9583>>o>=3:17b?:3;29?xd5>o0;6?4?:1y'522=m=1C84:4H55f?l?42900c<;<:188yg21>3:1:;4?:1y'522=9\5k3=p=i4>e;;9b?g=980:<7sa8583?!c62:1/i?4<;%c1>7=#1h087)7m:29'=f<43-3o6>5+9d80?!?a2:1/=;l5879'e4<43-k86>5+a580?!g22:1/m;4<;%c4>6=#i1087)o6:29'ed<43-ki6>5+ab80?!gc2:1/mh4<;%ce>6=#j9087)l>:29'f7<43-h86>5+b580?!d22:1/n;4<;%`4>6=#j1087)l6:29'fd<43-hi6>5+bb80?!dc2:1/nh4<;%`e>6=#k9087)m>:29'g7<43-i86>5+c580?!e22:1/o;4<;%a4>6=#k1087)m6:29'gd<43-ii6>5+cb80?!ec2:1/oh4<;%ae>6=#l9087)j>:29'`7<43-n86>5+d580?!b22:1/h;4<;%f4>6=#l1087)j6:29'`d<43-ni6>5+db80?!bc2:1/hh4<;%fe>6=#m9087)k<:071?!71k3;>>6*>6e822d=#i9087)?80;08m4012900e97?:188m4002900e97>:188m40?2900e<9>:188m414290C=;k4;h346?6=@86483>!7203;=86`>5683?>o6>:0;6)?:8;350>h6=>0:76g>6383>!7203;=86`>5681?>o6>80;6)?:8;350>h6=>0876g>6183>!7203;=86`>5687?>o6=o0;6)?:8;350>h6=>0>76g>5d83>!7203;=86`>5685?>o6=m0;6)?:8;350>h6=>0<76g>5b83>!7203;=86`>568;?>o30o0;6)?:8;6;a>h6=>0;76g;8e83>!7203>3i6`>5682?>o30j0;6)?:8;6;a>h6=>0976g;8c83>!7203>3i6`>5680?>o30h0;6)?:8;6;a>h6=>0?76g;8883>!7203>3i6`>5686?>o3010;6)?:8;6;a>h6=>0=76g;8683>!7203>3i6`>5684?>o30?0;6)?:8;6;a>h6=>0376g:1;29 43?2<:0b<;8:198m1`=83.:954:0:l212<632c?h7>5$07;>06<6`>5680?>o3j3:1(<;7:428j4302=10e9o50;&21=<282d:9:4:;:k7=?6=,8?368>4n074>3=59864>h6=>0<76g;7;29 43?2<:0b<;8:998m10=83.:954:0:l212<>32c?97>5$07;>06<6`>568a?>o3:3:1(<;7:428j4302j10e9?50;&21=<282d:9:4k;:k74?6=,8?368>4n074>`=59864>h6=>0m76gm50;&21=<282d:9:4>2:9j7g<72-;>47;?;o363?7432c8m7>5$07;>065<#9<219=5a145950=59864>h6=>0::65f5683>!7203?;7c?:7;34?>o2>3:1(<;7:428j43028207d;::18'50>==91e=8951898m02=83.:954:0:l212<6i21b9>4?:%36;7?m;:k66?6=,8?368>4n074>4e<3`>n6=4+14:915=i9<=1=i54i5194?"6=10><6`>5682a>=n;10;6)?:8;73?k72?3;m76g:d;29 43?2m7>5$07;>0eo6`>5680?>i0?3:1(<;7:648j4302910c:;50;&21=<0>2d:9:4>;:m47?6=,8?36:84n074>7=81<7*>59842>h6=>0876a81;29 43?2><0b<;8:598k26=83.:95486:l212<232e=j7>5$07;>205684?>i1l3:1(<;7:648j4302110c;m50;&21=<0>2d:9:46;:m5f?6=,8?36:84n074>d=59842>h6=>0i76a98;29 43?2><0b<;8:b98k31=83.:95486:l2125$07;>20568e?>i1<3:1(<;7:648j43028:07b8<:18'50>=??1e=8951098k34=83.:95486:l212<6:21d:<4?:%36;7?<;:m54?6=,8?36:84n074>42<3f?m6=4+14:933=i9<=1=854o6g94?"6=10<:6`>56822>=h?m0;6)?:8;55?k72?3;<76a8c;29 43?2><0b<;8:0:8?j1e290/=865779m501=9010c:o50;&21=<0>2d:9:4>a:9l3<<72-;>4799;o363?7e32e<47>5$07;>205<#9<21;;5a14595a=59842>h6=>0:i65`5d83>!7203==7c?:7;3e?>i?;3:1(<;7:908j4302910c5?50;&21=;:m;4?6=,8?365<4n074>7=l1<7*>598;6>h6=>0876g;8483>!7203>386`>5683?>o30:0;6)?:8;6;0>h6=>0:76g;8383>!7203>386`>5681?>o3080;6)?:8;6;0>h6=>0876s|58a94??|V<3h70<;e;;6?843k33=70<:2;;4?843>33=70<;5;;4?840933?701e|V=h;7S:n2:\6e1=Y=h80R9o>;_7:b>X2<01U9l=4^5cf?[2fl2T?mn5Q4``8Z1gf3W>j56P;a99]0d1X2i01U9l64^4c4?[3f>27?58461:?731<4=27?:44<5:?736<4=27?;?4<5:?734<4=27?;n4<5:?73g<4=278<54<7:?043<4?278<94<7:?047<4?278<=4<7:?1b`<4?279jn4<7:?1bd<4?2799>4<0:?116<6>016?>75319>76?=9?301>9?:27896>d2:=01>6m:25896>f2:=01>66:25896>?2:=01>96:258961?2:=01>98:25896112:=01>9::25897c62:=01?k?:25897ba2:=01?jj:25897bc2:=01?mj:25897ec2:=01?ml:25897ee2:=01?mn:25896d12:=01>l;:25896d52:=01>l?:25896gb2:=01>ol:25896gf2:=01>o7:258910128<37p}:9d83>6}Y=0o0199;:07:?82113;>56s|24294?4|V<>?70<:0;36e>{t=k;1<7:t^4`2?85>l33?70=6c;;7?85>m33?7p}:8983>2g|V<237038o70<38o70<=5;0g?845<38o70<=3;0g?845:38o70?l0;0g?87en38o70?me;0g?87el38o70?mc;0g?87ej38o70?ma;0g?87e138o70?m8;0g?855=38o70==4;0g?855;38o70==2;0g?855938o70==0;0g?856m38o70=>d;0g?856n38o70=<7;0g?854>38o70=<5;0g?854<38o70=<3;0g?854:38o70=<1;0g?854838o70==f;0g?84?l38o70<73;0g?84?:38o70<71;0g?84?838o70<8f;0g?840m38o70<8d;0g?840k38o70<8b;0g?84ek38o7038o70e;0g?826l38o70:96;352>{t;0k1<7i3;>m6s|29f94?4|V<>j70<7d;36e>{t=h?1<7;={_7b1>;41h09h63<9881`>;3??09h63;7581`>;3?<09h63;7b81`>;3?k09h63>a781`>;6i<09h63>a581`>;6i:09h63>a381`>;6i809h63>a181`>;61o09h63>9d81`>;6m=09h63>e281`>;6m;09h63>e081`>;6m909h63>dg81`>;6ll09h63>de81`>;6lj09h63>d381`>;6l809h63>d181`>;6ko09h63>cd81`>;6km09h63>cb81`>;6kk09h63>c`81`>;59109h63=1681`>;59?09h63=1481`>;59=09h63=1281`>;59;09h63=1081`>;59909h63<6381`>;4>809h63<6181`>;4=o09h63<5d81`>;4=m09h63<5b81`>;4=k09h63<7181`>;4>k09h63;4lm09h63;4m909h63;4m;09h63;4m=09h63;4l:09h63;4l909h63;4l809h63;4km09h63;4kj09h63;4n?09h63;4n=09h63;4n;09h63;4n909h63;3>?0?5=5rs24a>5<5sW?=563<6c821d=z{=;>6=4={_723>;3;h0:9l5rs537>5<5sW?::63;38821d=z{=;86=4={_721>;3;10:9l5rs531>5<5sW?:863;36821d=z{=;:6=4={_727>;3;?0:9l5rs533>5<5sW?:>63;34821d=z{=:n6=4={_724>;3;:0:9l5rs52g>5<5sW?;j63;33821d=z{=:h6=4={_73a>;3;80:9l5rs52a>5<5sW?;h63;31821d=z{=:j6=4={_73g>;3:o0:9l5rs52:>5<5sW?;n63;2d821d=z{=:36=4={_73e>;3:m0:9l5rs524>5<5sW?;563;2b821d=z{=:=6=4={_73<>;3:k0:9l5rs526>5<5sW?;;63;2`821d=z{=:86=4={_731>;3:10:9l5rs521>5<5sW?;863;26821d=z{=::6=4={_737>;3:?0:9l5rs523>5<5sW?;>63;24821d=z{:lm6=4={_735>;3:=0:9l5rs2df>5<5sW?;<63;22821d=z{:lo6=4={_6eb>;3:;0:9l5rs2d`>5<5sW>mi63;20821d=z{:li6=4={_6e`>;3:90:9l5rs2db>5<5sW>mo63;1g821d=z{=;h6=4={_72`>;3<90:9l5rs53a>5<5sW?:o63;3g821d=z{=;j6=4={_72f>;3;l0:9l5rs53:>5<5sW?:m63;3e821d=z{=;36=4={_72=>;3;j0:9l5rs534>5<5sW?:463;3c821d=z{=;=6=4={_725>;3;=0:9l5rs52e>5<5sW?;:63;28821d=z{=:?6=4={_6ef>;39l0:9l5rs2d:>5<5sW>mm63;1e821d=z{;h86=4={_7:5>;5jj0:9l5rs3`1>5<5sW?2<63=bc821d=z{;h:6=4={_7;b>;5jh0:9l5rs3`3>5<5sW?3i63=b8821d=z{;km6=4={_7;`>;5j10:9l5rs3cf>5<5sW?3o63=b6821d=z{;ko6=4={_7;f>;5j?0:9l5rs3c`>5<5sW?3m63=b4821d=z{;ki6=4={_7;=>;5j=0:9l5rs5d1>5<5sW>hi63;6786`>{t3?:7p};eg83>7}YvP;cc9>030=;3>?0?46s|4d594?4|V=i?70:96;7a?xu3m?0;6?uQ4b1891012==0q~:j5;296~X3k;168;85479~w1c32909wS:l1:?723<3=2wx8h=50;0xZ1e734>=:7:;;|q7a7<72;qU8oh4=545>14n=7>52z\7f`=:5<5sW>io63;67874>{t39m7p};de83>7}YvP;b89>030=;l1v9jm:181[2e027?:;4l4}r6g;3>?0856s|4e494?4|V=h870:96;7;?xu3n00;6?uQ4e6891012<=0q~:i8;296~X3l:168;85589~w1`02909wS:k2:?723<2>2wx8k850;0xZ1b634>=:7;:;|q7b0<72;qU8i>4=545>02m87>52z\7gc=:5rs5d0>5<5sW>h463;67866>{t3>n7p};e183>7}YvP;b09>030=;11vk:50;ax91?128?>70=?a;13?84ai39;70939;70=78;13?850=39;70{t:ll1<763<5;l;6<;<;|q735<72;hp1?kj:07:?820;3;>m63<24805>;4:=08=63<22805>;4:;08=63<20805>;4:908=63<1d805>;49m0:945230d974=:;:=1?<52324974=:;:?1?<52326974=:;:91?<52320974=:;:;1?<52322974=:;;l1?<5229f950?<5;286>?4=3:1>67<5;2:6>?4=3:3>67<5;=m6>?4=35f>67<5;=o6>?4=35`>67<5;=i6>?4=3``>67<5;hi6>?4=3`b>67<5;h26>?4=3`;>67<5;h<6>?4=3`5>67<5;h>6>?4=3`7>67<5;>m6<;6;<064?7212798l4<1:?10<<492wx>hk50;5x97cb28?j70<;c;;4?843=33?70<81;;6?84a833>70:98;;7?841n3387p}<9c83>6}:;0k1?85238;970=:;0i1=8=4}r64e?6=:1q6?4o514;896?>28?270:8c;36e>;4>;08=63<60805>;4>908=63<5g805>;4=l08=63<5e805>;4=j08=63<5c805>;4?90:945237`950?<5:nm6>?4=2fg>43>349oi7=>;<1f4?56349n=7=>;<1f6?56349n?7=>;<1f0?56349n97=>;<1g7?56349o>7=>;<1g4?56349hj7=>;<1g5?56349hi7=>;<1``?56349hn7=>;<1`g?721278j:4<1:?0b3<49278j84<1:?0b1<49278j>4<1:?0b7<49278j<4<1:?0b5<49278ik4<1:p776:07b?851n33>70=6d;;6?85>k33>70=6e;;6?xu3>h0;6>u247`970=:7c=n7>52z?72g<6=h168;j5959~w1122908w0:86;16?820<38n70:85;36e>{t<><1<743f34><477;;|q72<<72:q68;o5349>03?=9?1?85246:9=0=z{=589>027=9<30198k:070?xu3>o0;6?;t=551>43f34;mi7=>;<3e`?5634;mo7=>;<3ef?5634;mm7=>;<3e=?5634;m47=>;<3e3?5634;m:7=>;<00g?563488n7=>;<00e?56348857=>;<00;<002?56348897=>;<000?563489m7=>;<01=?56348947=>;<013?563489:7=>;<011?56348987=>;<017?563489>7=>;<3`4?5634;ij7=>;<3aa?5634;ih7=>;<3ag?5634;in7=>;<3ae?5634;i57=>;<3ae;12?826l39:70:98;;4?821>3;<=6s|46594?5|5==h6<;6;<64f?72127?;54>529~w11>29099v3;7c821d=:9h<1?<521`7974=:9h>1?<521`1974=:9h81?<521`3974=:9h:1?<5218d974=:90o1?<521d6974=:9l91?<521d0974=:9l;1?<521d2974=:9ml1?<521eg974=:9mn1?<521ea974=:9m81?<521e3974=:9m:1?<521bd974=:9jo1?<521bf974=:9ji1?<521b`974=:9jk1?<5220:974=::8=1?<52204974=::8?1?<52206974=::891?<52200974=::8;1?<52202974=z{8ni6=48{<3b2?5234;n87?:a:?230<>=27:;;465:?23=<>=27:;:465:?23<<>=2wx=4j50;6x94g128?j70=66;;6?85?;33>70=;a;;6?xu6i>0;6?u21`7970=:9>?1=8=4}r3:g?6=5`9>7<3=1=16?5<5959>71?=1<1v70?86;367>{t90h1<7:t=0c7>43f34929779;<1;6??1349?477:;|q2e<<72;q6=l=5349>521=9<90q~?6a;290~;6i:0:9l523869=1=:;1;159523559=0=z{8kj6=4:{<3b6?5234;31=8=4}r3:5`9>7<5=1=16?5>5959>713=1<1v70?8a;367>{t90=1<7:t=0c3>43f3492?779;<1;4??1349?877:;|q2ea<72;q6=4h5349>52d=9<90q~?66;290~;61o0:9l523809=1=:;>l159523519=0=z{8kn6=4={<3:a?5234;p1<7j:07b?85>:33=70=8f;;5?853:33>7p}=3283>2}:9oo1?85222a950g<582>64;4=0:5><3<582364;4=0:4><3<582264;4}r3e1?6==r7:jh4>5`9>6d7=1=16>hl5959>6a>=1=16>;:5959~w4`a2909w0?id;16?87?=3;>?6s|1g694?1|58lo6<;n;<06f?50348247=8;<0b4??3348nm77:;<0g3??2348=?77;;|q145<72;q6=km5349>5=0=9<90q~?i3;293~;6nj0:9l5224c972=::0=1?:5228d9=1=::lk15:522e59=2=::?81595rs322>5<5s4;mn7=:;<3;3?72;2wx=k<50;5x94`e28?j70<:9;14?84>>39<70<6e;;7?84b133>700}:9ok1?85219c9=3=:91h15:5219a9===:9121=8=4}r3e5?6=?r7:jl4>5`9>60>=;>16>4;5369>6h75969>6a0=1>16>;>5959~w7642909w0?i9;16?87?13;>?6s|1g294?1|58l26<;n;<063?50348287=8;<0:g??3348n477:;<0g1??2348>j77;;|q141<72;q6=k65349>5=g=9<90q~?jf;293~;6n10:9l52244972=::091?:5228`9=1=::l215:522e79=2=::5<5s4;m;7=:;<3;f?72;2wx=hk50;5x94`028?j70<:5;14?84>:39<70<6a;;7?84b?33>707}:9o<1?85219a950557z?2b3<6=h16>8:5369>6<7=;>16>475959>6`1=1>16>i:5969>60e=1=1v?<>:181844k39>70<=a;36e>{t:;:1<763<5;826<;n;|q177<72?q6>>l514c894>220>01<69:86894>?20>01<68:86894>>20>0q~<>f;296~;5;h08963=29821d=z{;9:6=4:{<00e?72i27:4;466:?2<=<>>27:4:466:?2<<<>>2wx>2:?01?<8:07b?xu5;90;69u222;950g<58236494=0:4><1<58226494}r02`?6=:r79?54<5:?163<6=h1v?m63>898:<>;6000246s|20a94?4|5;9<6>;4=306>43f3ty9>h4?:4y>661=9e20<01<6l:85894>>2030q~<>b;296~;5;?08963=25821d=z{;8o6=4;{<002?72i27:4l464:?2=27:4n466:p64g=838p1?=::278974428?j7p}=2b83>6}:::?1=8o4=0:a><2<582h64;4}r02=?6=:r79?94<5:?167<6=h1v?m63>8b8:0>{t9j31<763<58n96<;n;|q2g=<72;q6=h=5349>5a7=9<1595216:9=1=:9>=1595216;9=1=z{8i<6=4={<3f6?5234;o<7?:a:p5a?=83?p133=70?88;;5?870?33=70?89;;5?xu6k?0;6?u21d3970=:9jl1=8o4}r3g5`9>52>=1>16=:95969>52?=1>1v70?le;36e>{t9m=1<7=t=0g3>43f34;<4777;<34=???3ty:o94?:3y>5a`=;<16=nj514c8yv7c>3:19v3>dg821d=:9>k1585216`9=3=:9>i15:5216;9=<=z{8i86=4={<3ga?5234;ho7?:a:p5a3=83>p1c383>7}:9mn1?8521b`950g53z?2`a<6=h16=:l5959>52e=1<1v:18187ck39>70?la;36e>{t9m91<743f34;?o5349>64>=97}::;<1?852206950g52z?160<4=279=>4>5`9~w76>2909w0<=4;16?846:3;>m6s|21:94?4|5;886>;4=332>43f3ty9<:4?:3y>674=;<16><>514c8yv7e?3:1>v3>d3801>;6k90:9l5rs0`5>5<5s4;o=7=:;<3ab?72i2wx=o;50;0x94b72:?0170?mb;36e>{t9k;1<763<58hj6<;n;|q2f5<72;q6=nl5349>5g?=9b9821d=z{:8n6=48{<3`4?523498;7?:a:?1e4<>=27:;i464:?1`=<>=279:9465:?723<6><1v70?8d;367>{t9l<1<763<58=n6<;<;|q2a2<72;q6=oj5349>52`=9<90q~?j8;296~;6jj08963>818216=z{8o26=4={<3af?5234;3=7?:3:p5`g=838p1528?87p}>ec83>7}:9k31?852191950552z?2f=<4=27:494>529~w6cb290?w0<>8;16?85a?3;>m63>8e8:0>;3>?0?4k5rs31g>5<5s48:;7=:;<3;`?72;2wx>>k50;0x97712:?01<6j:070?xu5;o0;6?u2207970=:91l1=8=4}r074?6=:r79=94<5:?2=5<6=:1v?:>:181846;39>70?61;367>{t:=81<763<58396<;<;|q106<72;q6>5<5=9<90q~<;4;296~;59908963>958216=z{:936=4>3z?060<5m278>94=e:?066<5m278>?4=e:?064<5m278>=4=e:?05`<5m278=i4=e:?05c<5m278?:4=e:?073<5m278?84=e:?071<5m278?>4=e:?077<5m278?<4=e:?075<5m278>k4=e:?100<6=:1v?h6:181855=39>70=>2;367>{t;8i1<7:t=206>43f3498;7=:;<0ff??2349:?77;;|q1b=<72;q6??:5349>747=9<90q~=>b;290~;4:=0:9l52324970=::lk1595231;9=1=z{;l<6=4={<117?52349:<7?:3:p74g=83>p1><<:07b?854=39>707}:;;81?85231d950554z?067<6=h16?>:5349>6`?=1=16?=;5959~w7`22909w0==1;16?857m3;>?6s|30:94?2|5:8:6<;n;<107?52348n5779;<137??33ty9j94?:3y>776=;<16?=j51418yv56?3:18v3<21821d=:;:81?8522d:9=1=:;9;1595rs3d1>5<5s49:i7=:;<13f?72;2wx?<;50;6x967b28?j70=<0;16?84b?33?705`9>77`=;<16>h95979>6cd=1=1v?h<:181856n39>70=?c;367>{t;8<1<7:t=23e>43f3498=7=:;<0f75>=9<90q~=>3;296~;49;0n:63<128216=z{::=6=4<{<125?57349;47=?;<132?72;2wx?=750;1x96762l<01>>7:04:?85713;>?6s|31694?5|5:;;6>>4=225>66<5::?6<;<;|q042<72:q6?<>5e79>750=9?301>>8:070?xu48;0;6>u231d975=:;9>1?=52310950553z?04c278<94>689>753=9<90q~=?0;297~;48l08<63<03804>;4890:9>5rs220>5<4s49;i7k9;<136?711278<>4>529~w7`b2908w0=?d;13?857839;70{t;9;1<7=t=22g>`0<5::;6<86;<135?72;2wx>km50;1x966d2::01?hj:22897`d28?87p}=fg83>6}:;9i1i;522gg953?<5;lm6<;<;|q1bd<72:q6?=l5319>6ce=;916>ko51418yv4al3:1?v3<0c8f2>;5nj0::4522gf950553z?04d279jl4>689>6cd=9<90q~==d;293~;4;?0:9l522`29=0=:9>o1595216f9=0=::m=159522719=0=:5`9>6<`=1<16=:h5959>52c=1<16>i95979>634=1<168;851708yv55j3:1;v3<35821d=::0o158521929=1=:9>l158522e49=1=::?;15852474953757z?076<6=h16>4j5949>5=7=1=16=5>5949>6a0=1?16>;>5949>030=9?:0q~==9;293~;4;;0:9l5228a9=0=:918159521939=0=::m?1595224d9=0=:5`9>65=4=1<16>i;5979>60c=1<168;8514g8yv55?3:1;v3<31821d=::0k158521969=1=:919158522e69=1=::56z?06c<6=h16>475949>5=2=1<16>i:5979>60e=1<168;8514a8yv42j3:1>v3=6e804>;5=k0:9>5rs347>5<5s48=h7k9;<050?72;2wx>5m50;0x970c28?870<73;16?xu5=h0;6>u227a975=::53z?12f2799o4>689>635=9<90q~<7b;296~;5>j0:9>52290970=z{;?26=4<{<05f?57348>m7=?;<06=?72;2wx>;<50;1x970e2l<01?;n:04:?841:3;>?6s|29c94?4|5;63g=;916>875319>60>=9<90q~<91;297~;5>h0n:63=58822<=::?;1=8=4}r0;=?6=:r79:l4>529>6=6=;<1v?;8:180841139;70<:8;13?842?3;>?6s|27294?5|5;<26h84=37;>40>348=<7?:3:p6=>=838p1?86:070?840n39>7p}=5783>6}::?21?=52245975=::<<1=8=4}r06b?6=;r79:54j6:?112<6>016>8h51418yv4??3:1>v3=698216=::>o1?85rs376>5<4s48=;7=?;<062?57348>97?:3:p60c=839p1?88:d48973128<270<:e;367>{t:1<1<7434348;85319>603=;916>8:51418yv42l3:1?v3=678f2>;5=<0::45224f950552z?123<6=:16>:m5349~w7342908w0<95;13?842<39;70<:3;367>{t:`0<5;??6<86;<06g?72;2wx>5:50;0x970228?870<8b;16?xu5?90;6?u2241972=::>;1=8=4}r05a?6=:r794i4=e:?12c<6=:1v?6j:18184?l39>70<7f;367>{t:>k1<743f3482<779;|q13<<72;q6>5<514c897>a20<0q~<88;296~;5080:9l522829=1=z{;=<6=4={<0;4?72i2794k467:p620=838p1?9i:07b?84>833>7p}=7483>7}::>o1=8o4=3;3><152z?13a<6=h16>5h5999~w7142909w0<8c;36e>;50o0296s|26094?4|5;=i6<;n;<0;b??33ty9554?:3y>6dg=;916>4651418yv4f93:1>v3=a`8f2>;5i80:9>5rs3a6>5<5s48jm7?:3:?1ff<4=2wx>4950;1x97g>2::01?77:22897?028?87p}=a183>6}::h31i;5228:953?<5;k;6<;<;|q1g1<72;q6>l75141897de2:?0q~<66;297~;5i108<63=96804>;51?0:9>5rs3;e>5<4s48j47k9;<0:3?7112795k4>529~w7e42909w0;5jh0896s|28794?5|5;k<6>>4=3;5>66<5;3>6<;<;|q1=`<72:q6>l95e79>6<0=9?301?7j:070?xu5k;0;6?u22`59505<5;h26>;4}r0:0?6=;r79m;4<0:?1=0<48279594>529~w7?c2908w0=3;=563=9e8216=z{;i:6=4={<0b2?72;279n54<5:p6<5=839p1?o::22897?32::01?7<:070?xu51j0;6>u22`79a3=::0>1=;74=3;`>4343ty9o=4?:3y>6d3=9<901?l8:278yv4>:3:1?v3=a5804>;51:08<63=938216=z{;3i6=4<{<0b0?c13482?7?99:?1=g<6=:1v?li:18184f<3;>?63=b7801>{t:0;1<7=t=3c0>66<5;396>>4=3;2>4343ty95l4?:2y>6d5=m?16>4<517;897?f28?87p}=bd83>7}::h91=8=4=3`6>6353z?1e72795<4>689>6522c6970=z{;?:6=4<{<07b?52348><7=:;<066?72;2wx>9h50;0x972a28?j70<:2;;7?xu55`9>61c=1?16>9m5959>604=1?16>985959>613=1?16>:?5979>6c6=1?168;65999~w72c2909w0<;9;16?843m3;>?6s|25594??|5;>26<;n;<07a??3348?o77:;<066??2348?:77:;<071??2348<=778;<0e4??034>=477:;|q004<72;q6?8<5319>717=9<90q~=;a;296~;4=;0n:63<4`8216=z{:;>:22896262::01>:?:070?xu4<00;6>u23439a3=:;=;1=;74=26:>4343ty8:44?:3y>707=9<901>8>:278yv5cj3:19v3<40803>;4m=0:9l523g4970=:;181585235;9=1=z{:9m6=4<{<164?57349?<7=?;<10b?72;2wx?9650;1x96372l<01>:?:04:?85303;>?6s|37:94?4|5:?;6<;<;<154?523ty8hl4?:4y>716=;>16?h=514c896`22:?01>6=:858962?20>0q~=;4;l0:9>5rs264>5<4s49?j7k9;<10b?7112788:4>529~w6002909w0=;f;367>;4=o0896s|3e;94?3|5:9m6>94=2g1>43f349m87=:;<1;5??2349?;77;;|q07a<72:q6?9k5319>76c=;916?>j51418yv53>3:1?v3<4d8f2>;4;l0::452354950552z?00`<6=:16?8k5349~w6b?290>w0=m63;40802;63<478:0>{t;:i1<7=t=26g>66<5:9o6>>4=21`>4343ty8884?:2y>71b=m?16?>j517;8962228?87p}<6483>7}:;=n1=8=4=27g>6355z?07a<4?278i=4>5`9>7c4=;<16?5>5949>713=1=1v>=m:180853k39;70=?6s|35694?5|5:>h6h84=21`>40>349?87?:3:p732=838p1>:l:070?852k39>7p}0}:;:i1?:523ed950g<5:l:6>;4=2:3><1<5:>?64:4}r10e?6=;r788o4<0:?07g<48278?l4>529~w6242908w0=;b;g5?854j3;=563<428216=z{:<86=4={<17f?72;2789o4<5:p7a3=83?p1>=m:25896bb28?j70=i0;16?850n33>70=;3;;7?xu4;00;6?u232c975=:;:31=8=4}r1g0?6==r78?l4<7:?0`a<6=h16?hh5349>72`=1>16?9<5959~w6252909w0=;4<;0:9>5rs2;g>5<6lr78?44<7:?0`c<5m278hi4=e:?0``<5m278i=4=e:?0a4<5m278i?4=e:?0a6<5m278i94=e:?0a0<5m278h>4=e:?0`7<5m278h=4=e:?0gc<5m278h<4=e:?0g`<5m278oi4=e:?0gg<5m278on4=e:?0b2<5m278j;4=e:?0b0<5m278j94=e:?0b6<5m278j?4=e:?0b4<5m278j=4=e:?0ac<5m2785h4>529~w63f2909w0=92;36e>;4>j0296s|34;94?4|5:<:6<;n;<15g??>3ty8954?:3y>736=98l:848yv52?3:1>v3<5g821d=:;?n1585rs275>5<5s49>i7?:a:?02f<>?2wx?8;50;0x963c28?j70=9d;;7?xu4==0;6?u234a950g<5:5`9>73b=1>1v>9?:18085083;>m63<6g8:0>;4>j0286s|37g94?4|5:4343ty8:n4?:3y>73d=;<16?;m51418yv5??3:1>v3<8b804>;40k0:9>5rs2;2>5<5s493o7?99:?0=3<6=:1v>77:18185?k3;>?63<9b8:2>{t;1<1<766<5:2j6<;<;|q0=5<72;q6?5l517;896?228?87p}<8483>7}:;1k1?=5239;950552z?0016?4:51418yv5?<3:1>v3<88804>;4010:9>5rs2:f>5<5s49357?99:?0=6<6=:1v>6k:18185?03;=563<938216=z{:=?6=4={<14=?57349<47?:3:p72c=838p1>96:04:?85?;3;>?6s|38594?4|5:=26<;<;<1:g??03ty8;>4?:3y>72>=;916?:951418yv50l3:1>v3<79822<=:;181=8=4}r146?6=:r78;:4<0:?033<6=:1v>9l:181850?3;=563<808216=z{:=:6=4={<142?57349<97?:3:p72d=838p1>99:04:?85?83;>?6s|36c94?4|5:=>6<86;<14b?72;2wx>im50;0x97c62::01?k?:070?xu5m?0;6?u22d3953?<5;oi6<;<;|q1aa<72;q6>h?5141897`72020q~6=4={<0f4?711279il4>529~w7bf2909w0?6s|2d694?4|5;nm6<86;<0f=?72;2wx>i750;0x97bb2::01?jk:070?xu5m:0;6?u22eg953?<5;o36<;<;|q1a7<72;q6>ij517;897c028?87p}=c883>7}::jo1?=522bf950552z?1g`<6>016>i651418yv4bk3:1>v3=cd8216=::o:1545rs3a;>5<5s48hh7=?;<0`g?72;2wx>i<50;0x97ec28<270{t:j=1<766<5;ii6<;<;|q1`4<72;q6>nm517;897b128?87p}=c783>7}::jh1?=522bc950552z?1gg<6>016>i;51418yv4dn3:1>v3=c`822<=::m>1=8=4}r1`0?6=7db=1=1v>m=:18785cl39>70=lb;36e>;41;02;63{t;j91<7:t=2ff>63<5:ih6<;n;<1:6??2349jn77;;|q0g0<72=q6?h>5349>7fc=97<:87896ga20>0q~=l6;290~;4m80896315:523c39=1=z{:i<6=4;{<1f6?52349o<7?:a:?0=1<>=278n>464:p7f>=83>p1>k<:27896b628?j70=65;;4?85e=33?7p}1}:;l>1?8523e0950g<5:3>64;4=2`4><254z?0a0<4=278h>4>5`9>7<0=1=16?n?5959~w6bd290?w0=j5;36e>;4n>08963<828:0>;4;4=2a3>4343ty8m;4?:3y>7a4=;<16?oh51418yv5f<3:1>v3;4jm0:9>5rs2c0>5<5s49hj7=:;<1ag?72;2wx?l;50;0x96b62:?01>lj:070?xu4i;0;6?u23bg970=:;kh1=8=4}r1b5?6=:r78oi4<5:?0fd<6=:1v>7i:18185dj39>70=m8;367>{t;h:1<763<5:h26<;<;|q0f3<72;q6?n>5319>7g0=9<90q~=l1;296~;4k90n:63l9:04:?85e?3;>?6s|3c094?5|5:hn6>>4=2`7>66<5:h96<;<;|q0f0<72:q6?ok5e79>7g2=9?301>l::070?xu4j90;6>u23cf975=:;k81?=523c2950553z?0fa278n?4>689>7g5=9<90q~=ne;297~;4jj08<63;4il0:9>5rs2`2>5<4s49io7k9;<1a4?711278n<4>529~w6gd2908w0=mb;13?85fm39;70=nc;367>{t;hl1<7=t=2`a>`0<5:kn6<86;<1bb?72;2wx?lo50;1x96df2::01>ol:22896gf28?87p}6}:;kk1i;523`a953?<5:ko6<;<;|q0e=<72:q6?o75319>7dg=;916?l651418yv5fj3:1?v3;4ih0::4523``950553z?0f=278m54>689>7d?=9<90q~=jd;290~;4n?0:9l5219g9=1=:91n1585247490=b54z?0b0<6=h16=5h5959>5=c=1<168;8549a8yv5bj3:18v34>5`9>5<7=1=16=4>5949>030=<1k0q~=j9;290~;4n;0:9l521809=1=:90;1585247490=?54z?0b4<6=h16=4=5959>5<4=1<168;8549:8yv5b?3:18v3159521819=0=:5`9>5<2=1<168;854948yv2393:1>8u242c96`=:<:31>h5242:96`=:<:=1>h5242496`=:<:?1>h5242196`=:<:81>h5242396`=:<::1>h5243d96`=:<;o1>h5243f96`=:<;i1>h5243`96`=:<;k1>h5243:96`=:<;=1>h5243496`=:<;?1>h5243696`=:<;91>h5243096`=:<;;1>h5243296`=:<8l1>h5245296`=:<:l1>h5242g96`=:<:n1>h5242a96`=:<:h1>h5242696`=:<;31>h5240g96`=:<8n1>h522549505>i7>52z?77d<4=27?:;473:p00b=838p19=6:27891012>=0q~::c;296~;3;108963;67841>{t<63<5=<=6:=4}r66e?6=:r7??;4<5:?723<0:2wx88750;0x91522:?01989:638yv22?3:1>v3;32801>;3>?0<<6s|44494?4|5=996>;4=545>3`>97>52z?774<4=27?:;49e:p002=838p19=?:278910121;0q~::3;296~;3:o08963;6785`>{t<<81<763<5=<=6;m4}r665?6=:r7?>i4<5:?723<1j2wx88>50;0x914d2:?01989:7c8yv23n3:1>v3;2c801>;3>?0=46s|45g94?4|5=8j6>;4=545>31?o7>52z?76=<4=27?:;496:p01d=838p19<8:27891012??0q~:;a;296~;3:?08963;678;4>{t<=31<763<5=<=6;:4}r6794<5:?723<1;2wx89950;0x91442:?01989:708yv23>3:1>v3;23801>;3>?0==6s|45794?4|5=8:6>;4=545>36?87>52z?765<4=27?:;4:f:p015=838p19?i:27891012>o0q~:95;296~;3<908963;6784`>{t1<763<5=<=6:h4}r657?6=:r7??h4<5:?723<0k2wx8;<50;0x915c2:?01989:6`8yv2193:1>v3;3b801>;3>?0;4=545>2?>j7>52z?771<4=27?:;488:p00>=838p19<6:27891012>>0q~:;d;296~;39l08963;6785=>{t<=81<763<5=<=68k4}rd0>5<5s49=h7?:3:?02f<>02wx=><50;0x97?728?870<7f;;:?xu4n10;68u238f9505<5=<=696:;<652?2?;27?:;4;839>030=<1;0q~:97;296~;3>10:9>52474953142>3ty?j=4?:3y]0fb<5;h18nj4$55g>42f3ty?ik4?:3y]0fe<5;h18nm4$55g>42e3ty?ih4?:3y]0fd<5;h18nl4$55g>42d3ty?ii4?:3y]0fg<5;h18no4$55g>c3no7>52z\7g<=::k0?o45+46f9b==z{=oj6=4={_6`3>;5j3>h;6*;7e8ea>{t{t7):8d;320>{t{t{t{t1<7{t{t{t{t{t{t{t{t{t{t{t7):8d;30g>{t{t{t{t{t{t{t{t1<7{t{t{t{t{t=121<7{t=0i1<7{t=0o1<7{t=h?1<77):8d;d5?xu2j80;6?uQ5c3897d==k;0(99k:g58yv34j3:1>vP:169>6g<29>1/8:j5f89~w05>2909wS;>6:?1f?36>2.?;i4ia:p16>=838pR8?:;<0a>0723->=>522c8656=#<>n1ji5rs416>5<5sW?:>63=b;726>"3?m0mj6s|52194?4|V<;;706s|52294?4|V<:o70706s|53294?4|V=lo70?70=706s|55c94?4|V<>j705<5sA>7}O<>o0qclm9;296~N3?l1vboln:181M20m2wenol50;0xL11b3tdinn4?:3yK02c52zJ73`=zfkhn6=4={I64a>{ijkl1<7vF;7d9~jge52909wE:8e:mff5=838pD99j;|lag1<72;qC8:k4}o``1?6=:rB?;h5rnca5>5<5sA>7}O<>o0qcll9;296~N3?l1vbomn:181M20m2wennl50;0xL11b3tdion4?:3yK02c52zJ73`=zfkin6=4={I64a>{ijjl1<7vF;7d9~jgb52909wE:8e:mfa5=838pD99j;|la`1<72;qC8:k4}o`g1?6=:rB?;h5rncf5>5<5sA>7}O<>o0qclk9;296~N3?l1vbojn:181M20m2wenil50;0xL11b3tdihn4?:3yK02c52zJ73`=zfknn6=4={I64a>{ijml1<7vF;7d9~jgc52909wE:8e:mf`5=838pD99j;|laa1<72;qC8:k4}o`f1?6=:rB?;h5rncg5>5<5sA>7}O<>o0qclj9;296~N3?l1vbokn:181M20m2wenhl50;0xL11b3tdiin4?:3yK02c52zJ73`=zfkon6=4={I64a>{ijll1<7vF;7d9~jg`52909wE:8e:mfc5=838pD99j;|lab1<72;qC8:k4}o`e1?6=:rB?;h5rncd5>5<5sA>7}O<>o0qcli9;296~N3?l1vbohn:181M20m2wenkl50;0xL11b3tdijn4?:3yK02c52zJ73`=zfkln6=4={I64a>{ijol1<7vF;7d9~jf652909wE:8e:mg55=838pD99j;|l`41<72;qC8:k4}oa31?6=:rB?;h5rnb25>5<5sA>7}O<>o0qcm?9;296~N3?l1vbn>n:181M20m2weo=l50;0xL11b3tdh52zJ73`=zfj:n6=4={I64a>{ik9l1<7k;0;65<6sA>4}O<>o0qco=4;295~N3?l1vbl<::182M20m2wem?850;3xL11b3tdj>:4?:0yK02c51zJ73`=zfh826=4>{I64a>{ii;k1<7?tH55f?xhf:k0;65<6sA>4}O<>o0qco<4;295~N3?l1vbl=::182M20m2wem>850;3xL11b3tdj?:4?:0yK02c51zJ73`=zfh926=4>{I64a>{ii:k1<7?tH55f?xhf;k0;65<6sA>4}O<>o0qco;4;295~N3?l1vbl:::182M20m2wem9850;3xL11b3tdj8:4?:0yK02c51zJ73`=zfh>26=4>{I64a>{ii=k1<7?tH55f?xhf5<6sA>4}O<>o0qco:4;295~N3?l1vbl;::182M20m2wem8850;3xL11b3tdj9:4?:0yK02c47>51zJ73`=zfh?26=4>{I64a>{ii5<6sA>4}O<>o0qco94;295~N3?l1vbl8::182M20m2wem;850;3xL11b3tdj::4?:0yK02c51zJ73`=zfh<26=4>{I64a>{ii?k1<7?tH55f?xhf>k0;65<6sA>4}O<>o0qco84;295~N3?l1vbl9::182M20m2wem:850;3xL11b3tdj;:4?:0yK02c51zJ73`=zfh=26=4>{I64a>{ii>k1<7?tH55f?xhf?k0;65<6sA>4}O<>o0qco74;295~N3?l1vbl6::182M20m2wem5850;3xL11b3tdj4:4?:0yK02c51zJ73`=zfh226=4>{I64a>{ii1k1<7?tH55f?xhf0k0;6c290:wE:8e:me=c=83;pD99j;|lb5<6sA>4}O<>o0qco64;295~N3?l1vbl7::182M20m2wem4850;3xL11b3tdj5:4?:0yK02c51zJ73`=zfh326=4>{I64a>{ii0k1<7?tH55f?xhf1k0;6k3:1=vF;7d9~jd?c290:wE:8e:me5<6sA>4}O<>o0qcon4;295~N3?l1vblo::182M20m2weml850;3xL11b3tdjm:4?:0yK02c51zJ73`=zfhk26=4>{I64a>{iihk1<7?tH55f?xhfik0;65<6sA>4}O<>o0qcom4;295~N3?l1vbll::182M20m2wemo850;3xL11b3tdjn:4?:0yK02c51zJ73`=zfhh26=4>{I64a>{iikk1<7?tH55f?xhfjk0;65<6sA>4}O<>o0qcol4;295~N3?l1vblm::182M20m2wemn850;3xL11b3tdjo:4?:0yK02c51zJ73`=zfhi26=4>{I64a>{iijk1<7?tH55f?xhfkk0;65<6sA>4}O<>o0qcok4;295~N3?l1vblj::182M20m2wemi850;3xL11b3tdjh:4?:0yK02c51zJ73`=zfhn26=4>{I64a>{iimk1<7?tH55f?xhflk0;65<6sA>4}O<>o0qcoj4;295~N3?l1vblk::182M20m2wemh850;3xL11b3tdji:4?:0yK02c51zJ73`=zfho26=4>{I64a>{iilk1<7?tH55f?xhfmk0;65<6sA>4}O<>o0qcoi4;295~N3?l1vblh::182M20m2wemk850;3xL11b3tdjj:4?:0yK02c51zJ73`=zfhl26=4>{I64a>{iiok1<7?tH55f?xhfnk0;65<6sA>4}O<>o0qcl?4;295~N3?l1vbo>::182M20m2wen=850;3xL11b3tdi<:4?:0yK02c51zJ73`=zfk:26=4>{I64a>{ij9k1<7?tH55f?xhe8k0;65<6sA>4}O<>o0qcl>4;295~N3?l1vbo?::182M20m2wen<850;3xL11b3tdi=:4?:0yK02c51zJ73`=zfk;26=4>{I64a>{ij8k1<7?tH55f?xhe9k0;65<6sA>4}O<>o0qcl=4;295~N3?l1vbo<::182M20m2wen?850;3xL11b3tdi>:4?:0yK02c51zJ73`=zfk826=4>{I64a>{ij;k1<7?tH55f?xhe:k0;65<6sA>4}O<>o0qcl<4;295~N3?l1vbo=::182M20m2wen>850;3xL11b3tdi?:4?:0yK02c51zJ73`=zfk926=4>{I64a>{ij:k1<7?tH55f?xhe;k0;65<6sA>4}O<>o0qcl;4;295~N3?l1vbo:::182M20m2wen9850;3xL11b3tdi8:4?:0yK02c51zJ73`=zfk>26=4>{I64a>{ij=k1<7?tH55f?xhe5<6sA>4}O<>o0qcl:4;295~N3?l1vbo;::182M20m2wen8850;3xL11b3tdi9:4?:0yK02c47>51zJ73`=zfk?26=4>{I64a>{ij5<6sA>4}O<>o0qcl94;295~N3?l1vbo8::182M20m2wen;850;3xL11b3tdi::4?:0yK02c51zJ73`=zfk<26=4>{I64a>{ij?k1<7?tH55f?xhe>k0;65<6sA>4}O<>o0qcl84;295~N3?l1vbo9::182M20m2wen:850;3xL11b3tdi;:4?:0yK02c51zJ73`=zfk=26=4>{I64a>{ij>k1<7?tH55f?xhe?k0;65<6sA>4}O<>o0qcl74;295~N3?l1vbo6::182M20m2wen5850;3xL11b3tdi4:4?:0yK02c51zJ73`=zfk226=4>{I64a>{ij1k1<7?tH55f?xhe0k0;6c290:wE:8e:mf=c=83;pD99j;|la5<6sA>4}O<>o0qcl64;295~N3?l1vbo7::182M20m2wen4850;3xL11b3tdi5:4?:0yK02c51zJ73`=zfk326=4>{I64a>{ij0k1<7?tH55f?xhe1k0;6k3:1=vF;7d9~jg?c290:wE:8e:mf5<6sA>4}O<>o0qcln4;295~N3?l1vboo::182M20m2wenl850;3xL11b3tdim:4?:0yK02c51zJ73`=zfkk26=4>{I64a>{ijhk1<7?tH55f?xheik0;65<6sA>4}O<>o0qclm4;295~N3?l1vbol::182M20m2wvqpNOCzg31?0299njn?sO@Cy3yEFWstJK \ No newline at end of file Index: trunk/cores/afifo_256x36.ngc =================================================================== --- trunk/cores/afifo_256x36.ngc (revision 2) +++ trunk/cores/afifo_256x36.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.5e -$5f641<,[o}e~g`n;"2*776&=$95-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1193456789:;<8;?0452?45<9'::7??>;209MKVR\3NB0>?50?31?64=G\^[YY4KO=12>586:29=6D@_UU8gmk:4=3:5=95<6;MVPUSS2me~x1=::1<7?12388:087GAPTV9@LV;;3:5j6:5IORVP?QB4:0;24?>0281sj98:jk?>37/25>033?32:<>468;5=30>1?<2:4791:;7?<671;12:55667;5=<06;231EC^ZT;PGEABU410;2<=49;KMTPR=_[KOH_27:1<2a>?=AGZ^X7~}of]fiur~W}byi~f38;2=60=>2@D[YY4rne\ahvsqV~c~h}g_`qpawr;03:5>856:HLSQQ2@D[YY4rne\bpjkW}byi~f38;2=61=>2@D[YY4rne\bpjkW}byi~fParqfvq:?29498675IORVP?vugnUmyabPtipfwmYimnki1650?3;?<2NB0<>16:FJ8479>2NB0<<16:FJ8459>2NB0<:16:FJ8439>2NB0<816:FJ8419>2NB0<616:FJ84?9=2NB0<09;EK?65813MC7><09;EK?67813MC7>>09;EK?61813MC7>809;EK?63813MC7>:09;EK?6=813MC7>40:;EK?6;005?69>2NB0>?15:FJ86823MC783;4DH>6:0=CA5<596JF<6<6?AO;07?0HD26>79GMU:76?1OE]2>>79GMU:5611OE]2<:1<5?AOW4:4>7IA30?48@J:687<0HB2>1?48@J:6:7<0HB2>3?48@J:6<7<0HB2>5?48@J:6>7<0HB2>7?48@J:607<0HB2>9?78@J:66?1OC179GK9466?1OC1<=>79GK9446?1OC1<;>79GK9426?1OC1<9>79GK9406?1OC1<7>79GK94>6<1OC1<16:FL866902ND0>?50?48@J:497?0HB2<>49GK929=2ND080:;EM?2;3BH404=7IA_<1<5?AIW484=7IA_<3<;?AIW4:0;2;5KOQ>0:3=CGVXNK85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H:4FG030>@ANL?0Jlb|e09D7>AIL81B>6G?2:K26>O5:2C8>6G;2:K6<>OIA]ZT<=64IOKWTZ6602CEEY^P03:8MKOSXV:846GAIUR\41>:8:KMMQVX8?20ECG[P^24=>OIA]Y_MYK8;HLJPZ67?2CEEYQ?169JJLRX8;=0ECG[_114?LHN\V:?;6GAIU]312=NF@^T<;94IOKW[5103@DBXR>77:KMMQY71>1BBDZP0@58MKOSW9H<7D@FT^2@3>OIA]U;H:5FNHV\4@1169JJLRX9;=0ECG[_014?LHN\V;?;6GAIU]212=NF@^T=;94IOKW[4103@DBXR?77:KMMQY61>1BBDZP1@58MKOSW8H<7D@FT^3@3>OIA]U:H:5FNHV\5@1;94IOKW[7103@DBXR<77:KMMQY51>1BBDZP2@58MKOSW;H<7D@FT^0@3>OIA]U9H:5FNHV\6@11BBDZP3@58MKOSW:H<7D@FT^1@3>OIA]U8H:5FNHV\7@1H6;HLJPZ@NDL90ECX<;HMA6>JN>2FDMIKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__:85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh43G::>6@>3:L241=I99;?7C??229M54286@>1768J470<2D:=5:4N03:7>H6:=1E=?>;;O3151=I9;8?7C?=359M57233G;9995A1347?K75?=1E=?6;;O31=6=I9:>0B<=?4:L27423268J453<2D:?8:4N0150>H6;>>0B<=74:L27<5?7C?;059M51733G;?>95A1517?K73<=1E=9;;;O3721=I9==?7C?;859M51?43G;>86@>5168J436<2D:9?:4N0770>H6=<90B<8<;O347>H60:1E=4=4N320?K46;2D9>>5A2218J7243G8>?6@=629M625<<;O107>H4<:1E?8=4N240?K50;2D84>5A3818J1643G>:>6@92:L46>H?:2D2?6@6629M=25VUM81Z56\NMC3;WAV>3[NJHI\30?;8VAGCL[6:245]D@FGV94912XOMIJ]<2<:?WBFLMX78374RECG@W:2601YHLJKR=4==>TCIMNY0:0m;SFB@AT;03:556\KAEFQ8=823[NH@F:4REGM1>TBOJO:7^:4S@DF<>UF^LNJXL?<;RKN[FIKD@YBCCQLHDAH<>UNEVGDHH64SJKS[UOI>2YDY_MJ5:QQRDJ43ZYY;6ZFENDE42=SALEMJ<:4TXRF76=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?01]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq4566W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw678=UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=>:_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2343YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:?0^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2344YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt7898T^h}zlu306>S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=><_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r1230ZTb{|f=><4U1-dvc(un&mht#mcky-N|jtXzmU{by|Pgb]{kw6785Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQmio]{kw6789UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[goiWqey<=>>_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]tvZvi|{UiecQwos2347YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW~xT|cz}_ckm[}iu89:8S_k|umv276=R8&myj#|i/fa{*fjlp&GscQxr^rmpwYeagUsc>?05]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySoga_ymq4562W[oxyaz>329V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]amkYg{:;<;Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWkceSua}0124[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv5678VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4564W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;8R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?04]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[rtXxg~ySjmPxnp3450XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^e`[}iu89:<5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb1<1209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=1=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj929:81^<"i}f/pe+be&jf`t"|k_qlwvZdnf5?5><5Z0.eqb+ta'nis"nbdx.pg[uhszVhbb181209V4*aun'xm#jmw.bnh|*tcWyd~Rlfn=5=64=R8&myj#|i/fa{*fjlp&xoS}`{r^`jj9>9:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV:9<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS< gsd-vc)`kq$h`fv re]sjqtXj`dT:?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ8219V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^:12>S7'nxm"h gbz-gim'{nT|cz}_ckm[}iu89:;>;5Z0.eqb+ta'nis"nbdx.pg[uhszVhbbRv`r123570<]9%l~k }f.e`|+ekcq%yhR~ats]amkYg{:;2_;#j|i.sd,cf~)keas#jPpovq[goiWqey<=>;279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv567=;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0705?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt789=9<6[?/fpe*w`(ojr%oaew/sf\tkruWni7<3>328Q5)`zo$yj"ilx/aoo})ulVzexQhc=0=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`868582_;#j|i.sd,cf~)keas#jPpovq[be;<78;7X> gsd-vc)`kq$h`fv re]sjqtXoj6>2?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo181219V4*aun'xm#jmw.bnh|*tcWyd~Ril<6<14>S7'nxm"h gbz-gim'{nT|cz}_fa?<;7a3\:$kh!rg-dg}(ddbr$~iQnup\cfY79o1^<"i}f/pe+be&jf`t"|k_qlwvZadW8;m7X> gsd-vc)`kq$h`fv re]sjqtXojU9=k5Z0.eqb+ta'nis"nbdx.pg[uhszVmhS>?i;T2,cw`)zo%lou lljz,vaYwf}xTknQ;1g9V4*aun'xm#jmw.bnh|*tcWyd~Ril_43e?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]55c=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[27a3\:$kh!rg-dg}(ddbr$~iQnup\cfY?:?1^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyij2?>348Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`a;978=7X> gsd-vc)`kq$h`fv re]sjqtXojUjkh<3<12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo595>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>7:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm793<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8385>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk191279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:?6;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP0378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX9;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP2378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX;;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP4378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX=;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP6378Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aX?;?0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiP83;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86;2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:66;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>1:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2<>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86?2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:26;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>5:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<28>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8632?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y7:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^31<>S7'nxm"h gbz-gim'{nT|cz}_fa\evtboVn:S?<7;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd[a7X;;20Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0]76==R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumnUo=R;=8:W3+bta&{l$knv!cmi{+wbXxg~ySjmParpfcZb6W?837X> gsd-vc)`kq$h`fv re]sjqtXojUjkh_e3\37><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh>249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4565:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=><249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4563:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>:249V4*aun'xm#jmw.bnh|*tcWyd~Ril_ymq4561:<1^<"i}f/pe+be&jf`t"|k_qlwvZadWqey<=>8209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=2=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj979:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf585><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb1=1209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=6=64=R8&myj#|i/fa{*fjlp&}yS}`{r^`jj939:81^<"i}f/pe+be&jf`t"y}_qlwvZdnf5<5><5Z0.eqb+ta'nis"nbdx.uq[uhszVhbb191209V4*aun'xm#jmw.bnh|*quWyd~Rlfn=:=65=R8&myj#|i/fa{*fjlp&}yS}`{r^`jjZ6582_;#j|i.sd,cf~)keas#z|Ppovq[goiW88;7X> gsd-vc)`kq$h`fv ws]sjqtXj`dT>?>4U1-dvc(un&mht#mcky-tvZvi|{UiecQ<219V4*aun'xm#jmw.bnh|*quWyd~Rlfn^614>S7'nxm"h gbz-gim'~xT|cz}_ckm[0473\:$kh!rg-dg}(ddbr${Qnup\flhX>;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU<>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR6=6:W3+bta&{l$knv!cmi{+rtXxg~ySoga_ymq4567:?1^<"i}f/pe+be&jf`t"y}_qlwvZdnfVrd~=>?1348Q5)`zo$yj"ilx/aoo})pzVzexQmio]{kw678;8=7X> gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01112>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:?>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123170<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<;<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp3451582_;#j|i.sd,cf~)keas#z|Ppovq[be;878;7X> gsd-vc)`kq$h`fv ws]sjqtXoj6:2?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1<1219V4*aun'xm#jmw.bnh|*quWyd~Ril<2<14>S7'nxm"h gbz-gim'~xT|cz}_fa?0;473\:$kh!rg-dg}(ddbr${Qnup\cf:26;:0Y=!hrg,qb*adp'iggu!xr^rmpwY`k5<5>=5Z0.eqb+ta'nis"nbdx.uq[uhszVmh0:0=0:W3+bta&{l$knv!cmi{+rtXxg~ySjm38?3e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]35c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[47a3\:$kh!rg-dg}(ddbr${Qnup\cfY59o1^<"i}f/pe+be&jf`t"y}_qlwvZadW:;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU?=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS8?i;T2,cw`)zo%lou lljz,swYwf}xTknQ91g9V4*aun'xm#jmw.bnh|*quWyd~Ril_63e?P6(o{l%~k!hcy,`hn~({U{by|Pgb];63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>;:73<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\773<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT8?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\173<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT:?;4U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\373<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmT4?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4:?6;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]36==R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumnUo=R?=8:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfcZb6W;837X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3\77><]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlmTh85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012360=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89::>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012160=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:8>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012760=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:>>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012560=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:?_b{?0;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}939;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;>79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5=5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs743:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Ugyy2>>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;?_mww868382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;<7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6>29>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x181419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<6<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?<;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:>6=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5;58=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey0?0;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|33?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>7:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv939<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu4?4?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx7;3:?;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Usc27>528Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-NeabXl`lmS}`{r^rb`Zgcl9:;<9:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/`fiqvrblV}yS}`{r^pg[ugc4948m6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#ljeurvf`ZquWyd~R|k_qcg8586:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;978m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvfl585>k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhn7?39:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdb;178n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV;9i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU9>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT??k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS9!re-dvdu)oyo%~i~j/ekebZvi|{U{miQ92d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP73g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_90f?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^;03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a67896:2>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238784?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2<>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674=48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>6:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;0;0<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:06:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<9<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789622?l4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!rne\ahvsqVc8:6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#}|`g^gntqXaVif|Rbj012372=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*vugnUna}zv_h]`iuYkm9:;<<=m;T2,cw`)zo%l`= }d.eqev(`xl$yh}k psmd[`kw|pUbSnc_mg34566WZ];>45Z0.eqb+ta'nf;"j gscp*wus{&i;#no}rxlfp969:01^<"i}f/pe+bj7&{n$ko|.sqww*e7'jky~t`jt=3=6<=R8&myj#|i/fn3*wb(o{kx"}{s.a3+fguzpdnx1<1289V4*aun'xm#jb?.sf,cwgt&{y"m?/bcqv|hb|595>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_5[)od;%a<>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?31?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5949::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';7?3<<;T2,cw`)zo%l`= }d.eqev(u{}y$o=!y1=6=6g=R8&myj#|i/fn3*wb(o{kx"}{s.a3+s7X8Vkeh=>?000a?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5Z7Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m?/w3\6Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P4^llp56788827X> gsd-vc)`d9$yh"i}ar,qwqu(k8%hm|vndv?4;4>3\:$kh!rg-dh5(ul&mym~ }suq,g4)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*auiz$yy} c0-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_4[)ody%a~/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*auiz$yy} c0-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7;87887X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1?1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?6;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q9595>>5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?34?0a?P6(o{l%~k!hl1,q`*auiz$yy} c0-u5Z6Xign;<=>>2c9V4*aun'xm#jb?.sf,cwgt&{y"m>/w3\5Zgil9:;<<o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P3^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R:Pnnv34566:91^<"i}f/pe+bj7&{n$ko|.sqww*ehey:9<6[?/fpe*w`(oe:%~i!hr`q-vvrt'jef|<<6;T2,cw`)zo%l`= }d.eqev(u{}y$~lcPelrw}Z`eW`9;7X> gsd-vc)`d9$yh"i}ar,qwqu(zhgTi`~{y^da[lYhz9:;<>?4U1-dvc(un&mg<#|k/fpbw+tt|z%ym`Qjmqvz[cdXaVey<=>?1348Q5)`zo$yj"ic0/pg+btf{'xxx~!}al]fiur~W`;o7X> gsd-vc)`d9$yh"|nm^pg[`hXa8887X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq75<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfex?<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw772<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~?>95Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu710>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|?8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{7368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr?:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f;:78h7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5>5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?2;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw36?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6<2R|{2`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6;2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;978j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8785i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=1=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc2;>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx793;:7b<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Ttb|38?]qp4`<]9%l~k }f.eo4+tc'{zex!lotlw8586n2_;#j|i.sd,ci6)zm%y|cz}/bmvjq:668l0Y=!hrg,qb*ak8'xo#~ats-`kphs4;4:j6[?/fpe*w`(oe:%~i!}povq+firf}682f:W3+bta&{l$ka>!re-qtkru'je~by2:>0d8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{<7<2b>S7'nxm"h gm2-va)uxg~y#naznu>4:4`<]9%l~k }f.eo4+tc'{zex!lotlw8=86m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY79l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX98o0Y=!hrg,qb*ak8'xo#~ats-`kphsW;;n7X> gsd-vc)`d9$yh"|nup,gjsi|V9:i6[?/fpe*w`(oe:%~i!}povq+firf}U?=h5Z0.eqb+ta'nf;"j rqlwv*eh}g~T9e:W3+bta&{l$ka>!re-qtkru'je~byQ7239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>3:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;97897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0?0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=1=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:36;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;793<=;T2,cw`)zo%l`= }d.psjqt(kfexRj><7<16>S7'nxm"h gm2-va)uxg~y#naznu]g5919:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl8632??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P0338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\577<]9%l~k }f.eo4+tc'{zex!lotlw[a7X:;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T???4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P4338Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3\177<]9%l~k }f.eo4+tc'{zex!lotlw[a7X>;;0Y=!hrg,qb*ak8'xo#~ats-`kphsWm;T;??4U1-dvc(un&mg<#|k/srmpw)dg|dSi?P8368Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_omw4566;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;879m7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Ydq5;5?k5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7>3=i;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Uhu1=13g9V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Snw34?1e?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qly=7=7c=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_b{?2;5a3\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]`}919;o1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[f;07>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6:29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x1<1419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<2<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pltv?0;273\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(EhnoSigif^rmpwYwimUjhi>?01]oqq:26=:0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Zjr|5<58=5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"Cnde]gmc`Xxg~yS}ok_`fg4567We0:0;0:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:T`xz38?63?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,IdbcWmcmjR~ats]seaYflm:;<=Qcuu>::16<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^zlv919<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[}iu414?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xpfx753258Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok_`fg45674148;6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimUjhi>?01>::7g<]9%l~k }f.eo4+qu'n}j#if/uqtc)wzfmTjxbc_h11?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,twi`Wog`RgPmtz34554;2_;#j|i.sd,ci6){%l{l}!gqd-swva'yxdkRhzlm]j[hs89:8=><4U1-dvc(un&mg<#y}/fubw+awn'}y|k!rne\bpjkW`Uecy>?0010?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,twi`Wog`RgPnnv34576:11^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&}yS}{_e31=>S7'nxm"h gm2-sw)`hy%{~z|/b3,gdtuqgo0=0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n? c`pq}kcs484956[?/fpe*w`(oe:%{!hw`q-svrt'j;$ol|}yogw878512_;#j|i.sd,ci6){%l{l}!wrvp+f7(khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b3,chs&ngP

<1<17>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>0:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64=49n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b3,r4Y3Wge<=>?13;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.abvwim}6;2?74U1-dvc(un&mg<#y}/fubw+qt|z%h>"mnrs{maq:66;30Y=!hrg,qb*ak8'}y#jyns/uppv)d:&ij~waeu>1:7?<]9%l~k }f.eo4+qu'n}j#y|tr-`6*efz{seiy2<>3d8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.enq}(`eR:V"jc>.l31b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP=P hmr,nw7`<]9%l~k }f.eo4+qu'n}j#y|tr-`6*aj}q$laV318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t28485;2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8692?=4U1-dvc(un&mg<#y}/fubw+qt|z%h>"x><2<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:36;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:S=Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f4(~8U:Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p6W;Ujbi>?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y4Whdo<=>?13`8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l2.t2[1Yig}:;<=?=0:W3+bta&{l$ka>!ws-dsdu)z~x#nabp003?P6(o{l%~k!hl1,tv*apiz$|y} cnos67><]9%l~k }f.eo4+qu'n}j#y|tr-qehYa}efTjoQf249V4*aun'xm#jb?.vp,crgt&~y"|nm^dvhiYn:j1^<"i}f/pe+bj7&~x$kzo|.vqww*tfeVl~`aQf_np34565l2_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`RgPos234576l2_;#j|i.sd,ci6){%ym`Qxr^gm[l76n2_;#j|i.sd,ci6){%ym`Qxr^gm[l7X9;90Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp4433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d>?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov061=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}>986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at407?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs>;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz8259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq>512_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<1<1g>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir0=0Pru0:?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs7=31:Zts:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=1=6f=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1=1_sv1=>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vir090=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4=4T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;=78h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?1;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>5:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot29>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1912b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5=5Sz=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5:5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:66;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?6;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<2<1e>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1:12`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYg{6>2?o4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov\|jt;>78j7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_ymq8285i2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRv`r=:=6a=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Usc27>^pw5c=R8&myj#|i/fn3*rt(yd~"m`uov?4;7a3\:$kh!rg-dh5(pz&}{by| cnwmp9799o1^<"i}f/pe+bj7&~x${}`{r.alqkr;:7;m7X> gsd-vc)`d9$|~"ynup,gjsi|595=k5Z0.eqb+ta'nf;"z| wqlwv*eh}g~783?i;T2,cw`)zo%l`= xr.usjqt(kfex1;11g9V4*aun'xm#jb?.vp,suhsz&idycz36?3e?P6(o{l%~k!hl1,tv*qwf}x$ob{at=5=5c=R8&myj#|i/fn3*rt(yd~"m`uov?<;7b3\:$kh!rg-dh5(pz&}{by| cnwmpZ66m2_;#j|i.sd,ci6){%||cz}/bmvjqY69l1^<"i}f/pe+bj7&~x${}`{r.alqkrX:8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW:;n7X> gsd-vc)`d9$|~"ynup,gjsi|V>:i6[?/fpe*w`(oe:%{!xpovq+firf}U>=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T:!ws-ttkru'je~byQk1=2=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:66;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7>3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><2<16>S7'nxm"h gm2-sw)pxg~y#naznu]g5929:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl86>2?<4U1-dvc(un&mg<#y}/vrmpw)dg|dSi?36?01?P6(o{l%~k!hl1,tv*qwf}x$ob{at^f28285:2_;#j|i.sd,ci6){%||cz}/bmvjqYc9525><5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th<5Z0.eqb+ta'nf;"z| wqlwv*eh}g~Th3:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;97897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90?0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=1=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:36;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8793<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<7<16>S7'nxm"h gm2-sw)pxg~y#naznu]g6919:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;632??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T;??4U1-dvc(un&mg<#y}/vrmpw)dg|dSic:W3+bta&{l$ahc tlr\vdkXzmUnbS7'nxm"h rrvahn)ulVxxxRm`mc32a>S7'nxm"h rrvahn)ulVxxxR|jg=2=5`=R8&myj#|i/sqwfim(zmUyyQ}ef>2:4c<]9%l~k }f.pppgjl'{nT~~zPrde?6;7c3\:$kh!rg-qwqdkc&xoS}{_sgd[57c3\:$kh!rg-qwqdkc&xoS}{_sgd[47c3\:$kh!rg-qwqdkc&xoS}{_sgd[77c3\:$kh!rg-qwqdkc&}yS}{_`qqab473\:$kh!rg-qwqdkc&}yS}{_`qqabYc9;:0Y=!hrg,qb*tt|kf`#z|Prrv\evtboVn9=n5Z0.eqb+ta'{ynae ws]qwqYdgdh:h6[?/fpe*w`(zz~i`f!xr^pppZehek;:i6[?/fpe*w`(zz~i`f!xr^pppZtbo5:5=h5Z0.eqb+ta'{ynae ws]qwqYumn6:22:0=PM585;6YJ<283:0=PM59556Y]AEFQ858>3^XJHI\31?;8SWGCL[69245XR@FGV95912]YMIJ]<5<:?RTFLMX79374WSCG@W:1601\^LJKR=5=f>QUIMNY054?>89TVDBCZ52596Y]CMI7?RTBF8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk733Vil#lhffn]113~4>'dlcn5nfhdl[731p:<9m6oiigm\600;?&mekaPgdlfvdrhzV|?S9"AOOG/JJHB;k;0mkgio^062}51$ocmcRijndpbpjtX~=U? vmPh`q\mkrXkea64)eX{Um~h21-a\qvcXlfS}{=0.`[phcm{lgczQcov?3(fYoizUdzh|ilnu>4)eXx{ogcljPl`vfjf:9%iThb{{_vkgpm;4>%iTa~i`n^tbh87+kVxoSikti?657*dWakxSx`kesdokr;7$jUcm~QnllmppZ`rde7; nQgar]qwq;6$jUomyoPcnwmpZqnl}b65!mPh`q\swYfkb7; nQzsd]fgf;7$jUhc`c`n^aokfm:8%iT{Qncj]okr;7$jUyhR~ats]tmaro50&hSx}j_guepZbf|hUhcx`{=1.`[mgtWhffc~zPelrw}86+kVxoSio{a^alqkrX`nd07#c^muaw`kg~Ugcz3?,b]tvZvi|{U|eizg=8.`[uthoVof|ywPtxrf94*dW~xThlzn_bmvjqYpam~c14"l_qpfhjgcW{ol0>#c^flqqYu{}U}ma3?,b]kevYci}kTob{at<2/gZvugnUmyabPtipfwmYimnkiRxnl<12=)eX{Uoi}zg=431(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>=)eXx{elShctx]wlwct`Vkxh|{_wco9=*dWakxS{ocie?3(fYwzfmTjxbc_ujqavnXizyn~yQyam?065*dgdzdbh`{_ocna8gaaoeT>88w37.`[sgkamUgcz3?,b]svlkXn`ldSywe<726}51$jU{~biPftno[qwm4;'oRcjmnpz[qwm4;'q?84agkekZ42>q9=Skgio^efj`tf|fxTz9Q;_yqw[4egkefySk{cl79aefmrxm1imnezp^obvncu;2iggi5kauc\gjsi|!:"h6jnt`]`kphs 8#o7io{a^alqkr/: n0hlzn_bmvjq.4!m1omyoPcnwmp-2.l2njxlQlotlw,0/c3mkmRm`uov+2,bbf|hUhcx`{<983:2=cj`~n~84dhl+4,01oec&>3(58`lh/9=#<7iga(07*3>bnf!;=%:5kio*23,12nbb%<&7:fjj-47!>1oec&=1(58`lh/:;#<7iga(31*3>bnf!8?%:5kio*11,1;'8;ekm,71.?2nbb%<7)69gmk.51 <0hd`'3(58`lh/;9#<7iga(23*3>bnf!99%:5kio*07,12nbb%:&6:fjj-3.>2nbb%8&6:fjj-1.>2nbb%6&6:fjj-?.>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;169gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;189gmk:4=3:5;6jfn=16:3=cag682;5kio>7:3=cag6>2;5kio>5:3=cag6<2;5kio>;:3=cag622:5kotv+4,1bh}}"98$64dnww,73.02ndyy&=6(:8`jss ;="46j`uu*1<,>1ocxz'8(58`jss 0#<7iazt=2=<>bh}}6:<364dnww847902ndyy2>2?:8`jss489546j`uu>20;>720hb{{<05=<>bh}}6:4364dnww84?9?2ndyy2>>99gkpr;:9437iazt=02:==cg|~7>?07;emvp944611ocxz325<;?air|58>255kotv?638?3me~x1<8>99gkpr;:1437iazt=0::2=cg|~7>364dnww866902ndyy2<1?:8`jss4:8546j`uu>07;>:1a:flqq:4=3:546j`uu>01;108;emvp929?2ndyy2:>69gkpr;>7=0hb{{<6<4?air|525;6j`uu>::==bl`l9bjj:;dosp|4a3ocmcRijndpbpjtX~=U? -Vflhl{$FIUM)Mnbh|ntnp#54(2<2l~`a94iov\gim?3gmhnxgcd99lr`tadf}j7}|`g^gntq6<2zycjQjmqvz[qnumzb#<$?;;qplcZcjx}sTxe|jsi*2-42vugnUna}zv_ujqavn/< ;?7}|`g^gntqX|axne&:)068twi`Wlg{xtQ{hsgpl-0.9=1{~biPelrw}Zrozlyc$:'>4:rqkbYbey~rSyf}erj+<,713yxdkRkbpu{\pmtb{a636=0>b:rqkbYbey~rSyf}erj\evubz}";%vugnUna}zv_ujqavnXizyn~y&9)0`8twi`Wlg{xtQ{hsgplZgt{lx$:'>b:rqkbYbey~rSyf}erj\evubz}"3%vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%5rne\bpjkW}byi~f'1(30?uthoVl~`aQ{hsgpl-4.9:1{~biPftno[qnumzb#?$?<;qplcZ`rdeUdk|h)6*56=wzfmTjxbc_ujqavn/= ;87}|`g^dvhiYs`{oxd%8&129svjaXn|fgSyf}erj+3,743yxdkRhzlm]wlwct`!2"=85rne\bpjkW}byi~f38;2=5d=wzfmTjxbc_ujqavnXizyn~y&?)0c8twi`Wog`Rzgrdqk[dutm{~#=$?n;qplcZ`rdeUdk|h^cpw`ts ;#:m6~}of]eqijX|axneQnsrgqp-5.9h1{~biPftno[qnumzbTm~}jru*7-4ga:rqkbYa}efTxe|jsi]bwvcu|!="=l5rne\bpjkW}byi~fParqfvq.?!8i0|ah_gwohZrozlycSl}|esv?a:rqkbYa}efTxe|jsi]mabgsm!9"=l5rne\bpjkW}byi~fPndebp`.3!8k0|ah_gwohZrozlycSckhaug+1,7f3yxdkRhzlm]wlwct`Vdnklzj(7+2e>vugnUmyabPtipfwmYimnki%9&1`9svjaXn|fgSyf}erj\j`af|l"3%4re]geqgXkfex%=&119q`Zbf|hUhcx`{(5+24>tcWmkmRm`uov+1,773{nThlzn_bmvjq.1!8:0~iQkauc\gjsi|!="==5}d^fbpdYdg|d$5'>0:pg[agsiVidycz30?31?wbXlh~jSnaznu>;>5823{nTic84re]qwq5uu{}>0x{j9:wm``tadf}>7{ocie48swYfkb<0{Qllj33?rtXlh~jSnaznu*3-46<{UomyoPcnwmp-7.991|~Rjnt`]`kphs ;#:<6y}_ecweZeh}g~#?$??;vp\`drfWje~by&;)028swYci}kTob{at)7*55=pzVnjxlQlotlw,3/682}ySio{a^alqkr/? ;;7z|Pd`vb[firf}"3%<<4ws]geqgXkfex1650?78swYbf?1|~R||t|BCtg0f3IJs?n95F;695~U5n3>=i785120a1d1=:<;9?v`3?0(>m<:2`g?xU5l3>=i785120a1d1=:<;9?6]ke;647?6=9:8i9l9524317>U5l3>5120a1d1=:<;996j4<6sZ8m698j:78277d2i>099<<<;wV21f<7280:6<7l{R0e>10b2?0:??l:a68114443-9jn7ji;W1`0?4|}l21=6{j9;28y!73;3;;7o=l8;2914<42<;pD>o6;[04>7}e2h0v(<8>:2a;?!5d:3><<6g;bg83>>i31=0;66g;d283>>i3?80;66a;6g83>>o3k10;66g;c083>>i3<;0;6)?;5;651>h6<=0;76a;4083>!73=3>=96`>4582?>i3<90;6)?;5;651>h6<=0976a;3g83>!73=3>=96`>4580?>i3;l0;6)?;5;651>h6<=0?76a;3e83>!73=3>=96`>4586?>i3;k0;6)?;5;651>h6<=0=76a;3`83>!73=3>=96`>4584?>i3;00;6)?;5;651>h6<=0376a;3983>!73=3>=96`>458:?>i3;>0;6)?;5;651>h6<=0j76a;3783>!73=3>=96`>458a?>i3;<0;6)?;5;651>h6<=0h76a;3583>!73=3>=96`>458g?>i3;:0;6)?;5;651>h6<=0n76a;3383>!73=3>=96`>458e?>i3;90;6)?;5;651>h6<=0:<65`43d94?"6<<0?:85a156954=?6<<4;n61`?6=,8>>698:;o370?7432e?>n4?:%371?21=2d:894>4:9l07d=83.:884;649m512=9<10c9!73=3>=96`>4582<>=h<;=1<7*>448720=i9=>1=454o56;>5<#9=?18;;4n067>4g<3f>?;7>5$066>1023g;?87?m;:m703<72-;?97:95:l201<6k21d89;50;&200<3><1e=9:51e98k123290/=9;54778j42328o07b:;3;29 4222=<>7c?;4;3e?>i3;j0;6)?;5;651>h6<=09<65`42394?"6<<0?:85a156964=?6?<4;n611?6=,8>>698:;o370?4432c8j44?:%371?26k2d:894?;:k0b=<72-;?97:>c:l201<632c8j:4?:%371?26k2d:894=;:k0b3<72-;?97:>c:l201<432c8j84?:%371?26k2d:894;;:k0b1<72-;?97:>c:l201<232c8j?4?:%371?26k2d:8949;:k0b4<72-;?97:>c:l201<032c8j=4?:%371?26k2d:8947;:k0ac<72-;?97:>c:l201<>32c8ih4?:%371?26k2d:894n;:k0aa<72-;?97:>c:l201c:l201c:l2010:9j7`0=83.:884;1b9m512=9810e>k::18'513=<8i0b<:;:008?l5b<3:1(<:::53`?k73<3;876g!73=3>:o6`>45820>=n;l81<7*>44875f=i9=>1=854i2g2>5<#9=?1840<3`9n<7>5$066>17d3g;?87?8;:k0`c<72-;?97:>c:l201<6021b?ik50;&200<39j1e=9:51898m6`a290/=9;540a8j42328k07d=ie;29 4222=;h7c?;4;3a?>o4nm0;6)?;5;62g>h6<=0:o65f3ga94?"6<<0?=n5a15695a=?6>69?l;o370?7a32c8j>4?:%371?26k2d:894=0:9j7`>=83.:884;1b9m512=:810e>jk:18'513=<8i0b<:;:308?l5ck3:1(<:::53`?k73<38876a;7483>>o3j:0;66l4<729qC?l74$042>6gf3f;?>7>5;|`;=?6=93:1518axL6g>3S8<6<8t1c82e?7d2;:1=i4>e;3e>g?4=0;01>g<593;i6l4>f;3f>4b=9j0:m7s+17397fd<,mi14:5+2`80g<=#:l08ol5+12f9517?6=54i5:4>5<#9=?18574n067>4=?6?54i5:6>5<#9=?18574n067>6=?6954i5:0>5<#9=?18574n067>0=?6;54i5:2>5<#9=?18574n067>2=?6554i5a3>5<5<?6=54i55g>5<#9=?18:h4n067>4=?6?54i55a>5<#9=?18:h4n067>6=?6954i55:>5<#9=?18:h4n067>0=?6;54i554>5<#9=?18:h4n067>2=?6554i5`e>5<5<#9=?184=4n067>5=?6<54i5;3>5<#9=?184=4n067>7=?6>54i5:f>5<#9=?184=4n067>1=?6854i5:`>5<#9=?184=4n067>3=?6:54i5:b>5<#9=?184=4n067>==5<#9=?1?io4n067>5=?6<54o2f4>5<#9=?1?io4n067>7=?6>54o2f6>5<#9=?1?io4n067>1=?6854o2f0>5<#9=?1?io4n067>3=?6:54o2f2>5<#9=?1?io4n067>==5<?6=54i5;`>5<#9=?184k4n067>4=?6?54i5;b>5<#9=?184k4n067>6=?6954i5;;>5<#9=?184k4n067>0=?6;54i5;5>5<#9=?184k4n067>2=6=4+15790?6554o552>5<5<5<#9=?18l64n067>5=?6<54i5c6>5<#9=?18l64n067>7=?6>54i5c0>5<#9=?18l64n067>1=?6854i5c2>5<#9=?18l64n067>3=?6:54i5;e>5<#9=?18l64n067>==?6=54i5`3>5<#9=?18o<4n067>4=?6?54i5cf>5<#9=?18o<4n067>6=?6954i5c`>5<#9=?18o<4n067>0=?6;54i5cb>5<#9=?18o<4n067>2=?6554o2a`>5<5<5<5<96=4+1579033?6=54o562>5<#9=?18;;4n067>4=;6=4+1579033?6?54o51e>5<#9=?18;;4n067>6=?6954o51g>5<#9=?18;;4n067>0=?6;54o51b>5<#9=?18;;4n067>2=?6554o51;>5<#9=?18;;4n067><=?6l54o515>5<#9=?18;;4n067>g=6=4+1579033?6n54o517>5<#9=?18;;4n067>a=?6h54o511>5<#9=?18;;4n067>c=?6<>4;n61b?6=,8>>698:;o370?7632e?>h4?:%371?21=2d:894>2:9l07b=83.:884;649m512=9:10c976a;2`83>!73=3>=96`>45822>=h<;31<7*>448720=i9=>1=:54o50;>5<#9=?18;;4n067>4><3f>9;7>5$066>1023g;?87?6;:m70=<72-;?97:95:l201<6i21d89950;&200<3><1e=9:51c98k121290/=9;54778j42328i07b:;5;29 4222=<>7c?;4;3g?>i3<=0;6)?;5;651>h6<=0:i65`45194?"6<<0?:85a15695c=?6?>4;n605?6=,8>>698:;o370?4632e?>;4?:%371?21=2d:894=2:9l073=83.:884;649m512=::10e>h6:18'513=<8i0b<:;:198m6`?290/=9;540a8j4232810e>h8:18'513=<8i0b<:;:398m6`1290/=9;540a8j4232:10e>h::18'513=<8i0b<:;:598m6`3290/=9;540a8j4232<10e>h=:18'513=<8i0b<:;:798m6`6290/=9;540a8j4232>10e>h?:18'513=<8i0b<:;:998m6ca290/=9;540a8j4232010e>kj:18'513=<8i0b<:;:`98m6cc290/=9;540a8j4232k10e>kl:18'513=<8i0b<:;:b98m6ce290/=9;540a8j4232m10e>kn:18'513=<8i0b<:;:d98m6c>290/=9;540a8j4232o10e>k8:18'513=<8i0b<:;:028?l5b>3:1(<:::53`?k73<3;:76g!73=3>:o6`>45826>=n;l>1<7*>44875f=i9=>1=>54i2g0>5<#9=?1842<3`9n>7>5$066>17d3g;?87?:;:k0a4<72-;?97:>c:l201<6>21b?h>50;&200<39j1e=9:51698m6ba290/=9;540a8j42328207d=ke;29 4222=;h7c?;4;3:?>o4no0;6)?;5;62g>h6<=0:m65f3gg94?"6<<0?=n5a15695g=?6>69?l;o370?7c32c8jo4?:%371?26k2d:894>e:9j7cg=83.:884;1b9m512=9o10e>h<:18'513=<8i0b<:;:328?l5b03:1(<:::53`?k73<38:76g!73=3>:o6`>45816>=n;mi1<7*>44875f=i9=>1>>54i2af>5<?6=54o5`a>5<#9=?18ok4n067>4=?6?54o5`:>5<#9=?18ok4n067>6=?6954o5`4>5<#9=?18ok4n067>0=?6;54o5`6>5<#9=?18ok4n067>2=?6554o5f2>5<#9=?18i<4n067>5=?6<54o5ae>5<#9=?18i<4n067>7=?6>54o5ag>5<#9=?18i<4n067>1=?6854o5aa>5<#9=?18i<4n067>3=?6:54o5a:>5<#9=?18i<4n067>==6=44o2fa>5<5<:183!7193227E=mf:J0e<=h010;66sm3b394?7=83:p(<8>:2cb?M5en2B8m45`15094?=zj;336=4;:183!7193287E=mf:J0e<=#100>7d<7:188m66=831b=9850;9l511=831vn?7m:187>5<7s-;==76<;I1ab>N4i01/544:;h0;>5<5<3290;w)?91;:0?M5en2B8m45+9882?l4?2900e>>50;9j510=831d=9950;9~f656290?6=4?{%355?>43A9ij6F5<4290;w)?91;:3?M5en2B8m45G259'a3<3k=1/544>;h0;>5<6=4<:183!71932;7E=mf:J0e<=O:=1/i;4;c59'=<<63`836=44i2294?=h9==1<75rb2;e>5<2290;w)?91;:7?M5en2B8m45G259'a3<3k=1/544>;h0;>5<>o64683>>{e;h:1<7=50;2x 40621:0D>li;I1b=>N5<2.n:7:l4:&:=?7>i6<>0;66sm38694?3=83:p(<8>:968L6da3A9j56F=4:&f2?2d<2.257?4i3:94?=n:00;66g<0;29?l73>3:17b?;7;29?xd41l0;694?:1y'537=0:1C?oh4H2c:?!?>281b>54?::k04?6=3`;?:7>5;n373?6=3th85i4?:583>5}#9?;14>5G3cd8L6g>3-326<5f2983>>o483:17d?;6;29?j73?3:17pl<9b83>1<729q/=;?5829K7g`<@:k27)76:09j6=<722c8<7>5;h372?6=3f;?;7>5;|`0e2<72=0;6=u+1739<6=O;kl0D>o6;%;:>4=n:10;66g<0;29?l73>3:17b?;7;29?xd4i?0;694?:1y'537=0:1C?oh4H2c:?!?>281b>54?::k04?6=3`;?:7>5;n373?6=3th:5>4?:583>5}#9?;14<5G3cd8L6g>3-326<5f2983>>o5k3:17d=?:188k4202900qo?62;290?6=8r.::<471:J0fc=O;h30(4751:k15<3290;w)?91;:2?M5en2B8m45+9882?l4?2900e?m50;9j75<722e:8:4?::a5<6=83>1<7>t$042>=7<@:hm7E=n9:&:=?7>o483:17b?;7;29?xd60o0;694?:1y'537=081C?oh4H2c:?!?>281b>54?::k1g?6=3`9;6=44o064>5<54;294~"6>803=6F>50;9l511=831vn<6k:187>5<7s-;==76>;I1ab>N4i01/544>;h0;>5<>i6<>0;66sm19a94?2=83:p(<8>:938L6da3A9j56*69;38m7>=831b>n4?::k04?6=3f;?;7>5;|`2o6;%;:>4=n:10;66g=c;29?l572900c<:8:188yg7bj3:187>50z&2245;h0`>5<e983>1<729q/=;?5809K7g`<@:k27)76:09j6=<722c9o7>5;h13>5<<6=44}c3f3?6=<3:1608;5>N4jo1C?l74$8;95>o503:17d63A9ij6F4683>>{e9l?1<7:50;2x 40621;0D>li;I1b=>">13;0e?650;9j6f<722c8<7>5;n373?6=3th:i94?:583>5}#9?;14<5G3cd8L6g>3-326<5f2983>>o5k3:17d=?:188k4202900qo?j3;290?6=8r.::<471:J0fc=O;h30(4751:k15<3290;w)?91;:2?M5en2B8m45+9882?l4?2900e?m50;9j75<722e:8:4?::a67>=83>1<7>t$042>=7<@:hm7E=n9:&:=?7>o483:17b?;7;29?xd5:>0;694?:1y'537=081C?oh4H2c:?!?>281b>54?::k1g?6=3`9;6=44o064>5<54;294~"6>803=6F>50;9l511=831vn?<::187>5<7s-;==76>;I1ab>N4i01/544>;h0;>5<>i6<>0;66sm23694?2=83:p(<8>:938L6da3A9j56*69;38m7>=831b>n4?::k04?6=3f;?;7>5;|`166<72=0;6=u+1739<4=O;kl0D>o6;%;:>4=n:10;66g=c;29?l572900c<:8:188yg45:3:187>50z&2245;h0`>5<d183>1<729q/=;?5809K7g`<@:k27)76:09j6=<722c9o7>5;h13>5<<6=44}c3`b?6=<3:1608;5>N4jo1C?l74$8;95>o503:17d63A9ij6F4683>>{e9jn1<7:50;2x 40621;0D>li;I1b=>">13;0e?650;9j6f<722c8<7>5;n373?6=3th:on4?:583>5}#9?;14<5G3cd8L6g>3-326<5f2983>>o5k3:17d=?:188k4202900qo?lb;290?6=8r.::<471:J0fc=O;h30(4751:k15<3290;w)?91;:2?M5en2B8m45+9882?l4?2900e?m50;9j75<722e:8:4?::a5f?=83>1<7>t$042>=7<@:hm7E=n9:&:=?7>o483:17b?;7;29?xd59>0;694?:1y'537=081C?oh4H2c:?M433-o=69m;;%;:>4=n:10;66g=c;29?l572900c<:8:188yg46>3:187>50z&224o503:17d63A9ij6F1<7>t$042>=7<@:hm7E=n9:J10>"b>3>h86*69;38m7>=831b>n4?::k04?6=3f;?;7>5;|`156<72=0;6=u+1739<4=O;kl0D>o6;I07?!c12=i?7)76:09j6=<722c9o7>5;h13>5<<6=44}c026?6=<3:1608;5>N4jo1C?l74H368 `0=0(4751:k15<3290;w)?91;:2?M5en2B8m45G259'a3<3k=1/544>;h0;>5<>i6<>0;66sm20294?2=83:p(<8>:938L6da3A9j56F=4:&f2?2d<2.257?4i3:94?=n:j0;66g<0;29?j73?3:17pl=0g83>1<729q/=;?5809K7g`<@:k27E<;;%g5>1e33-326<5f2983>>o5k3:17d=?:188k4202900qo?mf;290?6=8r.::<471:J0fc=O;h30D?:4$d490f2<,031=6g=8;29?l4d2900e>>50;9l511=831vn5<7s-;==76>;I1ab>N4i01C>95+e787g1=#100:7d<7:188m7e=831b?=4?::m202<722wi=oj50;694?6|,8<:65?4H2`e?M5f12B986*j6;6`0>">13;0e?650;9j6f<722c8<7>5;n373?6=3th:nn4?:583>5}#9?;14<5G3cd8L6g>3A8?7)k9:5a7?!?>281b>54?::k1g?6=3`9;6=44o064>5<54;294~"6>803=6F0(h854b68 5;h0`>5<4683>>{e9k31<7:50;2x 40621;0D>li;I1b=>N5<2.n:7:l4:&:=?7>o483:17b?;7;29?xd6j10;694?:1y'537=081C?oh4H2c:?M433-o=69m;;%;:>4=n:10;66g=c;29?l572900c<:8:188yg7e?3:187>50z&224o503:17d63A9ij6F4683>>{e9hi1<7:50;2x 40621;0D>li;I1b=>">13;0e?650;9j6f<722c8<7>5;n373?6=3th:mo4?:583>5}#9?;14<5G3cd8L6g>3-326<5f2983>>o5k3:17d=?:188k4202900qo?na;290?6=8r.::<471:J0fc=O;h30(4751:k15<3290;w)?91;:2?M5en2B8m45+9882?l4?2900e?m50;9j75<722e:8:4?::a5d>=83>1<7>t$042>=7<@:hm7E=n9:&:=?7>o483:17b?;7;29?xd6i>0;694?:1y'537=081C?oh4H2c:?!?>281b>54?::k1g?6=3`9;6=44o064>5<54;294~"6>803=6F>50;9l511=831vn5<7s-;==76>;I1ab>N4i01/544>;h0;>5<>i6<>0;66sm21794?2=83:p(<8>:938L6da3A9j56*69;38m7>=831b>n4?::k04?6=3f;?;7>5;|`141<72=0;6=u+1739<4=O;kl0D>o6;%;:>4=n:10;66g=c;29?l572900c<:8:188yg47;3:187>50z&2245;h0`>5<=?50;694?6|,8<:65?4H2`e?M5f12.257?4i3:94?=n:j0;66g<0;29?j73?3:17pl=0183>1<729q/=;?5809K7g`<@:k27)76:09j6=<722c9o7>5;h13>5<<6=44}c3eb?6=<3:1608;5>N4jo1C?l74$8;95>o503:17d63A9ij6F4683>>{e9on1<7:50;2x 40621;0D>li;I1b=>">13;0e?650;9j6f<722c8<7>5;n373?6=3th9h<4?:483>5}#9?;14?5G3cd8L6g>3-326<5f2983>>o513:17d6=4?{%355?>53A9ij6F0<729q/=;?5839K7g`<@:k27)76:09j6=<722c957>5;h0`>5<n4?::k04?6=3f;?;7>5;|`1ga<72<0;6=u+1739<7=O;kl0D>o6;%;:>4=n:10;66g=9;29?l4d2900e>>50;9l511=831vn?ml:186>5<7s-;==76=;I1ab>N4i01/544>;h0;>5<>o483:17b?;7;29?xd5kh0;684?:1y'537=0;1C?oh4H2c:?!?>281b>54?::k1=?6=3`8h6=44i2294?=h9==1<75rb3a:>5<2290;w)?91;:7?M5en2B8m45+9886?l4?2900e?750;9j75<722c:8;4?::m202<722wi>nl50;794?6|,8<:65<4H2`e?M5f12.257?4i3:94?=n:00;66g=c;29?l572900c<:8:188yg4em3:1?7>50z&224<4jl1C?oh4H2c:?l4e2900eij50;9l516=831vn?lk:180>5<7s-;==7=me:J0fc=O;h30e?l50;9j`a<722e:8=4?::a6g2=83>1<7>t$042>`1<@:hm7E=n9:k1f?6=3`9:6=44i075>5<;6=44}c0ag?6=;3:16080f`=O;kl0D>o6;h0a>5<3:17b?;0;29?xd5jk0;6>4?:1y'537=;ko0D>li;I1b=>o5j3:17djk:188k4272900qooo50;194?6|,8<:6>lj;I1ab>N4i01b>o4?::kg`?6=3f;?<7>5;|`1e`<72=0;6=u+1739a2=O;kl0D>o6;h0a>5<5<4290;w)?91;1aa>N4jo1C?l74i3`94?=nlm0;66a>4183>>{e:hi1<7:50;2x 4062l=0D>li;I1b=>o5j3:17d=>:188m4312900c<:?:188yg4e03:1?7>50z&224<4jl1C?oh4H2c:?l4e2900eij50;9l516=831vn?on:187>5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th9n:4?:283>5}#9?;1?ok4H2`e?M5f12c9n7>5;hfg>5<;6=44}c0b608f3>N4jo1C?l74i3`94?=n;80;66g>5783>>i6<90;66sm2c494?5=83:p(<8>:2`f?M5en2B8m45f2c83>>ocl3:17b?;0;29?xd5i?0;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f7c4290>6=4?{%355?>53A9ij6F0<729q/=;?5839K7g`<@:k27)76:09j6=<722c957>5;h0`>5<n4?::k04?6=3f;?;7>5;|`1a5<72<0;6=u+1739<7=O;kl0D>o6;%;:>4=n:10;66g=9;29?l4d2900e>>50;9l511=831vn?ji:186>5<7s-;==76=;I1ab>N4i01/544>;h0;>5<>o483:17b?;7;29?xd5ll0;684?:1y'537=0;1C?oh4H2c:?!?>281b>54?::k1=?6=3`8h6=44i2294?=h9==1<75rb3fg>5<2290;w)?91;:1?M5en2B8m45+9882?l4?2900e?750;9j6f<722c8<7>5;n373?6=3th9hn4?:483>5}#9?;14?5G3cd8L6g>3-326<5f2983>>o513:17d6=4?{%355?>53A9ij6F6<729q/=;?53cg8L6da3A9j56g=b;29?lbc2900c<:?:188yg43j3:1?7>50z&224<4jl1C?oh4H2c:?l4e2900eij50;9l516=831vn?=n:187>5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th98l4?:283>5}#9?;1?ok4H2`e?M5f12c9n7>5;hfg>5<;6=44}c00=?6=<3:1608f3>N4jo1C?l74i3`94?=n;80;66g>5783>>i6<90;66sm25;94?5=83:p(<8>:2`f?M5en2B8m45f2c83>>ocl3:17b?;0;29?xd5;10;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f72?29086=4?{%355?5em2B8nk5G3`;8m7d=831bhi4?::m205<722wi>>950;694?6|,8<:6h94H2`e?M5f12c9n7>5;h12>5<5<53;294~"6>808nh5G3cd8L6g>3`8i6=44ief94?=h9=:1<75rb315>5<3290;w)?91;g4?M5en2B8m45f2c83>>o493:17d?:6;29?j7383:17pl=4783>6<729q/=;?53cg8L6da3A9j56g=b;29?lbc2900c<:?:188yg44=3:187>50z&224t$042>6db3A9ij6F5;n374?6=3th9?94?:583>5}#9?;1i:5G3cd8L6g>3`8i6=44i2394?=n9<<1<75`15294?=zj;>?6=4<:183!71939ii6F>i6<90;66sm22194?2=83:p(<8>:d58L6da3A9j56g=b;29?l562900e<;9:188k4272900qo<<2;290?6=8r.::<4j7:J0fc=O;h30e?l50;9j74<722c:9;4?::m205<722wi>;m50;794?6|,8<:65:4H2`e?M5f12.257;4i3:94?=n:00;66g<0;29?l73>3:17b?;7;29?xd5>;0;694?:1y'537=081C?oh4H2c:?!?>281b>54?::k1g?6=3`9;6=44o064>5<54;294~"6>803=6F>50;9l511=831vn?8?:187>5<7s-;==76>;I1ab>N4i01/544>;h0;>5<>i6<>0;66sm24d94?2=83:p(<8>:938L6da3A9j56*69;38m7>=831b>n4?::k04?6=3f;?;7>5;|`11`<72=0;6=u+1739<4=O;kl0D>o6;%;:>4=n:10;66g=c;29?l572900c<:8:188yg42l3:187>50z&2245;h0`>5<8l50;694?6|,8<:65?4H2`e?M5f12.257?4i3:94?=n:j0;66g<0;29?j73?3:17pl=5`83>1<729q/=;?5809K7g`<@:k27)76:09j6=<722c9o7>5;h13>5<<6=44}c0e=?6=;3:16080f`=O;kl0D>o6;h0a>5<>i6<90;66sm2df94?2=83:p(<8>:d58L6da3A9j56g=b;29?l562900e<;9:188k4272900qo50;9~f7cd290?6=4?{%355?c03A9ij6F5;h362?6=3f;?<7>5;|`1ag<72=0;6=u+1739a2=O;kl0D>o6;h0a>5<5<3290;w)?91;g4?M5en2B8m45f2c83>>o493:17d?:6;29?j7383:17pl=e883>1<729q/=;?5e69K7g`<@:k27d5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th9i:4?:583>5}#9?;1i:5G3cd8L6g>3`8i6=44i2394?=n9<<1<75`15294?=zj;o=6=4;:183!7193o<7E=mf:J0e<=n:k0;66g<1;29?l72>3:17b?;0;29?xd5m<0;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f7`a290?6=4?{%355?>63A9ij6F4683>>{e:oo1<7:50;2x 40621;0D>li;I1b=>">13;0e?650;9j6f<722c8<7>5;n373?6=3th9ji4?:583>5}#9?;14<5G3cd8L6g>3-326<5f2983>>o5k3:17d=?:188k4202900qo=?8;290?6=8r.::<473:J0fc=O;h30(4755:k15<<6=44}c137?6==3:1608;0>N4jo1C?l74$8;95>o503:17d<6:188m66=831b=9850;9l511=831vn><;:187>5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th8>>4?:583>5}#9?;1i:5G3cd8L6g>3`8i6=44i2394?=n9<<1<75`15294?=zj:896=4;:183!7193o<7E=mf:J0e<=n:k0;66g<1;29?l72>3:17b?;0;29?xd4:80;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f647290?6=4?{%355?c03A9ij6F5;h362?6=3f;?<7>5;|`054<72=0;6=u+1739a2=O;kl0D>o6;h0a>5<5<3290;w)?91;g4?M5en2B8m45f2c83>>o493:17d?:6;29?j7383:17pl<0g83>1<729q/=;?5e69K7g`<@:k27d>j:187>5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th85}#9?;1i:5G3cd8L6g>3`8i6=44i2394?=n9<<1<75`15294?=zj;2i6=4;:183!7193o<7E=mf:J0e<=n:k0;66g<1;29?l72>3:17b?;0;29?xd50h0;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f7>>290?6=4?{%355?c03A9ij6F5;h362?6=3f;?<7>5;|`1<=<72=0;6=u+1739a2=O;kl0D>o6;h0a>5<5<3290;w)?91;g4?M5en2B8m45f2c83>>o493:17d?:6;29?j7383:17pl=7983>1<729q/=;?5e69K7g`<@:k27d5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th9;;4?:583>5}#9?;1i:5G3cd8L6g>3`8i6=44i2394?=n9<<1<75`15294?=zj;=>6=4;:183!7193o<7E=mf:J0e<=n:k0;66g<1;29?l72>3:17b?;0;29?xd5?=0;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f610290>6=4?{%355?>53A9ij6F0<729q/=;?5859K7g`<@:k27)76:49j6=<722c957>5;h13>5<=6=44o064>5<55;294~"6>803>6F2900e?m50;9j75<722e:8:4?::a72>=83?1<7>t$042>=4<@:hm7E=n9:&:=?7>o5k3:17d=?:188k4202900qo=89;291?6=8r.::<472:J0fc=O;h30(4751:k14683>>{e;>k1<7;50;2x 4062180D>li;I1b=>">13;0e?650;9j6<<722c9o7>5;h13>5<<6=44}c14f?6==3:1608;6>N4jo1C?l74$8;95>o503:17d<6:188m7e=831b?=4?::m202<722wi?:m50;794?6|,8<:65<4H2`e?M5f12.257?4i3:94?=n:00;66g=c;29?l572900c<:8:188yg50l3:197>50z&2245;h0:>5<>i6<>0;66sm37`94?3=83:p(<8>:908L6da3A9j56*69;38m7>=831b>44?::k1g?6=3`9;6=44o064>5<55;294~"6>803>6F2900e?m50;9j75<722e:8:4?::a73>=83?1<7>t$042>=4<@:hm7E=n9:&:=?7>o5k3:17d=?:188k4202900qo=97;291?6=8r.::<472:J0fc=O;h30(4751:k14683>>{e;?31<7;50;2x 4062180D>li;I1b=>">13;0e?650;9j6<<722c9o7>5;h13>5<<6=44}c152?6==3:1608;6>N4jo1C?l74$8;95>o503:17d<6:188m7e=831b?=4?::m202<722wi?;;50;794?6|,8<:65<4H2`e?M5f12.257?4i3:94?=n:00;66g=c;29?l572900c<:8:188yg51;3:197>50z&2245;h0:>5<>i6<>0;66sm37694?3=83:p(<8>:968L6da3A9j56*69;78m7>=831b>44?::k04?6=3`;?:7>5;n373?6=3th8954?:283>5}#9?;1?ok4H2`e?M5f12c9n7>5;hfg>5<;6=44}c163?6=;3:16080f`=O;kl0D>o6;h0a>5<n6=4;:183!7193o<7E=mf:J0e<=n:k0;66g<1;29?l72>3:17b?;0;29?xd4=?0;6>4?:1y'537=;ko0D>li;I1b=>o5j3:17djk:188k4272900qo=;c;290?6=8r.::<4j7:J0fc=O;h30e?l50;9j74<722c:9;4?::m205<722wi?8;50;194?6|,8<:6>lj;I1ab>N4i01b>o4?::kg`?6=3f;?<7>5;|`00d<72=0;6=u+1739a2=O;kl0D>o6;h0a>5<5<4290;w)?91;1aa>N4jo1C?l74i3`94?=nlm0;66a>4183>>{e;=21<7:50;2x 4062l=0D>li;I1b=>o5j3:17d=>:188m4312900c<:?:188yg52;3:1?7>50z&224<4jl1C?oh4H2c:?l4e2900eij50;9l516=831vn>:9:187>5<7s-;==7k8;I1ab>N4i01b>o4?::k05?6=3`;>:7>5;n374?6=3th89?4?:283>5}#9?;1?ok4H2`e?M5f12c9n7>5;hfg>5<;6=44}c170?6=<3:1608f3>N4jo1C?l74i3`94?=n;80;66g>5783>>i6<90;66sm34394?5=83:p(<8>:2`f?M5en2B8m45f2c83>>ocl3:17b?;0;29?xd4<;0;694?:1y'537=m>1C?oh4H2c:?l4e2900e>?50;9j500=831d=9>50;9~f63729086=4?{%355?5em2B8nk5G3`;8m7d=831bhi4?::m205<722wi?9>50;694?6|,8<:6h94H2`e?M5f12c9n7>5;h12>5<5<55;294~"6>803>6F2900e?m50;9j75<722e:8:4?::a7=c=83?1<7>t$042>=4<@:hm7E=n9:&:=?7>o5k3:17d=?:188k4202900qo=7d;291?6=8r.::<472:J0fc=O;h30(4751:k14683>>{e;1i1<7;50;2x 4062180D>li;I1b=>">13;0e?650;9j6<<722c9o7>5;h13>5<<6=44}c1;f?6==3:1608;6>N4jo1C?l74$8;95>o503:17d<6:188m7e=831b?=4?::m202<722wi?5o50;794?6|,8<:65<4H2`e?M5f12.257?4i3:94?=n:00;66g=c;29?l572900c<:8:188yg5?13:197>50z&2245;h0:>5<>i6<>0;66sm39:94?3=83:p(<8>:908L6da3A9j56*69;38m7>=831b>44?::k1g?6=3`9;6=44o064>5<55;294~"6>803>6F2900e?m50;9j75<722e:8:4?::a7d5=8391<7>t$042>`7<@:hm7E=n9:&:=?1>i6<90;66sm38:94?5=83:p(<8>:d38L6da3A9j56*69;58m=d=831b4n4?::m205<722wi=5?50;194?6|,8<:6h?4H2`e?M5f12.257?>;h:a>5<d2900c<:?:188yg70n3:1?7>50z&2245;|`23`<72:0;6=u+1739a4=O;kl0D>o6;%;:>47>i6<90;66sm16f94?5=83:p(<8>:d38L6da3A9j56*69;32?l>e2900e5m50;9l516=831vn<9l:180>5<7s-;==7k>;I1ab>N4i01/544>1:k;f?6=3`2h6=44o063>5<53;294~"6>80n=6F>o?k3:17b?;0;29?xd6?h0;6>4?:1y'537=m81C?oh4H2c:?!?>28;0e5l50;9jt$042>`7<@:hm7E=n9:&:=?763`2i6=44i9a94?=h9=:1<75rb053>5<4290;w)?91;g2?M5en2B8m45+98825>o?j3:17d6l:188k4272900qo?9f;297?6=8r.::<4j1:J0fc=O;h30(475109j5;n374?6=3th::h4?:283>5}#9?;1i<5G3cd8L6g>3-3264183>>{e9?n1<7=50;2x 4062l;0D>li;I1b=>">13;:7d6m:188m=e=831d=9>50;9~f40d29086=4?{%355?c63A9ij6F5;h:`>5<;6=44}c35f?6=;3:1608f5>N4jo1C?l74$8;954=n0k0;66g7c;29?j7383:17pl>6`83>6<729q/=;?5e09K7g`<@:k27)76:038m=d=831b4n4?::m205<722wi?=;50;794?6|,8<:6h=4H2`e?M5f12.257m4i9`94?=n0j0;66g7d;29?l>b2900c<:?:188yg57?3:1?7>50z&2245;|`12c<72<0;6=u+1739a6=O;kl0D>o6;%;:>=0>o?l3:17d6j:188k4272900qo<9e;293?6=8r.::<4j5:J0fc=O;h30(4754:k;f?6=3`2h6=44i9f94?=n0l0;66g7f;29?l?72900c<:?:188yg55m3:1?7>50z&2245;|`05g<72:0;6=u+1739a4=O;kl0D>o6;%;:>42>i6<90;66sm28794?5=83:p(<8>:d38L6da3A9j56*69;37?l>e2900e5m50;9l516=831vn?6=:180>5<7s-;==7k>;I1ab>N4i01/544>4:k;f?6=3`2h6=44o063>5<53;294~"6>80n=6Fd2900c<:?:188yg55l3:197>50z&2245<2290;w)?91;g0?M5en2B8m45+98821>o?j3:17d6l:188m=b=831b4h4?::m205<722wi>4:50;794?6|,8<:6h=4H2`e?M5f12.257?:;h:a>5<>o?m3:17b?;0;29?xd5080;684?:1y'537=m:1C?oh4H2c:?!?>28?0e5l50;9j5;h:f>5<;6=44}c11g?6==3:1608f7>N4jo1C?l74$8;950=n0k0;66g7c;29?l>c2900e5k50;9l516=831vn>?6:186>5<7s-;==7k<;I1ab>N4i01/544>5:k;f?6=3`2h6=44i9f94?=n0l0;66a>4183>>{e:091<7;50;2x 4062l90D>li;I1b=>">13;>7d6m:188m=e=831b4i4?::k;a?6=3f;?<7>5;|`1<5<72<0;6=u+1739a6=O;kl0D>o6;%;:>43>o?l3:17d6j:188k4272900qo==b;291?6=8r.::<4j3:J0fc=O;h30(475149j5;h:g>5<d2900e5j50;9j<`<722e:8=4?::a6<4=83?1<7>t$042>`5<@:hm7E=n9:&:=?723`2i6=44i9a94?=n0m0;66g7e;29?j7383:17pl=7g83>0<729q/=;?5e29K7g`<@:k27)76:078m=d=831b4n4?::k;`?6=3`2n6=44o063>5<55;294~"6>80n?6F>o?k3:17d6k:188m=c=831d=9>50;9~f670290>6=4?{%355?c43A9ij6F5;h:`>5<>i6<90;66sm28394?3=83:p(<8>:d18L6da3A9j56*69;36?l>e2900e5m50;9j5;n374?6=3th9;h4?:483>5}#9?;1i>5G3cd8L6g>3-326<;4i9`94?=n0j0;66g7d;29?l>b2900c<:?:188yg4>k3:1?7>50z&2245;h:`>5<;6=44}c346?6=;3:1608f5>N4jo1C?l74$8;954=n0k0;66g7c;29?j7383:17pl>6383>6<729q/=;?5e09K7g`<@:k27)76:038m=d=831b4n4?::m205<722wi>o;50;094?6|,8<:6h>4H2`e?M5f12.25794i9`94?=h9=:1<75rb3`0>5<5290;w)?91;g3?M5en2B8m45+9884?l>e2900c<:?:188yg4e93:1>7>50z&2245;n374?6=3th9mk4?:383>5}#9?;1i=5G3cd8L6g>3-326:5f8c83>>i6<90;66sm2`f94?4=83:p(<8>:d28L6da3A9j56*69;58m=d=831d=9>50;9~f7ge29096=4?{%355?c73A9ij6F5<52;294~"6>80n<6F7<729q/=;?5e19K7g`<@:k27)76:69jt$042>`6<@:hm7E=n9:&:=?1o6=4=:183!7193o;7E=mf:J0e<=#100<7d6m:188k4272900qo=;b;296?6=8r.::<4j0:J0fc=O;h30(4757:k;f?6=3f;?<7>5;|`00<<72;0;6=u+1739a5=O;kl0D>o6;%;:>2=n0k0;66a>4183>>{e;==1<7<50;2x 4062l:0D>li;I1b=>">13=0e5l50;9l516=831vn>:::181>5<7s-;==7k?;I1ab>N4i01/5448;h:a>5<;6=44}c177?6=:3:1608f4>N4jo1C?l74$8;93>o?j3:17b?;0;29?xd4<80;6?4?:1y'537=m91C?oh4H2c:?!?>2>1b4o4?::m205<722wi>oh50;094?6|,8<:6h>4H2`e?M5f12.25794i9`94?=h9=:1<75rb27:>5<5290;w)?91;g3?M5en2B8m45+9884?l>e2900c<:?:188yg70?3:187>50z&2245<54;294~"6>80n>6F>o?k3:17d6k:188k4272900qo?83;290?6=8r.::<4j2:J0fc=O;h30(475179j5;h:g>5<;6=44}c357?6=<3:1608f6>N4jo1C?l74$8;953=n0k0;66g7c;29?l>c2900c<:?:188yg7003:197>50z&2245<2290;w)?91;g0?M5en2B8m45+98826>o?j3:17d6l:188m=b=831b4h4?::m205<722wi=:750;494?6|,8<:6h:4H2`e?M5f12.257?8;h:a>5<>o?m3:17d6i:188k4272900qo?99;292?6=8r.::<4j4:J0fc=O;h30(475169j5;h:g>5<>i6<90;66sm16794?0=83:p(<8>:d68L6da3A9j56*69;34?l>e2900e5m50;9j5;h:e>5<;6=44}c351?6=>3:1608f0>N4jo1C?l74$8;952=n0k0;66g7c;29?l>c2900e5k50;9jt$042>`7<@:hm7E=n9:&:=?733`2i6=44i9a94?=h9=:1<75rb3gf>5<4290;w)?91;g2?M5en2B8m45+98820>o?j3:17d6l:188k4272900qo<5;n374?6=3th9ik4?:283>5}#9?;1i<5G3cd8L6g>3-326<:4i9`94?=n0j0;66a>4183>>{e::n1<7=50;2x 4062l;0D>li;I1b=>">13;?7d6m:188m=e=831d=9>50;9~f7`729086=4?{%355?c63A9ij6F5;h:`>5<;6=44}c00a?6=;3:1608f5>N4jo1C?l74$8;951=n0k0;66g7c;29?j7383:17pl=f083>6<729q/=;?5e09K7g`<@:k27)76:068m=d=831b4n4?::m205<722wi>>h50;194?6|,8<:6h?4H2`e?M5f12.257?;;h:a>5<d2900c<:?:188yg4383:1?7>50z&2245;|`1b6<72:0;6=u+1739a4=O;kl0D>o6;%;:>42>i6<90;66sm25394?5=83:p(<8>:d38L6da3A9j56*69;37?l>e2900e5m50;9l516=831vn?h;:180>5<7s-;==7k>;I1ab>N4i01/544>4:k;f?6=3`2h6=44o063>5<7>53;294~"6>80n=6F>o?k3:17b?;0;29?xd5n<0;6>4?:1y'537=m81C?oh4H2c:?!?>28>0e5l50;9jt$042>`7<@:hm7E=n9:&:=?573`2i6=44i9a94?=h9=:1<75rb217>5<2290;w)?91;g0?M5en2B8m45+988;1>o?j3:17d6l:188m=b=831b4h4?::m205<722wi>4o50;794?6|,8<:6h=4H2`e?M5f12.2576:;h:a>5<>o?m3:17b?;0;29?xd5<:0;6>4?:1y'537=m81C?oh4H2c:?!?>28>0e5l50;9jt$042>`7<@:hm7E=n9:&:=?733`2i6=44i9a94?=h9=:1<75rb2;0>5<3290;w)?91;g1?M5en2B8m45+98817>o?j3:17d6l:188m=b=831d=9>50;9~f413290>6=4?{%355?c43A9ij6F5;h:`>5<>i6<90;66sm17694?3=83:p(<8>:d18L6da3A9j56*69;31?l>e2900e5m50;9j5;n374?6=3th:;;4?:683>5}#9?;1i85G3cd8L6g>3-326<=4i9`94?=n0j0;66g7d;29?l>b2900e5h50;9j=5<722e:8=4?::a530=83=1<7>t$042>`3<@:hm7E=n9:&:=?743`2i6=44i9a94?=n0m0;66g7e;29?l>a2900e4>50;9l516=831vn>=9:180>5<7s-;==7k>;I1ab>N4i01/5448;h:a>5<n6=4=:183!7193ni7E=mf:J0e<=n0h0;66a>4183>>{e;0;1<789:183!7193;?46F7=#18087)7=:29'=6<43-3?6>5+9480?!?12:1/=8657g9'==<43-3j6>5+9c80?!?d2:1/5i4<;%;f>6=#1o087)o?:29'e4<43-k96>5+a280?!g32:1/m84<;%c5>6=#i>087)o7:29'e<<43-kj6>5+ac80?!gd2:1/mi4<;%cf>6=#io087)l?:29'f4<43-h96>5+b280?!d32:1/n84<;%`5>6=#j>087)l7:29'f<<43-hj6>5+bc80?!dd2:1/ni4<;%`f>6=#jo087)m?:29'g4<43-i96>5+c280?!e32:1/o84<;%a5>6=#k>087)m7:29'g<<43-ij6>5+cc80?!ed2:1/oi4<;%af>6=#ko087)j?:29'`4<43-n96>5+d280?!b32:1/h84<;%f5>6=#l>087)jn:01e?!7213;8j6*>5`8212=#1>087)?:d;08m4342900e>lm:188m4332900e>ll:188m4322900e<;j:188m407290C=8l4;h36b?6=@8?i76g>5383>!73=3;>=6`>4583?>o6=90;6)?;5;365>h6<=0:76g>4g83>!73=3;>=6`>4581?>o6h6<=0876g>4e83>!73=3;>=6`>4587?>o6h6<=0>76g>4c83>!73=3;>=6`>4585?>o6h6<=0<76g>4883>!73=3;>=6`>458;?>o4jh0;6)?;5;1a=>h6<=0;76g!73=39i56`>4582?>o4j>0;6)?;5;1a=>h6<=0976g!73=39i56`>4580?>o4j<0;6)?;5;1a=>h6<=0?76g!73=39i56`>4586?>o4j:0;6)?;5;1a=>h6<=0=76g!73=39i56`>4584?>o4j80;6)?;5;1a=>h6<=0376g;b;29 4222=k0b<:;:198m1?=83.:884;a:l201<632c?;7>5$066>1g?6?54i5494?"6<<0?m6`>4580?>o3=3:1(<:::5c8j4232=10e9:50;&200<3i2d:894:;:k77?6=,8>>69o4n067>3=4487e>h6<=0<76g;1;29 4222=k0b<:;:998m16=83.:884;a:l201<>32c8j7>5$066>1g?6l54i2g94?"6<<0?m6`>458a?>o4k3:1(<:::5c8j4232j10e>l50;&200<3i2d:894k;:k0e?6=,8>>69o4n067>`=4487e>h6<=0m76g<8;29 4222=k0b<:;:028?l50290/=9;54`9m512=9810e>850;&200<3i2d:894>2:9j70<72-;?97:n;o370?7432c887>5$066>1g?6<:4;h10>5<#9=?18l5a156950=4487e>h6<=0::65f5083>!73=3>j7c?;4;34?>o283:1(<:::5c8j42328207d:i:18'513=>69o4n067>4e<3`>36=4+15790d=i9=>1=i54i2f94?"6<<0?m6`>4582a>=n;;0;6)?;5;6b?k73<3;m76g:7;29 4222<<0b<:;:198m03=83.:884:6:l201<632c>87>5$066>00?6?54i4194?"6<<0>:6`>4580?>i093:1(<:::628j4232910c;h50;&200<082d:894>;:m5`?6=,8>>6:>4n067>7=44844>h6<=0876a9b;29 4222>:0b<:;:598k3g=83.:88480:l201<232e=57>5$066>26?6;54o7:94?"6<<0<<6`>4584?>i1?3:1(<:::628j4232110c;850;&200<082d:8946;:m51?6=,8>>6:>4n067>d=1<7*>44844>h6<=0i76a92;29 4222>:0b<:;:b98k37=83.:88480:l2015$066>26?6h54o4d94?"6<<0<<6`>458e?>i2m3:1(<:::628j42328:07b;k:18'513=?91e=9:51098k0e=83.:88480:l201<6:21d9o4?:%371?173g;?87?<;:m6e?6=,8>>6:>4n067>42<3f?26=4+157935=i9=>1=854o6:94?"6<<0<<6`>45822>=h?>0;6)?;5;53?k73<3;<76a86;29 4222>:0b<:;:0:8?j12290/=9;5719m512=9010c::50;&200<082d:894>a:9l36<72-;?979?;o370?7e32e<>7>5$066>26?65<#9=?1;=5a15695a=44844>h6<=0:i65`5983>!73=3=;7c?;4;3e?>i0l3:1(<:::6a8j4232910c:l50;&200<0k2d:894>;:m4e?6=,8>>6:m4n067>7=31<7*>4484g>h6<=0876g!73=39jj6`>4583?>o4il0;6)?;5;1bb>h6<=0:76g!73=39jj6`>4581?>o4ij0;6)?;5;1bb>h6<=0876s|4cd94?3|V=hm70<6c;:a?842832i70<6a;:a?85>;32h7p};be83>03|V:ni7S=ld:\7g2=Yml;_6`6>X3?=1U8n84^2f:?[5c02T8h:5Q3e48Z6b23W9o86PX3kj1U8nl4^5ab?[2d12T?nn5Q4c`8Z1df3W>i56P;b99]0g11?=5238g975=:;0n1?=5238a975=:;h=1?=523`4975=::k>1?<522c0974=::k:1?<522`g974=::hi1?<522`c974=::h21?<522`4974=:::81>o522209500<5;o>6?l4=3g6>431349;47=?;<110?563499?7=>;<116?563499=7=>;<114?56349:=7=>;<124?56349;j7=>;<13a?56349;h7=>;<0;f?563483m7=>;<0;=?56348347=>;<0;3?56348<47=>;<043?56348<:7=>;<041?56348<87=>;<17a?56349?o7=>;<17e?56349?47=>;<172?56349?87=>;<176?56349?<7=>;<1:5?72=2wx8n?50;1xZ1e63492j7?;6:?0=1<6469~w1b4290?wS:k3:?0704=8:?16<<50279>54=8:?162<50279>;4=8:?160<50279>94=8:?166<50279>?4=8:?164<50279=:4=8:?153<50279=84=8:?151<50279=>4=8:?157<50279=<4=8:?155<50279529~w6552909wS:81:?077<6<>1v?8l:181[20=279:n4>469~w1e?290?hvP;c99>764=:116?>?5299>7d7=:116?4h5299>7d6=:116?l95299>7d0=:116=4=5299>5<4=:116=4?5299>5<6=:116=5h5299>5=c=:116=5j5299>5=e=:116=5l5299>5a7=:116=i>5299>5f`=:116=nk5299>5fb=:116=nm5299>5fd=:116=no5299>5f?=:116=oh5299>5gc=:116=oj5299>5ge=:116=ol5299>5gg=:116=o75299>5g>=:116=o95299>653=:116>=:5299>655=:116>=<5299>657=:116>=>5299>5c`=:116=kk5299>5cb=:116>kh5299>6cc=:116>kj5299>75>=:116?==5299>721=:116?:;5299>720=:116?:65299>72?=:116?:o5299>72d=:116?:m5299>72b=:116?;l5299>73g=:116?;65299>731=:116?;75299>730=:116?;;5299>735=:116?;:5299>7=`=:116?5k5299>7=b=:116?5m5299>7=d=:116?5o5299>7=?=:116?565299>7=1=:116?4?53c`8yv57;3:1>vP;959>755=9==0q~:96;296~X3<;16?4?57e9~w1032909wS:;1:?0=4<092wx8;=50;0xZ1273492=78i;|q727<72;qU8>h4=2;2>3b==7>52z\77`=:;0;1:n5rs543>5<5sW>8h63<9085f>{t<937}Y<:k01>7>:7;8yv22k3:1>vP;389>7<7=>11v9;m:181[2402785<48b:p00g=838pR9=8;<1:5?003ty?944?:3y]060<5:3:6;84}r66;4180=86s|44494?4|V=9870=61;41?xu3=<0;6?uQ420896?62?;0q~::3;296~X3;916?4?5619~w1352909wS:=f:?0=4<2n2wx88?50;0xZ14b3492=79n;|q715<72;qU8?j4=2;2>0c?j7>52z\76f=:;0;19i5rs56f>5<5sW>9n63<9086g>{t<=n1<793?i7p};4b83>7}Y<;301>7>:4c8yv23j3:1>vP;299>7<7==01v9:n:181[25?2785<488:p03b=838pR9:7;<1:5?103ty?:n4?:3y]011<5:3:6:74}r65f?6=:rT?8;52383933=z{=;4180<96s|47;94?4|V=>?70=61;57?xu3>10;6?uQ451896?62>90q~:97;296~X3;j16?4?5739~w13a2909wS:<1:?0=4<1m2wx88:50;0xZ1413492=78<;|q70<<72;qU8?;4=2;2>0>:h7>52z\0b<=:;0;19:5rs53a>5<5sW9m463<9087f>{t<8k1<793>27p};1883>7}Y;o<01>7>:558yv2603:1>vP7<7=h=;<1:5?233ty?=94?:3y]7c7<5:3:69=4}r627?6=:rT8j=52383907=z{=;96=4={_1fb>;4180>96s|40394?4|V:on70=61;62?xu3990;6?uQ3df896?62=:0q~:?f;296~X4mj16?4?53g9~w16b2909wS=jb:?0=4<4m2wx8=j50;0xZ6cf3492=7=l;|q74f<72;qU?h74=2;2>6d;m7>52z\0a2=:;0;1?l5rs52:>5<5sW9n:63<9080=>{t<921<793??7p};0683>7}Y;l>01>7>:2:8yv27>3:1>vP7<7=;>1v9>::181[5b:2785<4<6:p052=838pR>k>;<1:5?523ty?<>4?:3y]7`6<5:3:6>:4}r636?6=:rT8hk52383976=z{=::6=4={_1ga>;4180>>6s|43694?4|V:lm70=61;72?xu3::0;6?uQ3gg896?62<90q~:=2;296~X4nm16?4?5519~w1462909wS=ic:?0=4<3n2wx8?>50;0xZ6`e3492=7:j;|q75c<72;qU?ko4=2;2>1b:i7>52z\0b6=:;0;18n5rs535>5<5sW9n463<9087<>{t<9h1<7939o7p};0183>7}Y;mi01>7>:208yvce290jw0=l1;376>;5j?09n63=a781f>;4:909n63<0e81f>;50>09n63=7581f>;4=909n63<4181f>;41808nn5rs3;:>5<4s48247=?;<0:f?573482m7?;0:p7;51k0:8;5238g9511<5;n:6?m4=3f3>7e<5;im6?m4=3af>7e<5;io6?m4=3a`>7e<5;ij6?m4=3a:>421348hn774650;4x97??28><70<6c;:`?842832h70<6a;:`?85>;32o70<;e;:b?xu4;:0;6>u2320975=:;:;1?=523269516522y>764=9=<01>=>:065?85f?3;?;63=fg81g>;5nl09o63=fe81g>;4810:8;523119510<5:=<6?m4=256>421349<:72784k4=c:?0<`<5k2784i4=c:?0=>:064?857?32h70=<5;:`?854<32h70=<6;:`?xu41<0;6>u2384975=:;0?1=994=2;7>7?52z?0=3<6<>16?4658b9~w6g72908w0=n1;13?85>n38270=n0;373>{t;h;1<7420349j?76l;|q0=1<72:q6?4;5319>7<2=9==01>77:9`8yv5>n3:1?v3<9g8202=:;h:1?=523`192785i4>479>777:063?xu41h0;6?;t=2;g>42034;nn7777<:9`896?628?n7p}6}:;h=1=984=2c5>421349j?7?;0:p7d2=838>w0=n6;373>;61:09o63>9381g>;61809o63>9181g>;60o09o63>8d81g>;60m09o63>8b81g>;60k09o63>d081g>;6l909o63>cg81g>;6kl09o63>ce81g>;6kj09o63>cc81g>;6kh09o63>c881g>;6jo09o63>bd81g>;6jm09o63>bb81g>;6jk09o63>b`81g>;6j009o63>b981g>;6j>09o63=0481g>;58=09o63=0281g>;58;09o63=0081g>;58909o63>fg81g>;6nl09o63>fe81g>{t9j21<79t=0;0>66<58n:6<:8;<356?>d34;=?76l;<351?>d34;=876l;<352?>d3ty:4l4?:5y>5<5=9==01><3:1>v3>93804>;6>;0:8=5rs0::>5<3s4;2>7?;7:?06a=b<5;l?65m4}r3:2?6=:r7:5=4<0:?221<6<91v<68:18787>83;?;63<2b8;f>;49003n63=f28;g>{t90=1<7;t=0:e>66<58<<65j4=04;>=c<58<265h4=046>4273ty:4;4?:5y>5=`=9==01>21n01?h=:9a8yv7>03:1>v3>8d804>;6>?0:8=5rs0:6>5<3s4;3i7?;7:?06gc2::01<88:063?xu60=0;69u219f9511<5:8i65j4=23;>=b<5;l;65m4}r3:e?6=:r7:4n4<0:?22=<6<91v<6<:18787?k3;?;63<2`8;f>;49>03n63=eg8;g>{t90h1<766<58<26<:?;|q2<7<72=q6=5l51558964f21n01>?8:9f897cb21i0q~<=0;293~;6mk08<63=288202=:9>814n521619?14n521669<14n5rs0g1>5<3s4;nn7?;7:?1=0?4=3;7>=e<5;2:65m4=361>=d52z?2a<<4827:;>4>419~w4c7290>w0?j9;373>;5;008=63=958;a>;50803i63=408;f>{t9lo1<766<58=?6<:?;|q2`c<72721i01?:?:9`8yv7bn3:19v3>e6804>;6?>03h63>798;a>;6?003j63>748205=z{8nn6=4:{<3f3?73?279?:4<1:?1=650;0x94c12::01<99:063?xu6lm0;68u21d49511<5;9=6>?4=3;1>=e<5;=m65m4=31f>=d52z?2a0<4827:;:4>419~w4bd290>w0?j5;373>;5;<08=63=938;a>;5?o03i63=3e8;f>{t9o81<766<58=36<:?;|q2`g<72:9a8971b21i01?=l:9`8yv7a;3:1>v3>e2804>;6?00:8=5rs0fb>5<2s4;n?7?;7:?176<492795<47e:?13`<7p}=0e83>7}::;21?=52204951156z?16=<6<>16=:<58c9>525=0k16=:;58c9>522=0k16=:858c9~w76d2909w0<=7;13?846=3;?;6s|20g94?3|5;8<6<:8;<347?>c34;<976k;<340?>c34;<:76k;|q14g<72;q6>?85319>642=9==0q~<>d;290~;5:?0:8:521679<`=:9>>14h521649<`=z{;:j6=4={<011?57348:?7?;7:p64e=839p1?<::064?870=32m70?86;:e?xu5800;6?u2236975=::881=994}r02f?6==r79>94>469>521=0j16=:658e9>52?=0l16=:85919~w76?2909w0<=3;13?84693;?;6s|20c94?2|5;886<:8;<343?>e34;<476l;<34=?>c3ty9<:4?:3y>674=;916><>51558yv4613:1?v3=238202=:9>214o5216;9=838p1?<>:064?870132i7p}>b783>7}:9m;1?=521cd951152z?2`5<4827:nh4>469~w4e0290=w0?k0;373>;6>;03n63>628;f>;6><03n63>658;f>;6>?03n6s|1c694?4|58im6>>4=0`g>4203ty:o;4?:4y>5f`=9==01<8<:9f8940221n01<8;:9f8940121n0q~?m3;296~;6kl08<63>bb8202=z{8i>6=4;{<3`a?73?27::847e:?221<7p}>c583>6}:9jn1=994=046>=`<58<=65h4}r3a5?6=:r7:on4<0:?2fd<6<>1v668;g>;6>103h63>688;a>;6>?02<6s|1c294?4|58ii6>>4=0`:>4203ty:o?4?:5y>5fd=9==01<88:9`8940?21i01<86:9f8yv7fn3:1>v3>c`804>;6j10:8:5rs0a2>5<4s4;hm7?;7:?22=<7p}>c183>7}:9j31=994=04:>=d52z?152<48279<84>469~w4`e2909w0<>6;13?847<3;?;6s|1gc94?4|5;;>6>>4=320>4203ty:j44?:3y>642=;916>=<51558yv7a03:1>v3=12804>;5880:8:5rs0d4>5<5s48:>7=?;<034?73?2wx=k850;0x97762::011v{t9h91<766<58kh6<:8;|q2e7<72;q6=oj5319>5dd=9==0q~?n1;296~;6jj08<63>a`8202=z{8k;6=4={<3af?5734;j57?;7:p5<`=838p1<7p}>9d83>7}:9k31?=521`5951152z?2f=<4827:m;4>469~w4?d2909w0?m7;13?87f=3;?;6s|2ec94?0|58ko6>>4=3g0>42034;=m76m;<0;6?>d348??76l;<1:5?72:2wx=i<50;0x94gd2::01<8n:063?xu6l:0;6?u21``975=:9?h1=9>4}r3g0?6=:r7:ml4<0:?22f<6<91v{t9m<1<766<5853`=9=:0q~?k8;296~;6i?08<63>718205=z{8n26=4={<3b1?5734;<=7?;0:p7=0=83>p1?>::22896>a28><70?8a;:a?85>939im6s|23c94?4|5;:?6>>4=05b>4273ty9>o4?:3y>655=;916=:l51528yv45k3:1>v3=03804>;6?j0:8=5rs30g>5<5s48;=7=?;<34`?7382wx>?k50;0x97672::01<9j:063?xu5:o0;6?u21gd975=:9>l1=9>4}r004?6=:r7:jh4<0:?2<5<6<91v?=>:18187al39;70?71;374>{t:l>1<7?<{<0g5?4>348o<7<6;<0`b?4>348hi7<6;<0``?4>348ho7<6;<0`e?4>348h57<6;<0`f?4>348n?7<6;<0f6?4>348n=7<6;<0f4?4>348oj7<6;<0ga?4>348oh7<6;<0gg?4>348on7<6;<0:g?7382wx>l;50;0x97b62::01?lj:063?xu5k10;69u22e39511<5;o86>>4=3;6>=e<5;hm65l4}r0b0?6=:r79h=4<0:?1fa<6<91v?m8:18784c83;?;63=e3804>;51=03n63=b48;f>{t:h91<766<5;hh6<:?;|q1g3<72=q6>nh5155897c62::01?7;:9f897d421h0q~6=4;{<0`a?73?279i=4<0:?1=6;7p}=c583>1}::jn1=994=3fe>66<5;3865j4=3ce>=d52z?1gf<48279n44>419~w7e4290?w0;5ll08<63=938;f>;5im03n6s|28g94?4|5;ij6>>4=3`4>4273ty9o<4?:5y>6fg=9==01?jl:22897?621h01?o6:9`8yv4>l3:1>v3=c8804>;5j?0:8=5rs3a3>5<3s48h57?;7:?1`g<482795<47d:?1e24h50;0x97ee2::01?l7:063?xu5k;0;69u22b`9511<5;no6>>4=3;1>=b<5;ki65l4}r0a0?6=:r79nh4=b:?1f1<6<91v?li:18184em3no70{t:k81<7=t=3`g>7d<5;h?6?l4=3`1>4273ty9n84?:2y>6gb=lm16>o:5144897d228>;7p}=b183>6}::ki1>o522c096g=::k:1=9>4}r0a7?6=;r79nn4kd:?1f7<6=?16>o=51528yv4fm3:1?v3=bc81f>;5j909n63=ad8205=z{;h:6=4<{<0af?bc348i<7?:6:?1f4<6<91v?ol:18084ei38i70431348jj7?;0:p6dg=839p1?l6:3`897gd2;h01?on:063?xu5im0;6>u22c;9`a=::hi1=884=3cg>4273ty9m54?:2y>6g>=:k16>lo52c9>6d>=9=:0q~4}r0b2?6=;r79n:4=b:?1e=<5j279m;4>419~w7g>2908w0:63=a88205=z{;k<6=4<{<0a2?bc348j:7?:6:?1e2<6<91v?j6:18584b:3;?;63>6c8;f>;6>h03o63=808;f>;5<;03o63<908215=z{;n36=49{<0f5?73?27::n47b:?22g4g9~w7b0290=w0;6>m03n63>6b8;g>;50903n63=418;g>;4180:8h5rs3f5>5<1s48oj7?;7:?22`6g8;f>;6>l03o63=7g8;f>;5;l03o63<90820f=z{;n?6=49{<0g`?73?27:;=47b:?22c4c9~w7b4290=w0;6?803n63>718;g>;5?l03n63=3b8;g>;4180:8l5rs3f1>5<2s48on7?;7:?234489~w75f2909w0<;c;0a?844i3;?<6s|25194?4|5;>h6ij4=360>4273ty9:o4?:3y>61e=9=:01?8=:228yv4413:1?v3=4c81f>;5;h09n63=388205=z{;>96=4<{<07f?bc3488m7?:6:?107<6<91v?8n:181843j3;?<63=60804>{t::21<7=t=36b>7d<5;926?l4=31;>4273ty98<4?:2y>61g=lm16>>751448972628>;7p}=6883>7}::=k1=9>4=343>6653z?10<<5j279?54=b:?172<6<91v?:?:18084313no70<<8;362>;5<90:8=5rs34;>5<5s48?57?;0:?11c<482wx>>850;1x972?2;h01?=8:3`8975128>;7p}=3g83>6}::=21hi522259500<5;9m6<:?;|q122<72;q6>9651528973b2::0q~<<5;297~;5<>09n63=3781f>;5;<0:8=5rs31f>5<4s48?;7jk;<002?72>279?h4>419~w7012909w0<;7;374>;5=m08<6s|22694?5|5;>=6?l4=316>7d<5;9?6<:?;|q17a<72:q6>985de9>663=9<<01?=k:063?xu5><0;6?u22549516<5;?h6>>4}r007?6=;r79884=b:?171<5j279?>4>419~w75d2908w0<;5;fg?844<3;>:63=3b8205=z{;u22569`a=:::91=884=31a>4273ty9:>4?:3y>612=9=:01?;n:228yv43n3:1>v3=33805>;5=90:8=5rs36g>5<5s48=o7<6;<07a?7382wx>;j50;0x970d2::01?8j:063?xu5=00;6?u22709511<5;469>63c=0l1v?;8:18184183;?;63=6g8;f>{t:<<1<7420348=j76l;|q110<72;q6>8k51558970a21o0q~<:4;296~;5=m0:8:5227g97}::=`52z?1b<<5j279ii4>419~w7`12909w03;?<6s|31094?4|5;l26<:?;<0eb?573ty9in4?:2y>6c>=:k16>hj52c9>6`e=9=:0q~4}r135?6=:r79j54>419>6cc=;91v>9<:18684bl39:70=8c;373>;40l08<63<1`8;g>;5n<03n6s|2d`94?5|5;l<6?l4=3g`>7d<5;oi6<:?;|q1b1<72:q6>k95de9>6`e=9<<01?h;:063?xu4890;6?u22g59516<5;lo6>>4}r146?6==r79in4<1:?03g<6<>16?5j5319>74g=0l16>k:58c9~w7cf2909w0?4=25b>4203493o7=?;<12=?>d348m?76m;|q1b6<72;q6>hl5144897`428>;7p}=e883>7}::lk1>o522d;951655z?1ad<49278;44>469>7=d=;916?<758d9>6c4=0k1v?h=:18184bi3;>:63=f38205=z{;o36=4={<0f=?4e348n47?;0:p73`=83?p1?k6:238961?28><70=7a;13?856032h70h652c9>6`1=9=:0q~=9e;291~;5m108=63<768202=:;131?=5230:9<`=::o:14o5rs3d3>5<5s48n47?:6:?1b5<6<91v?k9:18184b?38i70{t;?n1<7;t=3g4>67<5:==6<:8;<1;e3ty9ik4?:3y>6`1=9<<01?ki:063?xu5m<0;6?u22d496g=::l?1=9>4}r15g?6==r79i;4<1:?030<6<>16?595319>741=0l16>hk58c9~w7cb2909w0;5ml0:8=5rs216>5<6lr79i84<1:?032<51278;84=9:?033<51278;54=9:?03<<51278;l4=9:?03g<51278;n4=9:?03a<51278:o4=9:?02d<51278:54=9:?022<51278:44=9:?023<51278:84=9:?026<51278:94=9:?0419~w7`d2909w0;48<03o6s|2g`94?4|5;ln6<:8;<131?>b3ty9jl4?:3y>6cb=9==01>>::9f8yv5703:1?v3<098202=:;9?14o523159349;;7?;0:p752=838p1>><:228966228>;7p}<1g83>7}:;;>1>o52331951652z?061<6=?16??k51528yv5483:1>v3<258205=:;:>14i5rs23f>5<5s499?7{t;8n1<77d<5:8:6<:?;|q062<72;q6??<51448964d28>;7p}<1b83>7}:;;;1>o52332951652z?064<6=?16??l51528yv55=3:1>v3<218213=:;;k1=9>4}r13g?6=:r78=<4=b:?055<6<91v>?9:18185693;>:63<1c8205=z{:8m6=4={<125?738278?947e:p75d=838p1>??:3`8966a28>;7p}<1483>7}:;8:1=884=23b>4273ty875`=:k16?=k51528yv56<3:1>v3<0g8213=:;831=9>4}r13=?6=:r78?<:181857m3;>:63<198205=z{:;96=4={<13`?72>278=:4>419~w7>12909w0<7b;0a?84?i3;?<6s|28294?4|5;2i6<;9;<0:1?7382wx>4950;0x97>e28>;70<6a;:g?xu50<0;6?u229c96g=::131=9>4}r0;b?6=:r794l4>579>6<2=9=:0q~<74;296~;50009n63=898205=z{;2n6=4={<0;=?72>2795>4>419~w7>42909w0<78;0a?84??3;?<6s|29f94?4|5;236<;9;<0:6?7382wx>5m50;0x97>028?=70<61;374>{t:>91<77d<5;=<6<:?;|q13a<72;q6>:65144897>528>;7p}=9783>7}::>21=9>4=3;b>=c7>52z?132<5j279;;4>419~w71d2909w0<87;362>;5080:8=5rs352>5<5s48<:7:l50;0x971128?=70<70;374>{t:>:1<77d<5;=?6<:?;|q13d<72;q6>:;51448971a28>;7p}=7883>7}::>>1=884=35f>4273ty89n4?:5y>721=;916?;;51558964e21o01>:::9`8yv52i3:18v3<74804>;4>:0:8:5233c9<`=:;=;14o5rs27a>5<3s49<:7=?;<150?73?278>l47c:?00689:064?855j32h70=;7;:a?xu4=l0;69u236;975=:;?=1=994=20`>=c<5:>265l4}r16b?6=16??m58b9>71d=0k1v>8?:187850j39;70=99;373>;4:m03i63<4e8;f>{t;?;1<7:t=25`>66<5:d349?j76m;|q027<72=q6?:j5319>73d=9==01>21h0q~=84;290~;4?m0:8:5239d975=:;8h14o522g4947?;0:p76c=838p1>8n:228963028>;7p}<3b83>7}:;?21?=52347951652z?022<48278994>419~w65c2909w0=99;13?852>3;?<6s|32c94?4|5:<=6>>4=270>4273ty8?44?:3y>733=;916?8<51528yv54?3:1>v3<62804>;4=90:8=5rs21;>5<5s49=87=?;<165?7382wx?9k50;0x963?2;h01>:j:063?xu4=00;6?u234:9`a=:;<31=9>4}r17g?6=;r789:4=b:?00`<5j2788n4>419~w62a2908w0=:7;fg?853m3;>:63<4g8205=z{:>j6=4<{<162?4e349?o7:l:075?853l3;?<6s|35:94?5|5:?>6?l4=26b>7d<5:>36<:?;|q00g<72:q6?8;5de9>71g=9<<01>:m:063?xu4u234696g=:;=21>o52354951653z?011579>71?=9=:0q~=;4;297~;4=:09n63<4781f>;4<=0:8=5rs264>5<4s49>?7jk;<172?72>2788:4>419~w6252908w0=:2;0a?853<38i70=;2;374>{t;=?1<7=t=271>ab<5:>?6<;9;<171?7382wx?9>50;1x96362;h01>:=:3`8962728>;7p}<4283>6}:;<;1hi523509500<5:>86<:?;|q004<72:q6?8>5de9>716=9<<01>:>:063?xu40<0;69u239g9511<58=i65l4=05b>=e<5:3:6>l7;|q0<1<72=q6?5j51558941d21h01<9m:9a896?62:h<7p}<8283>1}:;1i1=994=05g>=d<58=h65m4=2;2>6d13ty84?4?:5y>7=d=9==01<9j:9`8941c21i01>7>:2`6?xu4080;69u239c9511<58=m65l4=05f>=e<5:3:6>l;;|q0<5<72=q6?575155894>721h01<9i:9a896?62:h87p}<7g83>1}:;121=994=0:2>=d<582;65m4=2;2>6d53ty8;h4?:2y>7=1=9==01<6>:9a896?62:h:7p}ja;296~;5>o0:8=5227g9=5=z{:3;6=4:{<101?7382785<47<7=;ho01>7>:2cg?85>939jo6s|38094?4|5:386<:?;<1:5?72<2wv9?k:181[5a1279:7=i9:&0e=<6;?1v9?m:181[5a0279:7=i8:&0e=<6;>1v9?n:181[5a?279:7=i7:&0e=<6;11v9?6:181[5a>279:7=i6:&0e=<6;01v9?7:181[5a=279:7=i5:&0e=h;;%1bc3:87>52z\0b4=::?08j<5+3`:9556:?7>52z\0b5=::?08j=5+3`:955d:>7>52z\0ac=::?08ik5+3`:9540:=7>52z\0a`=::?08ih5+3`:954e:<7>52z\0aa=::?08ii5+3`:954b;j7>52z\0af=::?08in5+3`:954c;i7>52z\0ag=::?08io5+3`:954`;h7>52z\0ad=::?08il5+3`:9576;o7>52z\0a<=::?08i45+3`:9577;m7>52z\0a2=::?08i:5+3`:9574;57>52z\0a3=::?08i;5+3`:9575;47>52z\0a0=::?08i85+3`:9572;;7>52z\0a1=::?08i95+3`:9573;:7>52z\0a6=::?08i>5+3`:9570;97>52z\0a7=::?08i?5+3`:9571;87>52z\0a4=::?08i<5+3`:957>;?7>52z\0a5=::?08i=5+3`:957?;>7>52z\0`c=::?08hk5+3`:957g;=7>52z\0``=::?08hh5+3`:957d987>52z\0bc=::?08jk5+3`:957e9?7>52z\0b`=::?08jh5+3`:957b9>7>52z\0ba=::?08ji5+3`:957c9=7>52z\0bf=::?08jn5+3`:957`9<7>52z\0bg=::?08jo5+3`:9566:j7>52z\0bd=::?08jl5+3`:9567:i7>52z\0b6=::?08j>5+3`:9564::7>52z\0a==::?08i55+3`:9565;n7>52z\0`a=::?08hi5+3`:9562;<7>52z\0`f=::?08hn5+3`:9563i?7>52z\7f6=::?0?n>5+3`:956gij7>52z\7fc=::?0?nk5+3`:956dh=7>52z\7g4=::?0?o<5+3`:956eh47>52z\7g==::?0?o55+3`:9aa=z{=n86=4={_6g7>;5>3>o?6*{t97)=n8;d3?xu3>=0;6?uQ4538970=<=;0(>o7:g38yv21;3:1>vP;419>63<3<91/?l65f39~w1052909wS:15b3-9j47h;;|q725<72;qU8>j4=34906b<,:k36k84}r66a?6=:rT??o5227877g=#;h21j:5rs57g>5<5sW>8m63=6;60e>"4i10m46s|44a94?4|V=9270<9:51:?!5f03l27p};5c83>7}Y<:201?8542:8 6g?2ok0q~::a;296~X3;>16>;4;369'7d>=nk1v9;6:181[24>279:7:<6:&0e=:4$2c;>cc>:7>52z\776=::?0??>5+3`:9bc=z{=?>6=4={_606>;5>3>8>6*;5>3>8<6*;5>3>9j6*;5>3>9i6*;5>3>9h6*m6=4={_61g>;5>3>9o6*n6=4={_61f>;5>3>9n6*o6=4={_61e>;5>3>9m6*h6=4={_61=>;5>3>956*i6=4={_61<>;5>3>946*j6=4={_613>;5>3>9;6*;5>3>?46*;5>3>?;6*;5>3>?:6*;5>3>?96*;5>3>?86*;5>3>??6*;5>3>8o6*;5>3>8=6*;5>3>9:6*26=4={_611>;5>3>996*;5>3>=j6*;5>3><=6*6=4={_641>;5>3><96*;5>3>286*52zJ0e<=zf0=n6=4={I1b=>{i1>l1<7090;6?uG3`;8yk??93:1>vF52909wE=n9:m==5=838pD>o6;|l:<1<72;qC?l74}o;;1?6=:rB8m45rn8:5>5<5sA9j56sa99594?4|@:k27p`68983>7}O;h30qc779;296~N4i01vb46n:181M5f12we55l50;0xL6g>3td24n4?:3yK7d?52zJ0e<=zf02n6=4={I1b=>{i11l1<7190;6?uG3`;8yk?>93:1>vFo6;|l:=1<72;qC?l74}o;:1?6=:rB8m45rn8;5>5<5sA9j56sa98594?4|@:k27p`69983>7}O;h30qc769;296~N4i01vb47n:181M5f12we54l50;0xL6g>3td25n4?:3yK7d?52zJ0e<=zf03n6=4={I1b=>{i10l1<7i90;6?uG3`;8yk?f93:1>vFo6;|l:e1<72;qC?l74}o;b1?6=:rB8m45rn8c5>5<5sA9j56sa9`594?4|@:k27p`6a983>7}O;h30qc7n9;296~N4i01vb4on:181M5f12we5ll50;0xL6g>3td2mn4?:3yK7d?52zJ0e<=zf0kn6=4={I1b=>{i1hl1<7j90;6?uG3`;8yk?e93:1>vFo6;|l:f1<72;qC?l74}o;a1?6=:rB8m45rn8`5>5<5sA9j56sa9c594?4|@:k27p`6b983>7}O;h30qc7m9;296~N4i01vb4ln:181M5f12we5ol50;0xL6g>3td2nn4?:3yK7d?52zJ0e<=zf0hn6=4={I1b=>{i1kl1<7k90;6?uG3`;8yk?d93:1>vFo6;|l:g1<72;qC?l74}o;`1?6=:rB8m45rn8a5>5<5sA9j56sa9b594?4|@:k27p`6c983>7}O;h30qc7l9;296~N4i01vb4mn:181M5f12we5nl50;0xL6g>3td2on4?:3yK7d?52zJ0e<=zf>o96=4>{I1b=>{i0:91<7?tH2c:?xh?;=0;64=3:1=vFo6;|l;7=<728qC?l74}o:0=?6=9rB8m45rn91b>5<6sA9j56sa82`94?7|@:k27p`73b83>4}O;h30qc6h50;3xL6g>3td38=4?:0yK7d?51zJ0e<=zf1>96=4>{I1b=>{i0=91<7?tH2c:?xh?<=0;63=3:1=vFo6;|l;0=<728qC?l74}o:7=?6=9rB8m45rn96b>5<6sA9j56sa85`94?7|@:k27p`74b83>4}O;h30qc6;d;295~N4i01vb5:j:182M5f12we49h50;3xL6g>3td39=4?:0yK7d?=7>51zJ0e<=zf1?96=4>{I1b=>{i0<91<7?tH2c:?xh?==0;62=3:1=vFo6;|l;1=<728qC?l74}o:6=?6=9rB8m45rn97b>5<6sA9j56sa84`94?7|@:k27p`75b83>4}O;h30qc6:d;295~N4i01vb5;j:182M5f12we48h50;3xL6g>3td3:=4?:0yK7d?51zJ0e<=zf1<96=4>{I1b=>{i0?91<7?tH2c:?xh?>=0;61=3:1=vFo6;|l;2=<728qC?l74}o:5=?6=9rB8m45rn94b>5<6sA9j56sa87`94?7|@:k27p`76b83>4}O;h30qc69d;295~N4i01vb58j:182M5f12we4;h50;3xL6g>3td3;=4?:0yK7d?51zJ0e<=zf1=96=4>{I1b=>{i0>91<7?tH2c:?xh??=0;60=3:1=vFo6;|l;3=<728qC?l74}o:4=?6=9rB8m45rn95b>5<6sA9j56sa86`94?7|@:k27p`77b83>4}O;h30qc68d;295~N4i01vb59j:182M5f12we4:h50;3xL6g>3td34=4?:0yK7d?51zJ0e<=zf1296=4>{I1b=>{i0191<7?tH2c:?xh?0=0;6?=3:1=vF1290:wE=n9:m<=1=83;pD>o6;|l;<=<728qC?l74}o:;=?6=9rB8m45rn9:b>5<6sA9j56sa89`94?7|@:k27p`78b83>4}O;h30qc67d;295~N4i01vb56j:182M5f12we45h50;3xL6g>3td35=4?:0yK7d?51zJ0e<=zf1396=4>{I1b=>{i0091<7?tH2c:?xh?1=0;6>=3:1=vFo6;|l;==<728qC?l74}o::=?6=9rB8m45rn9;b>5<6sA9j56sa88`94?7|@:k27p`79b83>4}O;h30qc66d;295~N4i01vb57j:182M5f12we44h50;3xL6g>3td3m=4?:0yK7d?51zJ0e<=zf1k96=4>{I1b=>{i0h91<7?tH2c:?xh?i=0;6f=3:1=vFo6;|l;e=<728qC?l74}o:b=?6=9rB8m45rn9cb>5<6sA9j56sa8``94?7|@:k27p`7ab83>4}O;h30qc6nd;295~N4i01vb5oj:182M5f12we4lh50;3xL6g>3td3n=4?:0yK7d?51zJ0e<=zf1h96=4>{I1b=>{i0k91<7?tH2c:?xh?j=0;6e=3:1=vFo6;|l;f=<728qC?l74}o:a=?6=9rB8m45rn9`b>5<6sA9j56sa8c`94?7|@:k27p`7bb83>4}O;h30qc6md;295~N4i01vb5lj:182M5f12we4oh50;3xL6g>3td3o=4?:0yK7d?51zJ0e<=zf1i96=4>{I1b=>{i0j91<7?tH2c:?xh?k=0;6d=3:1=vFo6;|l;g=<728qC?l74}o:`=?6=9rB8m45rn9ab>5<6sA9j56sa8b`94?7|@:k27p`7cb83>4}O;h30qc6ld;295~N4i01vb5mj:182M5f12we4nh50;3xL6g>3td3h=4?:0yK7d?51zJ0e<=zf1n96=4>{I1b=>{i0m91<7?tH2c:?xh?l=0;6c=3:1=vFo6;|l;`=<728qC?l74}o:g=?6=9rB8m45rn9fb>5<6sA9j56sa8e`94?7|@:k27p`7db83>4}O;h30qc6kd;295~N4i01vb5jj:182M5f12we4ih50;3xL6g>3td3i=4?:0yK7d?51zJ0e<=zf1o96=4>{I1b=>{i0l91<7?tH2c:?xh?m=0;6b=3:1=vFo6;|l;a=<728qC?l74}o:f=?6=9rB8m45rn9gb>5<6sA9j56sa8d`94?7|@:k27p`7eb83>4}O;h30qc6jd;295~N4i01vb5kj:182M5f12we4hh50;3xL6g>3td3j=4?:0yK7d?51zJ0e<=zf1l96=4>{I1b=>{i0o91<7?tH2c:?xh?n=0;6a=3:1=vFo6;|l;b=<728qC?l74}o:e=?6=9rB8m45rn9db>5<6sA9j56sa8g`94?7|@:k27p`7fb83>4}O;h30qc6id;295~N4i01vb5hj:182M5f12we4kh50;3xL6g>3td2<=4?:0yK7d?51zJ0e<=zf0:96=4>{I1b=>{i1991<7?tH2c:?xh>8=0;6o6;|l:4=<728qC?l74}o;3=?6=9rB8m45rn82b>5<6sA9j56sa91`94?7|@:k27p`60b83>4}O;h30qc7?d;295~N4i01vb4>j:182M5f12we5=h50;3xL6g>3td2==4?:0yK7d?51zJ0e<=zf0;96=4>{I1b=>{i1891<7?tH2c:?xh>9=0;6o6;|l:5=<728qC?l74}o;2=?6=9rB8m45rn83b>5<6sA9j56sa90`94?7|@:k27p`61b83>4}O;h30qc7>d;295~N4i01vb4?j:182M5f12we53td2>=4?:0yK7d?51zJ0e<=zf0896=4>{I1b=>{i1;91<7?tH2c:?xh>:=0;6o6;|l:6=<728qC?l74}o;1=?6=9rB8m45rn80b>5<6sA9j56sa93`94?7|@:k27p`62b83>4}O;h30qc7=d;295~N4i01vb43td2?=4?:0yK7d?51zJ0e<=zf0996=4>{I1b=>{i1:91<7?tH2c:?xh>;=0;6o6;|l:7=<728qC?l74}o;0=?6=9rB8m45rn81b>5<6sA9j56sa92`94?7|@:k27p`63b83>4}O;h30qc7h50;3xL6g>3td28=4?:0yK7d?51zJ0e<=zf0>96=4>{I1b=>{i1=91<7?tH2c:?xh><=0;6o6;|l:0=<728qC?l74}o;7=?6=9rB8m45rn86b>5<6sA9j56sa95`94?7|@:k27p`64b83>4}O;h30qc7;d;295~N4i01vb4:j:182M5f12we59h50;3xL6g>3td29=4?:0yK7d?=7>51zJ0e<=zf0?96=4>{I1b=>{i1<91<7?tH2c:?xh>==0;6o6;|l:1=<728qC?l74}o;6=?6=9rB8m45rn87b>5<6sA9j56sa94`94?7|@:k27p`65b83>4}O;h30qc7:d;295~N4i01vb4;j:182M5f12we58h50;3xL6g>3td2:=4?:0yK7d?51zJ0e<=zf0<96=4>{I1b=>{i1?91<7?tH2c:?xh>>=0;6o6;|l:2=<728qC?l74}o;5=?6=9rB8m45rn84b>5<6sA9j56sa97`94?7|@:k27p`66b83>4}O;h30qc79d;295~N4i01vb48j:182M5f12we5;h50;3xL6g>3td2;=4?:0yK7d?51zJ0e<=zf0=96=4>{I1b=>{i1>91<7?tH2c:?xh>?=0;6o6;|l:3=<728qC?l74}o;4=?6=9rB8m45rn85b>5<6sA9j56sa96`94?7|@:k27p`67b83>4}O;h30qpsr@AAxf3g=?>kj=>:<}ABA5{GHYqvLM \ No newline at end of file Index: trunk/cores/pkt_counter_1024.ngc =================================================================== --- trunk/cores/pkt_counter_1024.ngc (revision 2) +++ trunk/cores/pkt_counter_1024.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.5e -$2a141<,[o}e~g`n;"2*776&=$95-*>;133?56789:;<=>?1123746<89:;<=>?8123456702:;<=>?03:8456789:346>?0123<5><89:;4=>?1:30?4(79o1:<7GAPTV9twi`Wlg{xtQ{hsgpl9?=878=7<>5IORVP?vugnUna}zv_ujqavnXizyn~y26:1<12>772@D[YY4rne\ahvsqV~c~h}g_ogdeqc;13:5=h5>0;KMTPR=x{elSk{cl^vkv`uo400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vkxh|{<883:73<990BB][[:qplcZ`rdeUdk|h^lfcdrb400;2<74118LQQVR\3njxlQlotlw8<<768i0==4@UURVP?tcWmkmRm`uov?=?699j1:<7AZTQWW>rtXlh~jSnaznu>:>58682;1EC^ZT;fjj96=87;97<4@UURVP?bh}}6;6=0>;338046<=fs=<>{fg3273+6921=AGZ^X7O36;2=54=02@D[YY4KPSC?2?69923?74>?939:2==>>?3=548;;@>3:1=F484?7L2=>59B86833H6?295N<4<5?D:1294?7L29>89BW\HDW[OL=6L<;CW1<>DR[VCEJB?4C39@A441O\_O33?58@UTF4=4<7I^]A=7==>BWZH6=6=08;ERQE909991NJH;JAD27B133I=:97KJ<;GF@0>@CKL90JI^;;GFSA1=AN;:37KHIFGDEA0=Aieyn=6I<;FLG5>O53@:97D?=;H01?L553@>97D;6;HLJPVRF\L90ECX<;HMA6>JN>2FDMIKK7:NLCLEFD=1GYY?;;MWW61=K]]9?7A[[459OQQ333E__:85BSFMM1>KRPJS>7@[WF6a8Idlhz_oydaac:OjjjtQm{ybcc=4N131?K743G;:?6@>329M505H39;1E:>5A6018J3143G<3?6@8029M375987C683:L;<6=I0090B4?<;O;1b>HEWK_X\D@PPSMSW2=IM]]D^F?4O39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_6;SCN57>_9M;0_;5\OTP@A6=TXF>0XT^J329V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]amkYg{:;<=Q]erwop4543\:$kh!rg-dg}(ddbr$Aua}_sf\tkruWkceSua}0122[Wct}e~:?>5Z0.eqb+ta'nis"nbdx.O{kwYulVzexQmio]{kw678;UYi~{ct010?P6(o{l%~k!hcy,`hn~(EqeySjPpovq[goiWqey<=><_Sgpqir6;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2341YUmzgx<=8;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:?S_k|umv2[VQ7;:1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UiecQwos2340YUmzgx<=<;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_ckm[}iu89:=S_k|umv276=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwYeagUsc>?06]Qavsk|8987X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySoga_ymq456?W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{UyhR~ats]dgZ~hz9:;?00]Qavsk|8997X> gsd-vc)`kq$h`fv Mymq[wbXxg~ySjmPxnp3454XZly~`y?<2:W3+bta&{l$knv!cmi{+H~hzVxoS}`{r^e`[}iu89:8S_k|umv277=R8&myj#|i/fa{*fjlp&GscQ}d^rmpwY`kVrd~=>?4^Pfwpjs9:80Y=!hrg,qb*adp'iggu!Bxnp\vaYwf}xTknQwos2340YUmzgx<==;T2,cw`)zo%lou lljz,I}iuW{nT|cz}_fa\|jt789S7'nxm"h gbz-gim'Drd~R|k_qlwvZadWqey<=>8_Sgpqir6;;1^<"i}f/pe+be&jf`t"Cwos]q`Zvi|{UloRv`r123=4U1-dvc(un&mht#mcky-N|jtX{U{by|Pbhl\|jt789:T^h}zlu307>S7'nxm"h gbz-gim'Drd~Ry}_qlwvZdnfVrd~=>?1^Pfwpjs9:90Y=!hrg,qb*adp'iggu!Bxnp\swYwf}xTnd`Pxnp3454XZly~`y?<3:W3+bta&{l$knv!cmi{+H~hzV}yS}`{r^`jjZ~hz9:;?R\jstnw565<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXj`dTtb|?016\V`urd};8;6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Rlfn^zlv567VXnxb{1218Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\flhXpfx;<=9PRdqvhq74;2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVhbbRv`r123<4U1-dvc(un&mht#mcky-N|jtX{U{by|Pgb]{kw6789UYi~{ct011?P6(o{l%~k!hcy,`hn~(EqeySz|Ppovq[beXpfx;<=?PRdqvhq74:2_;#j|i.sd,cf~)keas#@v`r^uq[uhszVmhSua}0121[Wct}e~:??5Z0.eqb+ta'nis"nbdx.O{kwYpzVzexQhc^zlv567;VXnxb{1208Q5)`zo$yj"ilx/aoo})JpfxT{Qnup\cfYg{:;<9Q]erwop4553\:$kh!rg-dg}(ddbr$Aua}_vp\tkruWniTtb|?017\V`urd};8>6[?/fpe*w`(ojr%oaew/LzlvZquWyd~Ril_ymq4561W[oxyaz>339V4*aun'xm#jmw.bnh|*Kg{U|~R~ats]dgZ~hz9:;;R\jstnw564<]9%l~k }f.e`|+ekcq%Ftb|Pws]sjqtXojUsc>?09]Qavsk|88:7X> gsd-vc)`kq$h`fv re]sjqtXj`d7<3<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`31?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?6;463\:$kh!rg-dg}(ddbr$~iQnup\flh;;78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d783<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`35?02?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl?2;463\:$kh!rg-dg}(ddbr$~iQnup\flh;?78:7X> gsd-vc)`kq$h`fv re]sjqtXj`d743<>;T2,cw`)zo%lou lljz,vaYwf}xTnd`39?03?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\476<]9%l~k }f.e`|+ekcq%yhR~ats]amkY6:91^<"i}f/pe+be&jf`t"|k_qlwvZdnfV89<6[?/fpe*w`(ojr%oaew/sf\tkruWkceS>8;7X> gsd-vc)`kq$h`fv re]sjqtXj`dT4?>4U1-dvc(un&mht#mcky-q`Zvi|{UiecQ6279V4*aun'xm#jmw.bnh|*tcWyd~Rlfn^zlv5678;<0Y=!hrg,qb*adp'iggu!}d^rmpwYeagUsc>?0005?P6(o{l%~k!hcy,`hn~(zmU{by|Pbhl\|jt78989:6[?/fpe*w`(ojr%oaew/sf\tkruWkceSua}012063=R8&myj#|i/fa{*fjlp&xoS}`{r^`jjZ~hz9:;8?84U1-dvc(un&mht#mcky-q`Zvi|{UiecQwos2340413\:$kh!rg-dg}(ddbr$~iQnup\flhXpfx;<=8=6:W3+bta&{l$knv!cmi{+wbXxg~ySoga_ymq4560:?1^<"i}f/pe+be&jf`t"|k_qlwvZdnfVrd~=>?8328Q5)`zo$yj"ilx/aoo})ulVzexQhc=2=65=R8&myj#|i/fa{*fjlp&xoS}`{r^e`848582_;#j|i.sd,cf~)keas#jPpovq[be;:78;7X> gsd-vc)`kq$h`fv re]sjqtXoj682?>4U1-dvc(un&mht#mcky-q`Zvi|{Ulo1:1219V4*aun'xm#jmw.bnh|*tcWyd~Ril<4<14>S7'nxm"h gbz-gim'{nT|cz}_fa?2;473\:$kh!rg-dg}(ddbr$~iQnup\cf:06;:0Y=!hrg,qb*adp'iggu!}d^rmpwY`k525>=5Z0.eqb+ta'nis"nbdx.pg[uhszVmh040>f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP00d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^32b>S7'nxm"h gbz-gim'{nT|cz}_fa\64`<]9%l~k }f.e`|+ekcq%yhR~ats]dgZ56n2_;#j|i.sd,cf~)keas#jPpovq[beX<8l0Y=!hrg,qb*adp'iggu!}d^rmpwY`kV?:j6[?/fpe*w`(ojr%oaew/sf\tkruWniT:f:W3+bta&{l$knv!cmi{+wbXxg~ySjmP80d8Q5)`zo$yj"ilx/aoo})ulVzexQhc^;12>S7'nxm"h gbz-gim'{nT|cz}_fa\evtbo5:5>;5Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef>2:70<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlm7>3<9;T2,cw`)zo%lou lljz,vaYwf}xTknQnssgd8685>2_;#j|i.sd,cf~)keas#jPpovq[beXizxnk1:1279V4*aun'xm#jmw.bnh|*tcWyd~Ril_`qqab:26;<0Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hi36?05?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`4>49:6[?/fpe*w`(ojr%oaew/sf\tkruWniTm~|jg=:=63=R8&myj#|i/fa{*fjlp&xoS}`{r^e`[duumn622?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\473<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT=?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\673<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT??;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\073<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT9?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\273<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT;?;4U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\<73<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmT5?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:76;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>2:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<2=>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl8682?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:36;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>6:7?<]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh<29>3;8Q5)`zo$yj"ilx/aoo})ulVzexQhc^cpv`aXl86<2?74U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4:?6;30Y=!hrg,qb*adp'iggu!}d^rmpwY`kVkx~hiPd0>::7><]9%l~k }f.e`|+ekcq%yhR~ats]dgZgtzlmTh55Z0.eqb+ta'nis"nbdx.pg[uhszVmhSl}}ef]g5Z3502_;#j|i.sd,cf~)keas#jPpovq[beXizxnkRj>_70;?P6(o{l%~k!hcy,`hn~(zmU{by|Pgb]bwwc`Wm;T;?64U1-dvc(un&mht#mcky-q`Zvi|{UloRo|rde\`4Y?:11^<"i}f/pe+be&jf`t"|k_qlwvZadWhyyijQk1^;11>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789:996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01311>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt7898996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01111>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789>996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01711>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt789<996[?/fpe*w`(ojr%oaew/sf\tkruWniTtb|?01511>S7'nxm"h gbz-gim'{nT|cz}_fa\|jt78929=6[?/fpe*w`(ojr%oaew/vp\tkruWkce0=0=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<0<15>S7'nxm"h gbz-gim'~xT|cz}_ckm878592_;#j|i.sd,cf~)keas#z|Ppovq[goi4:49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce090=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<4<15>S7'nxm"h gbz-gim'~xT|cz}_ckm838592_;#j|i.sd,cf~)keas#z|Ppovq[goi4>49=6[?/fpe*w`(ojr%oaew/vp\tkruWkce050=1:W3+bta&{l$knv!cmi{+rtXxg~ySoga<8<14>S7'nxm"h gbz-gim'~xT|cz}_ckm[5473\:$kh!rg-dg}(ddbr${Qnup\flhX9;:0Y=!hrg,qb*adp'iggu!xr^rmpwYeagU9>=5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbR==0:W3+bta&{l$knv!cmi{+rtXxg~ySoga_503?P6(o{l%~k!hcy,`hn~({U{by|Pbhl\176<]9%l~k }f.e`|+ekcq%|~R~ats]amkY1:91^<"i}f/pe+be&jf`t"y}_qlwvZdnfV=9<6[?/fpe*w`(ojr%oaew/vp\tkruWkceS5 gsd-vc)`kq$h`fv ws]sjqtXj`dTtb|?01312>S7'nxm"h gbz-gim'~xT|cz}_ckm[}iu89:9>;5Z0.eqb+ta'nis"nbdx.uq[uhszVhbbRv`r123770<]9%l~k }f.e`|+ekcq%|~R~ats]amkYg{:;<9<9;T2,cw`)zo%lou lljz,swYwf}xTnd`Pxnp34535>2_;#j|i.sd,cf~)keas#z|Ppovq[goiWqey<=>9279V4*aun'xm#jmw.bnh|*quWyd~Rlfn^zlv567?;<0Y=!hrg,qb*adp'iggu!xr^rmpwYeagUsc>?0903?P6(o{l%~k!hcy,`hn~({U{by|Pgb>3:76<]9%l~k }f.e`|+ekcq%|~R~ats]dg979:91^<"i}f/pe+be&jf`t"y}_qlwvZad4;49<6[?/fpe*w`(ojr%oaew/vp\tkruWni7?3328Q5)`zo$yj"ilx/aoo})pzVzexQhc=7=65=R8&myj#|i/fa{*fjlp&}yS}`{r^e`838582_;#j|i.sd,cf~)keas#z|Ppovq[be;?78;7X> gsd-vc)`kq$h`fv ws]sjqtXoj632?>4U1-dvc(un&mht#mcky-tvZvi|{Ulo1711g9V4*aun'xm#jmw.bnh|*quWyd~Ril_13e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]25c=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[77a3\:$kh!rg-dg}(ddbr${Qnup\cfY49o1^<"i}f/pe+be&jf`t"y}_qlwvZadW=;m7X> gsd-vc)`kq$h`fv ws]sjqtXojU>=k5Z0.eqb+ta'nis"nbdx.uq[uhszVmhS;?i;T2,cw`)zo%lou lljz,swYwf}xTknQ81g9V4*aun'xm#jmw.bnh|*quWyd~Ril_93e?P6(o{l%~k!hcy,`hn~({U{by|Pgb]:63=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[duumn6;2?84U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde?5;413\:$kh!rg-dg}(ddbr${Qnup\cfYf{{ol0?0=6:W3+bta&{l$knv!cmi{+rtXxg~ySjmParpfc959:?1^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyij2;>348Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`a;=78=7X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh<7<12>S7'nxm"h gbz-gim'~xT|cz}_fa\evtbo5=5>;5Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef>;:70<]9%l~k }f.e`|+ekcq%|~R~ats]dgZgtzlm753<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[5423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS<<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[7423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS><:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[1423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS8<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[3423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS:<:;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[=423\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olS4<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;87827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?5;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?32?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7?3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;<7827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?1;4>3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?36?0:?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;7;3<6;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7;07827X> gsd-vc)`kq$h`fv ws]sjqtXojUjkh_e3?=;4?3\:$kh!rg-dg}(ddbr${Qnup\cfYf{{olSi?P03:8Q5)`zo$yj"ilx/aoo})pzVzexQhc^cpv`aXl8U:>55Z0.eqb+ta'nis"nbdx.uq[uhszVmhSl}}ef]g5Z4502_;#j|i.sd,cf~)keas#z|Ppovq[beXizxnkRj>_20;?P6(o{l%~k!hcy,`hn~({U{by|Pgb]bwwc`Wm;T8?64U1-dvc(un&mht#mcky-tvZvi|{UloRo|rde\`4Y2:11^<"i}f/pe+be&jf`t"y}_qlwvZadWhyyijQk1^41<>S7'nxm"h gbz-gim'~xT|cz}_fa\evtboVn:S:<7;T2,cw`)zo%lou lljz,swYwf}xTknQnssgd[a7X0;20Y=!hrg,qb*adp'iggu!xr^rmpwY`kVkx~hiPd0]:60=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:;>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012260=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:9>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012060=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:?>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012660=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:=>85Z0.eqb+ta'nis"nbdx.uq[uhszVmhSua}012460=R8&myj#|i/fa{*fjlp&}yS}`{r^e`[}iu89:3?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs7<3=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu1?13g9V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Snw32?1e?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qly=1=7c=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_b{?0;5a3\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]`}939;o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[f;>79m7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Ydq5=5?k5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wjs743=i;T2,cw`)zo%l`= }d.eqev(`xl$yh}k M`fg[aoanVzexQae]b`a6789Uhu171409V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<02=05=R8&myj#|i/fn3*wb(o{kx"j~j.sfsa*KflmUoekhPpovq[ugcWhno<=>?_mww848382_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'DkohRjffg]sjqtXxhnTmij?012\hpr;:7>;7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$Aljk_ekebZvi|{U{miQnde2345Yk}}6829>4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!Baef\`l`aWyd~R~nd^cg`5678Vf~x1:1419V4*aun'xm#jb?.sf,cwgt&nzn"je.Ob`aYcaolT|cz}_qcg[dbc89:;Sa{{<4<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pltv?2;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]oqq:06=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Zjr|5258=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567We040;1:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|311<74>S7'nxm"h gm2-va)`zhy%k}k!rerf+HgclVnbjkQnup\tdbXimn;<=>Pxnp?5;273\:$kh!rg-dh5(ul&mym~ hpd,q`uc(EhnoSigif^rmpwYwimUjhi>?01]{kw:56=:0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%FmijPdhde[uhszVzjhRokd1234Z~hz5958=5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"Cnde]gmc`Xxg~yS}ok_`fg4567Wqey090;0:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/Lcg`ZbnnoU{by|Pp`f\eab789:Ttb|35?63?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,IdbcWmcmjR~ats]seaYflm:;<=Qwos>5:16<]9%l~k }f.eo4+tc'nxj#ie/pgt`)JimnThdhi_qlwvZvflVkoh=>?0^zlv919<91^<"i}f/pe+bj7&{n$ko|.frf*wbwm&GjhiQkigd\tkruWykoSljk0123[}iu414?<6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#@okd^fjbcYwf}xT|ljPaef3456Xpfx7533:6g<]9%l~k }f.eo4+tc'nxj#ie/pgt`)flcxxhjPws]sjqtXzmU{mi2?>013?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd=33:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8485n2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]sea:56;l0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugc4:49j6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwim6?2?h4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWyko080=f:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{mi29>3d8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok<6<1b>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae>;:7`<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg8<85m2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaY6:o1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&nbjkQnup\tdbX998n7X> gsd-vc)`d9$yh"i}ar,dt`(ulyo$hdhi_qlwvZvflV89i6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimU8>h5Z0.eqb+ta'nf;"j gscp*bvb&{n{i"jffg]sjqtXxhnT8?k4U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoS8!re-dvdu)oyo%~i~j/ekebZvi|{U{miQ82d9V4*aun'xm#jb?.sf,cwgt&nzn"je.fjbcYwf}xT|ljP83g8Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_81;?P6(o{l%~k!hl1,q`*auiz$l|h }dqg,`l`aWyd~R~nd^cg`56785;;2>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238484?2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'mcmjR~ats]seaYflm:;<=2=>258Q5)`zo$yj"ic0/pg+btf{'m{i#|kpd-gmc`Xxg~yS}ok_`fg45674:48;6[?/fpe*w`(oe:%~i!hr`q-cuc)zmzn#igif^rmpwYwimUjhi>?01>7:61<]9%l~k }f.eo4+tc'nxj#ie/pgt`)caolT|cz}_qcg[dbc89:;080<7:W3+bta&{l$ka>!re-dvdu)oyo%~i~j/ekebZvi|{U{miQnde2345:16:=0Y=!hrg,qb*ak8'xo#j|ns/esa+tcxl%oekhPpovq[ugcWhno<=>?<6<03>S7'nxm"h gm2-va)`zhy%k}k!rerf+aoanVzexQae]b`a6789632>94U1-dvc(un&mg<#|k/fpbw+awm'xo|h!kigd\tkruWykoSljk01238<85j2_;#j|i.sd,ci6)zm%l~l}!gqg-vavb'yxdkRkbpu{\m60<]9%l~k }f.eo4+tc'nxj#ie/pgt`)wzfmTi`~{y^k\ghvXdl:;<==8;T2,cw`)zo%l`= }d.eqev(`xl$yh}k psmd[`kw|pUbSnc_mg34566;k1^<"i}f/pe+bj7&{n$ko|.frf*wbwm&zycjQjmqvz[lYdeyUgi=>?00]PS54>3\:$kh!rg-dh5(ul&mym~ }suq,g5)di{xrbhz30?0:?P6(o{l%~k!hl1,q`*auiz$yy} c1-`ewt~fl~7=3<6;T2,cw`)zo%l`= }d.eqev(u{}y$o=!laspzj`r;:7827X> gsd-vc)`d9$yh"i}ar,qwqu(k9%hm|vndv?7;4a3\:$kh!rg-dh5(ul&mym~ }suq,g5)`e|r%k`U?]/en5+k6:o1^<"i}f/pe+bj7&{n$ko|.sqww*e7'ng~t#ib[0_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%laxv!glY1Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i;#jczx/en_6[)ody%a~>5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?32?00?P6(o{l%~k!hl1,q`*auiz$yy} c1-u5959::1^<"i}f/pe+bj7&{n$ko|.sqww*e7';783o5Z0.eqb+ta'nf;"j gscp*wus{&i;#{?P1^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k9%}=RTmcj?01226<=R8&myj#|i/fn3*wb(o{kx"}{s.a2+fguzpdnx1>1289V4*aun'xm#jb?.sf,cwgt&{y"m>/bcqv|hb|5;5>45Z0.eqb+ta'nf;"j gscp*wus{&i:#no}rxlfp949:01^<"i}f/pe+bj7&{n$ko|.sqww*e6'jky~t`jt=1=6c=R8&myj#|i/fn3*wb(o{kx"}{s.a2+bkrp'mfW=S!gl3-i44a3\:$kh!rg-dh5(ul&mym~ }suq,g4)`e|r%k`U>]/enw+kt:o1^<"i}f/pe+bj7&{n$ko|.sqww*e6'ng~t#ib[3_-chu)ez8m7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%laxv!glY0Y+aj{'gx>k5Z0.eqb+ta'nf;"j gscp*wus{&i:#jczx/en_1[)ody%a~<<;T2,cw`)zo%l`= }d.eqev(u{}y$o gsd-vc)`d9$yh"i}ar,qwqu(k8%}=1<1229V4*aun'xm#jb?.sf,cwgt&{y"m>/w3?7;443\:$kh!rg-dh5(ul&mym~ }suq,g4)q95>5>o5Z0.eqb+ta'nf;"j gscp*wus{&i:#{?P0^cm`567888i7X> gsd-vc)`d9$yh"i}ar,qwqu(k8%}=R?Paof34566:k1^<"i}f/pe+bj7&{n$ko|.sqww*e6';T>Road123444e3\:$kh!rg-dh5(ul&mym~ }suq,g4)q9V9Tmcj?01226g=R8&myj#|i/fn3*wb(o{kx"}{s.a2+s7X?0003?P6(o{l%~k!hl1,q`*auiz$yy} cnos476<]9%l~k }f.eo4+tc'nxj#||tr-`khv6:01^<"i}f/pe+bj7&{n$ko|.sqww*tfeVof|ywPfc]j75=R8&myj#|i/fn3*wb(o{kx"}{s.pbiZcjx}sTjoQf_np3456492_;#j|i.sd,ci6)zm%l~l}!rrvp+wgjWlg{xtQib^k\kw6789;9:6[?/fpe*w`(oe:%~i!hr`q-vvrt'{kfShctx]j5a=R8&myj#|i/fn3*wb(zhgT~iQjn^k266=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}8?7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{1368Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkr5:=1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~by==4:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmp1433\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|d9?:4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov561=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}=986[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at907?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs1;30Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPcx>3:7e<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2?>^pw6<=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Uhu1?12b9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5;5Sz=9:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4;49o6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az878Xz}827X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;4d3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw33?]qp7?<]9%l~k }f.eo4+tc'{zex!BcnwmpZeh}g~Tot2;>3a8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6?2R|{289V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5?5>n5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]`}939W{~956[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^az8385k2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRmv<7<\vq4>3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSnw37?0`?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7;3Q}t3;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp632?m4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\g|:?6Vx>l5Z0.eqb+ta'nf;"j rqlwv*Kdg|dSnaznu]{kw:76;k0Y=!hrg,qb*ak8'xo#~ats-Ngjsi|VidyczPxnp?5;4f3\:$kh!rg-dh5(ul&x{by| MbmvjqYdg|dSua}<3<1e>S7'nxm"h gm2-va)uxg~y#@m`uov\gjsi|Vrd~1=12`9V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjqYg{6?2?o4U1-dvc(un&mg<#|k/srmpw)JkfexRm`uov\|jt;=78j7X> gsd-vc)`d9$yh"|nup,Ifirf}Uhcx`{_ymq8385i2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkfexRv`r=5=6d=R8&myj#|i/fn3*wb(zyd~"Clotlw[firf}Usc27>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx75311g9V4*aun'xm#jb?.sf,vuhsz&idycz31?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=0=5c=R8&myj#|i/fn3*wb(zyd~"m`uov?7;7a3\:$kh!rg-dh5(ul&x{by| cnwmp9299o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;=7;m7X> gsd-vc)`d9$yh"|nup,gjsi|5<5=k5Z0.eqb+ta'nf;"j rqlwv*eh}g~7;3?i;T2,cw`)zo%l`= }d.psjqt(kfex1611g9V4*aun'xm#jb?.sf,vuhsz&idycz39?3f?P6(o{l%~k!hl1,q`*twf}x$ob{at^22a>S7'nxm"h gm2-va)uxg~y#naznu]25`=R8&myj#|i/fn3*wb(zyd~"m`uov\64c<]9%l~k }f.eo4+tc'{zex!lotlw[67b3\:$kh!rg-dh5(ul&x{by| cnwmpZ26m2_;#j|i.sd,ci6)zm%y|cz}/bmvjqY29l1^<"i}f/pe+bj7&{n$~}`{r.alqkrX>8o0Y=!hrg,qb*ak8'xo#~ats-`kphsW>;n7X> gsd-vc)`d9$yh"|nup,gjsi|V2:i6[?/fpe*w`(oe:%~i!}povq+firf}U2>?5Z0.eqb+ta'nf;"j rqlwv*eh}g~Th<2?>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?5;453\:$kh!rg-dh5(ul&x{by| cnwmpZb64;49>6[?/fpe*w`(oe:%~i!}povq+firf}Uo=1=1239V4*aun'xm#jb?.sf,vuhsz&idyczPd0>7:74<]9%l~k }f.eo4+tc'{zex!lotlw[a7;=7897X> gsd-vc)`d9$yh"|nup,gjsi|Vn:0;0=2:W3+bta&{l$ka>!re-qtkru'je~byQk1=5=67=R8&myj#|i/fn3*wb(zyd~"m`uov\`4:?6;80Y=!hrg,qb*ak8'xo#~ats-`kphsWm;753<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_102?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[4463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W;8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S><>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_502?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[0463\:$kh!rg-dh5(ul&x{by| cnwmpZb6W?8:7X> gsd-vc)`d9$yh"|nup,gjsi|Vn:S:<>;T2,cw`)zo%l`= }d.psjqt(kfexRj>_902?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[<433\:$kh!rg-dh5(ul&x{by| cnwmpZhh|9:;=>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir0=0!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot2>>2d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pcx>0:6`<]9%l~k }f.eo4+qu'n}j#if/uqtc)JimnThdhi_qlwvZvflVkoh=>?0^az8184n2_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\g|:26:l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%FmijPdhde[uhszVzjhRokd1234Ze~4?48j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xkp6<2>h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vir050!ws-dsdu)oyl%{~i/Lcg`ZbnnoU{by|Pp`f\eab789:Tot26>538Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;?0^nvp979<91^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&GjhiQkigd\tkruWykoSljk0123[iss4;4?<6[?/fpe*w`(oe:%{!hw`q-cu`){zm#@okd^fjbcYwf}xT|ljPaef3456Xd|~7?3:?;T2,cw`)zo%l`= xr.etev(`xo$|~}h M`fg[aoanVzexQae]b`a6789Ugyy2;>528Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-NeabXl`lmS}`{r^rb`Zgcl9:;?_mww838382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\hpr;?7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yk}}6329>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vf~x171409V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<02=05=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*KflmUoekhPpovq[ugcWhno<=>?_ymq818382_;#j|i.sd,ci6){%l{l}!gqd-swva'DkohRjffg]sjqtXxhnTmij?012\|jt;=7>;7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$Aljk_ekebZvi|{U{miQnde2345Yg{6=29>4U1-dvc(un&mg<#y}/fubw+awn'}y|k!Baef\`l`aWyd~R~nd^cg`5678Vrd~191419V4*aun'xm#jb?.vp,crgt&nzm"z|f.Ob`aYcaolT|cz}_qcg[dbc89:;Sua}<9<74>S7'nxm"h gm2-sw)`hy%k}h!wsre+HgclVnbjkQnup\tdbXimn;<=>Pxnp?=;573\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`9776;l0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugc4=49j6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwim6>2?h4U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWyko0;0=f:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/ekebZvi|{U{mi28>3d8Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-gmc`Xxg~yS}ok<9<1b>S7'nxm"h gm2-sw)`hy%k}h!wsre+aoanVzexQae>::7`<]9%l~k }f.eo4+qu'n}j#if/uqtc)caolT|cz}_qcg[465m2_;#j|i.sd,ci6){%l{l}!gqd-swva'mcmjR~ats]seaY3:l1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbX=;o0Y=!hrg,qb*ak8'}y#jyns/esb+quxo%oekhPpovq[ugcW?8n7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflV=9i6[?/fpe*w`(oe:%{!hw`q-cu`){zm#igif^rmpwYwimU3>h5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnT5>64U1-dvc(un&mg<#y}/fubw+awn'}y|k!kigd\tkruWykoSljk01238469;>1^<"i}f/pe+bj7&~x$kzo|.fre*rtwn&nbjkQnup\tdbXimn;<=>34?14?P6(o{l%~k!hl1,tv*apiz$l|k xrqd,`l`aWyd~R~nd^cg`56785?5?:5Z0.eqb+ta'nf;"z| gvcp*bva&~x{j"jffg]sjqtXxhnTmij?012?2;503\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(l`lmS}`{r^rb`Zgcl9:;<191369V4*aun'xm#jb?.vp,crgt&nzm"z|f.fjbcYwf}xT|ljPaef3456;079<7X> gsd-vc)`d9$|~"ixar,dtc(pzyl$hdhi_qlwvZvflVkoh=>?0=;=6d=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*vugnUmyabPi208Q5)`zo$yj"ic0/uq+bqf{'m{j#y}pg-svjaXn|fgSdQbuy2346513\:$kh!rg-dh5(pz&m|m~ hpg,tvu`(x{elSk{cl^k\ip~7899T_Z><2:W3+bta&{l$ka>!ws-dsdu)oyl%{~i/qplcZ`rdeUbSca{012276=R8&myj#|i/fn3*rt(o~kx"j~i.vpsb*vugnUmyabPi^llp56798837X> gsd-vc)`d9$|~"ixar,dtc(pzyl${Q}su]g57?<]9%l~k }f.eo4+qu'n}j#y|tr-`5*efz{seiy2?>3;8Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.abvwim}6:2?74U1-dvc(un&mg<#y}/fubw+qt|z%h="mnrs{maq:56;30Y=!hrg,qb*ak8'}y#jyns/uppv)d9&ij~waeu>0:7`<]9%l~k }f.eo4+qu'n}j#y|tr-`5*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6){%l{l}!wrvp+f7(ods"jcT1\,div(j{;l0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%{!hw`q-svrt'j;$k`{w.foX7X(`ez$f?h4U1-dvc(un&mg<#y}/fubw+qt|z%h="ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!ws-dsdu)z~x#n? v0>3:75<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p64849?6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z<2=>318Q5)`zo$yj"ic0/uq+bqf{'}xx~!l1.t28685;2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~86?2?l4U1-dvc(un&mg<#y}/fubw+qt|z%h="x>_1]bja6789;9n6[?/fpe*w`(oe:%{!hw`q-svrt'j;$z_`lg45679;h0Y=!hrg,qb*ak8'}y#jyns/uppv)d9&|:S?Qnne234575j2_;#j|i.sd,ci6){%l{l}!wrvp+f7(~8U8Sl`k012357d<]9%l~k }f.eo4+qu'n}j#y|tr-`5*p6W=Ujbi>?0131=>S7'nxm"h gm2-sw)`hy%{~z|/b0,gdtuqgo0=0=9:W3+bta&{l$ka>!ws-dsdu)z~x#n< c`pq}kcs484956[?/fpe*w`(oe:%{!hw`q-svrt'j8$ol|}yogw878512_;#j|i.sd,ci6){%l{l}!wrvp+f4(khxyuck{<2<1b>S7'nxm"h gm2-sw)`hy%{~z|/b0,chs&ngP

"x><1<17>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4:66;90Y=!hrg,qb*ak8'}y#jyns/uppv)d:&|:0?0=3:W3+bta&{l$ka>!ws-dsdu)z~x#n< v0>0:75<]9%l~k }f.eo4+qu'n}j#y|tr-`6*p64=49n6[?/fpe*w`(oe:%{!hw`q-svrt'j8$z?0131f>S7'nxm"h gm2-sw)`hy%{~z|/b0,r4Y3Whdo<=>?1328Q5)`zo$yj"ic0/uq+bqf{'}xx~!lolr265=R8&myj#|i/fn3*rt(o~kx"z}{s.aliu4502_;#j|i.sd,ci6){%l{l}!wrvp+wgjWog`Rhm_h06?P6(o{l%~k!hl1,tv*apiz$|y} r`o\bpjkW`8h7X> gsd-vc)`d9$|~"ixar,twqu(zhgTjxbc_h]lv5678;n0Y=!hrg,qb*ak8'}y#jyns/uppv)uidUmyabPi^mq456798n0Y=!hrg,qb*ak8'}y#ob_vp\akYn9;90Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz=4:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmp4433\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|d>?:4U1-dvc(un&mg<#y}/vrmpw)JkfexRm`uov061=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}>986[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at407?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphs>;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz8259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq>5<2_;#j|i.sd,ci6){%||cz}/LalqkrXkfex4<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f;878h7X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?4;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>2:7e<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2>>^pw6<=R8&myj#|i/fn3*rt(yd~"Clotlw[firf}Uhu1<12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq585Sz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4:49o6[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az868Xz}827X> gsd-vc)`d9$|~"ynup,Ifirf}Uhcx`{_b{?0;4d3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw34?]qp7?<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Tot2:>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6>2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5<5>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]`}909W{~956[?/fpe*w`(oe:%{!xpovq+Heh}g~Tob{at^az8285k2_;#j|i.sd,ci6){%||cz}/LalqkrXkfexRmv<6<\vq4>3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSnw38?0`?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWjs743Q}t3c8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXpfx7<30:7g<]9%l~k }f.eo4+qu'~zex!BcnwmpZeh}g~Ttb|34?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey080=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5<5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|dSnaznu]{kw:06;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?<;4f3\:$kh!rg-dh5(pz&}{by| MbmvjqYdg|dSua}<8<1`>S7'nxm"h gm2-sw)pxg~y#@m`uov\gjsi|Vrd~171_sv2b>S7'nxm"h gm2-sw)pxg~y#naznu>3:4`<]9%l~k }f.eo4+qu'~zex!lotlw8486n2_;#j|i.sd,ci6){%||cz}/bmvjq:568l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4:4:j6[?/fpe*w`(oe:%{!xpovq+firf}6?2f:W3+bta&{l$ka>!ws-ttkru'je~by29>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<6<2b>S7'nxm"h gm2-sw)pxg~y#naznu>;:4`<]9%l~k }f.eo4+qu'~zex!lotlw8<86m2_;#j|i.sd,ci6){%||cz}/bmvjqY79l1^<"i}f/pe+bj7&~x${}`{r.alqkrX98o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW;;n7X> gsd-vc)`d9$|~"ynup,gjsi|V9:i6[?/fpe*w`(oe:%{!xpovq+firf}U?=h5Z0.eqb+ta'nf;"z| wqlwv*eh}g~T9e:W3+bta&{l$ka>!ws-ttkru'je~byQ71d9V4*aun'xm#jb?.vp,suhsz&idyczP9308Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3?4;453\:$kh!rg-dh5(pz&}{by| cnwmpZb64849>6[?/fpe*w`(oe:%{!xpovq+firf}Uo=1<1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>0:74<]9%l~k }f.eo4+qu'~zex!lotlw[a7;<7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn:080=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=4=67=R8&myj#|i/fn3*rt(yd~"m`uov\`4:06;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;743<=;T2,cw`)zo%l`= xr.usjqt(kfexRj><8<15>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z6592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V;9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R<=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^115>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z2592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V?9=6[?/fpe*w`(oe:%{!xpovq+firf}Uo=R8=1:W3+bta&{l$ka>!ws-ttkru'je~byQk1^515>S7'nxm"h gm2-sw)pxg~y#naznu]g5Z>592_;#j|i.sd,ci6){%||cz}/bmvjqYc9V39>6[?/fpe*w`(oe:%{!xpovq+firf}Uo>1>1239V4*aun'xm#jb?.vp,suhsz&idyczPd3>2:74<]9%l~k }f.eo4+qu'~zex!lotlw[a4;:7897X> gsd-vc)`d9$|~"ynup,gjsi|Vn90>0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk2=6=67=R8&myj#|i/fn3*rt(yd~"m`uov\`7:26;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm87:3<=;T2,cw`)zo%l`= xr.usjqt(kfexRj=<6<16>S7'nxm"h gm2-sw)pxg~y#naznu]g69>9:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl;622??4U1-dvc(un&mg<#y}/vrmpw)dg|dSi;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm8T;??4U1-dvc(un&mg<#y}/vrmpw)dg|dSie:W3+bta&{l$ahc geo-`h)JzhgT^LC;1d9V4*aun'xm#`kb/ffn*ak(E{kfS_OB50g8Q5)`zo$yj"cjm.egi+bj'DxjaR\NM73f?P6(o{l%~k!bel-d`h(ce&Gym`Q]AL52a>S7'nxm"h mdo,cak)ld%F~lcPR@O;62=R8&myj#|i/lgn+bbj&mg$Aob_SCN[lhcmq:;<d:W3+bta&{l$ahc geo-`h)cg|~TeR>>d:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hi=0:W3+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd003?P6(o{l%~k!}su`oo*tcW{ySl}}ef]g64e<]9%l~k }f.pppgjl'{nT~~zPcnoa5a=R8&myj#|i/sqwfim(zmUyyQlol`25`=R8&myj#|i/sqwfim(zmUyyQ}ef>3:4c<]9%l~k }f.pppgjl'{nT~~zPrde?5;7b3\:$kh!rg-qwqdkc&xoS}{_sgd8786l2_;#j|i.sd,vvredb%yhR||t^pfcZ66l2_;#j|i.sd,vvredb%yhR||t^pfcZ76l2_;#j|i.sd,vvredb%yhR||t^pfcZ46l2_;#j|i.sd,vvredb%|~R||t^cpv`a582_;#j|i.sd,vvredb%|~R||t^cpv`aXl88;7X> gsd-vc)u{}hgg"y}_sqw[duumnUo>8:]`c*vl|Vidyczjr^3361(iofi0mac`su]fiur~j2kgab}{_gwoh3=eija~|i5mabivtZkfzboy?6mcke9geqgXkfex%>&d:fbpdYdg|d$<'k;ecweZeh}g~#>$j4d`vb[firf}"8%i5kauc\gjsi|!>"h6jnt`]`kphs <#o7io{a^alqkr/> n0hlzn_bmvjq.0!m1omyoPcnwmp->.l2njxlQlotlw,bh}}6;6=08;emvp96902ooek#c^jbwZuu{}7; nQ}d^dqat;6$jUcm~Q}d^fbpdYdg|d1="l_ekm[roc|a7: nQgar]tvZbf|hUhcx`{=1.`[cskdVlgmj}Prrv\rdj:9%iTe`~celgmpdrnggUu}k22-a\qvcXmdhnhikk_sgd95*dW~xTjk~=0.`[pubWme~xR||t<3/gZsillxm`byPlnu>4)eX`hyTc{k}fmmt95*dWyxn`bok_mcwake~58&hSiazt^uj`qn:9%iTa~i`n^tbh87+kVxoSikti?2472+kVbjR{addpehjq:8%iTdl}PamolwqYa}ef6#c^jbwZquWhi`1="l_tqf[`ed59&hSnabmnl\giidc4:'oRy}_`ah[iip59&hSjPpovq[roc|a7:4)eX`hyTmac`su]fiur~59&hSjPd`vb[firf}U|eizg=02/gZiqm{lgczQcov?3(fYpzVzexQxievk946+kVzycjQjmqvz[qwm4;'oRy}_ecweZeh}g~T{dj{h<33(fYwzlfdmiQ}efq>4)eXlfS}{_wco95*dWakxSio{a^alqkr:8%iT|ah_gwohZrozlycSckhaug\rdj:99;> nQxr^fftqn:998? nQrne\ahvsqV~c~h}g_ogdeqcX~hf6?!mPpsmd[`kw|pUdk|h^cpw`tsWkg1?"l_icp[sgkam7; nQrne\bpjkW}byi~fParqfvqYqie7:<<8#cnoskkci|Vdjah3ku]`kphsm{U:ky3;(fYwzfmTjxbc_u{sa87+kVgnab|v_u{sa84+u;k0|fzPcnwmp`tX998?Skgio^efj`tf|fxTz9Q;_yqw[4g0|ah_dosp|Ys`{oxd%?&159svjaXmdzuRzgrdqk,7/6<2zycjQjmqvz[qnumzb#?$?;;qplcZcjx}sTxe|jsi*7-42vugnUna}zv_ujqavn/? ;?7}|`g^gntqX|axne&7)068twi`Wlg{xtQ{hsgpl-?.9?1{~biPelrw}Zrozlyc044?>0`8twi`Wlg{xtQ{hsgplZgt{lx$='>b:rqkbYbey~rSyf}erj\evubz}":%vugnUna}zv_ujqavnXizyn~y&8)0`8twi`Wlg{xtQ{hsgplZgt{lx$5'>b:rqkbYbey~rSyf}erj\evubz}"2%vugnUna}zv_ujqavnXflmjxh&:)0`8twi`Wlg{xtQ{hsgplZhboh~n$;'>b:rqkbYbey~rSyf}erj\j`af|l"<%!8n0|ah_dosp|Ys`{oxdR`jg`vf8<<7601{~biPftno56=wzfmTjxbc_ujqavn/8 ;87}|`g^dvhiYs`{oxd%?&129svjaXn|fgSyf}erj+6,743yxdkRhzlm]wlwct`!9"=>5rne\bpjkW}byi~f'4(30?uthoVl~`aQ{hsgpl-3.9:1{~biPftno[qnumzb#:$?<;qplcZ`rdeUdk|h)5*56=wzfmTjxbc_ujqavn/0 ;87}|`g^dvhiYs`{oxd%7&149svjaXn|fgSyf}erj?=?699h1{~biPftno[qnumzbTm~}jru*3-4ga:rqkbYa}efTxe|jsi]bwvcu|!9"=l5rne\bpjkW}byi~fParqfvq.3!8k0|ah_gwohZrozlycSl}|esv+1,7f3yxdkRhzlm]wlwct`Vkxh|{(7+2e>vugnUmyabPtipfwmYf{zoyx%9&1`9svjaXn|fgSyf}erj\evubz}"3%vugnUmyabPtipfwmYimnki%<&1`9svjaXn|fgSyf}erj\j`af|l"8%4re]geqgXkfex%7&119q`Zbf|hUhcx`{<1<26>tcWmkmRm`uov?=?69=2xoSh`9;sf\vvr43{y;6}miugqv1=tzz~?7y|ze89vjacunee|96xnlhf5?rtXija=7z|Pcmi24>quWmkmRm`uov+4,773~xThlzn_bmvjq.6!8:0{Qkauc\gjsi|!8"==5xr^fbpdYdg|d$>'>0:uq[agsiVidycz'4(33?rtXlh~jSnaznu*6-46<{UomyoPcnwmp-0.991|~Rjnt`]`kphs >#:<6y}_ecweZeh}g~#4$??;vp\`drfWje~by&6)008swYci}kTob{at=;94;3<{Unb;5xr^pppd=pz`~nSnz}lc9tvlrbWj~y`{R17>623200:??l:a68114`0sg99m7?4n20a>0=#;;21??<4}R11>623200:??l:a68114`03Z?<6>:l:18277d2i>09962d290:??l:a68114`?3m9?i7>51;3xW62=;=>157?<2c7b3?429o=0zY67:182>4<60oqX?94<458:>455jf69'74`==11]??752zw56?7<}?91<6s+80823>d4v?;:019y!>f2:>n7)==7;172>o4i:0;66a<7583>>o4j10;66a<4683>>i4<<0;66g>o4i<0;66a<4083>!>42:>97c6=:198m65b290/4>4<3g9m<7<732e89?4?::k0=3<722h8=h4?:083>5}O;8n0(5o530g8k=6=831vn9l50;394?6|@:;o7)6n:5`8k1g=831vn?l50;57>4>>282mwE=>d:X1g?71sk0j6n4>0;f9a?`=9=0:?7?>:00955<6:3;?6co4>>0;6)6<:24;?k>52910e>89:18'<6<4>11e4?4>;:k020<72-286>87;o:1>7=!>42:<37c6=:598m605290/4>4<699m<7<232c8:<4?:%:0>60?3g296;54i243>5<#0:08:55a8384?>o4=o0;6)6<:24;?k>52110e>;j:18'<6<4>11e4?46;:k0e1<722c8m:4?::m0ed<722c89n4?:%:0>63c3g296=54i27a>5<#0:089i5a8382?>o4=h0;6)6<:27g?k>52;10e>;6:18'<6<4=m1e4?4<;:k01=<72-286>;k;o:1>1=76g<5783>!>42:?o7c6=:798m632290/4>4<5e9m<7<032c8994?:%:0>63c3g296554i270>5<#0:089i5a838:?>o4i:0;66a<4e83>>o4?;0;6)6<:250?k>52910e>9>:18'<6<4?:1e4?4>;:k035<72-286>9<;o:1>7=!>42:=87c6=:598m60c290/4>4<729m<7<232c8:n4?:%:0>6143g296;54i24a>5<#0:08;>5a8384?>o4>h0;6)6<:250?k>52110e>86:18'<6<4?:1e4?46;:m06`<722e8?o4?:%:0>65d3g296=54o21b>5<#0:08?n5a8382?>i4;00;6)6<:21`?k>52;10c>=7:18'<6<4;j1e4?4<;:m072<72-286>=l;o:1>1=76a<3483>!>42:9h7c6=:798k653290/4>4<3b9m<7<032e8?>4?:%:0>65d3g296554o211>5<#0:08?n5a838:?>i4?=0;66g>o4?l0;6)6<:25e?k>52910e>9k:18'<6<4?o1e4?4>;:k03f<72-286>9i;o:1>7=!>42:=m7c6=:598m61>290/4>4<7g9m<7<232c8;54?:%:0>61a3g296;54i254>5<#0:08;k5a8384?>o4??0;6)6<:25e?k>52110e>9::18'<6<4?o1e4?46;:m002<722e8884?::k0ef<722c8?=4?::k0<<<72-286>6n;o:1>5=!>42:2j7c6=:398m6>1290/4>4<8`9m<7<432c8484?:%:0>6>f3g296954i2:7>5<#0:084l5a8386?>o40:0;6)6<:2:b?k>52?10e>6=:18'<6<40h1e4?48;:k0<4<72-286>6n;o:1>==!>42:3>7c6=:198m6?4290/4>4<949m<7<632c85?4?:%:0>6?23g296?54i2;2>5<#0:08585a8380?>o4190;6)6<:2;6?k>52=10e>6i:18'<6<41<1e4?4:;:k0<`<72-286>7:;o:1>3=!>42:3>7c6=:998m6>e290/4>4<949m<7<>32e8>i4?::k00g<722c8?<4?::k0f<<722e8m;4?::m0eg<722c8m84?::m004<72-286>:=;o:1>5=>i4i90;6)6<:2c1?k>52910c>7i:18'<6<4i;1e4?4>;:m0=`<72-286>o=;o:1>7=!>42:k97c6=:598k6?e290/4>46g53g296;54o2;:>5<#0:08m?5a8384?>i4110;6)6<:2c1?k>52110c>78:18'<6<4i;1e4?46;:m0f3<72-286>l8;o:1>5=6=4+8280f2=i0;0:76a!>42:h<7c6=:398k6d4290/4>46d03g296954o2`2>5<#0:08n:5a8386?>i4j90;6)6<:2`4?k>52?10c>oi:18'<6<4j>1e4?48;:m0e`<72-286>l8;o:1>==>i4;m0;66g<9783>>i4i00;66l<2483>4<729q/4l4;b:J061=O;8n0c9o50;9~f641290:6=4?{%:b>67b3A9986F<1e9l<5<722wi?=950;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a5`7=83>1<7>t$9c900=O;;>0D>?k;%70>==n:m0;66g<5;29?l>32900c5;50;9~f4c3290?6=4?{%:b>13<@:8?7E=>d:&67?>>o?<3:17b6::188yg4>=3:187>50z&;e?223A9986F<1e9'16<63`8o6=44i2794?=n0=0;66a75;29?xd51=0;694?:1y'95G30f8 05=92c9h7>5;h16>5<1<75`8483>>{e:oo1<7?<:183!>f21<0D><;;I12`>\5k38p=o4>c;j776=831b?84?::k064<722e357>5;n1;>5<52910e?850;&;7?403g296<54i3794?"?;38<7c6=:398m72=83.3?7<8;o:1>6=52=10e?<50;&;7?403g296854i3394?"?;38<7c6=:798m16=83.3?7=i;o:1>5=52810e>j50;&;7?5a3g296?54i2a94?"?;39m7c6=:298m6d=83.3?7=i;o:1>1=52<10e>750;&;7?5a3g296;54}c0e`?6=9:0;6=u+8`8;2>N4:=1C?<>:188k=?=831d?54?::k1:5a8383?>o5>3:1(5=5269m<7<632c997>5$91962=i0;0976g=4;29 =5=:>1e4?4<;:k17?6=,191>:5a8387?>o5:3:1(5=5269m<7<232c9=7>5$91962=i0;0=76g;0;29 =5=;o1e4?4?;:k0a?6=,191?k5a8382?>o4l3:1(5=53g9m<7<532c8o7>5$9197c=i0;0876go413:1(5=53g9m<7<132wi>kh50;30>5<7s-2j6584H207?M56l2P9o7>o4:80;66a79;29?j5?2900e?650;&;7?403g296=54i3494?"?;38<7c6=:098m73=83.3?7<8;o:1>7=1<7*73;04?k>52:10e?=50;&;7?403g296954i3094?"?;38<7c6=:498m77=83.3?7<8;o:1>3=52910e>k50;&;7?5a3g296<54i2f94?"?;39m7c6=:398m6e=83.3?7=i;o:1>6=52=10e>o50;&;7?5a3g296854i2;94?"?;39m7c6=:798yg5783:1=>4?:1y'2B8>95G30f8^7e=:r;i66=44i202>5<>o503:1(5=5269m<7<732c9:7>5$91962=i0;0:76g=5;29 =5=:>1e4?4=;:k10?6=,191>:5a8380?>o5;3:1(5=5269m<7<332c9>7>5$91962=i0;0>76g=1;29 =5=:>1e4?49;:k74?6=,191?k5a8383?>o4m3:1(5=53g9m<7<632c8h7>5$9197c=i0;0976go4i3:1(5=53g9m<7<232c857>5$9197c=i0;0=76sm31194?74290;w)6n:948L6433A9:h6T=c;0x5g<6k3wb??>50;9j70<722c8><4?::m;=?6=3f936=44i3:94?"?;38<7c6=:198m70=83.3?7<8;o:1>4=52;10e?:50;&;7?403g296>54i3194?"?;38<7c6=:598m74=83.3?7<8;o:1>0=52?10e9>50;&;7?5a3g296=54i2g94?"?;39m7c6=:098m6b=83.3?7=i;o:1>7=52:10e>l50;&;7?5a3g296954i2c94?"?;39m7c6=:498m6?=83.3?7=i;o:1>3=51283>5}#0h03:6F<259K74bv?m:0a9yl5583:17d=::188m6462900c5750;9l7=<722c947>5$91962=i0;0;76g=6;29 =5=:>1e4?4>;:k11?6=,191>:5a8381?>o5<3:1(5=5269m<7<432c9?7>5$91962=i0;0?76g=2;29 =5=:>1e4?4:;:k15?6=,191>:5a8385?>o383:1(5=53g9m<7<732c8i7>5$9197c=i0;0:76go4j3:1(5=53g9m<7<332c8m7>5$9197c=i0;0>76g<9;29 =5=;o1e4?49;:a754=83;86=4?{%:b>=0<@:8?7E=>d:X1g?4|9k0:o7sf33294?=n;<0;66g<2083>>i?13:17b=7:188m7>=83.3?7<8;o:1>5=52810e?;50;&;7?403g296?54i3694?"?;38<7c6=:298m75=83.3?7<8;o:1>1=52<10e??50;&;7?403g296;54i5294?"?;39m7c6=:198m6c=83.3?7=i;o:1>4=52;10e>m50;&;7?5a3g296>54i2`94?"?;39m7c6=:598m6g=83.3?7=i;o:1>0=52?10qo=?4;2956<729q/4l476:J061=O;8n0V?m52z3a>4e=u`99<7>5;h16>5<1e4?4?;:k12?6=,191>:5a8382?>o5=3:1(5=5269m<7<532c987>5$91962=i0;0876g=3;29 =5=:>1e4?4;;:k16?6=,191>:5a8386?>o593:1(5=5269m<7<132c?<7>5$9197c=i0;0;76g;:k0`?6=,191?k5a8381?>o4k3:1(5=53g9m<7<432c8n7>5$9197c=i0;0?76g{e;=21<7:50;2x =g=>81C??:4H23g?l2c2900e9k50;9j<2<722e5;|`00d<72>0;6=u+8`86a>N4:=1C?50;9j14<722c>>7>5;n5f>5<57;294~"?i3?n7E==4:J05a=#=:0?=6g;d;29?l2b2900e9h50;9j15<722c>=7>5;h71>5<o1<75rb22b>5<4290;w)6n:508L6433A9:h6F=9:&6b?5f02.>?7?4i3f94?=n;<0;66a75;29?xd49<0;6>4?:1y'95G30f8L7?<,o5l3:17d=::188k=3=831vn>>6:180>5<7s-2j69<4H207?M56l2B956*:f;1b<>"2;3;0e?j50;9j70<722e397>5;|`056<72<0;6=u+8`872>N4:=1C?4?:1y'95G30f8L7?<,o5l3:17d=::188k=3=831vn>>7:186>5<7s-2j6984H207?M56l2B956*:f;1b<>"2;3;0e?j50;9j6`<722c897>5;h:7>5<5<3290;w)6n:578L6433A9:h6*:3;38m7b=831b?84?::k;0?6=3f2>6=44}c125?6=<3:1;50;9j<1<722e397>5;|`055<72=0;6=u+8`871>N4:=1C?o5l3:17d=::188m=2=831d484?::a74d=83>1<7>t$9c900=O;;>0D>?k;%70>4=n:m0;66g<5;29?l>32900c5;50;9~f67f290?6=4?{%:b>13<@:8?7E=>d:&67?7>o?<3:17b6::188yge3290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yge4290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yge5290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yge6290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yge7290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188ygda290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188ygdb290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188ygdc290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188ygdd290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188ygde290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yg75>3:187>50z&;e?243A9986F<1e9'16<63`8o6=44i2394?=n;<0;66a75;29?xd6:<0;694?:1y'95G30f8 05=92c9h7>5;h12>5<>{e9;>1<7:50;2x =g=<:1C??:4H23g?!34281b>i4?::k05?6=3`9>6=44o9794?=zj8886=4;:183!>f2=90D><;;I12`>"2;3;0e?j50;9j74<722c897>5;n:6>5<7>54;294~"?i3>87E==4:J05a=#=:0:7d<4?:583>5}#0h0??6F<259K74b<,<91=6g=d;29?l562900e>;50;9l<0<722wi=?>50;694?6|,1k18>5G3368L67c3-?86<5f2e83>>o493:17d=::188k=3=831vn5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qo?>e;290?6=8r.3m7:<;I110>N49m1/9>4>;h0g>5<>i?=3:17pl>1e83>1<729q/4l4;3:J061=O;8n0(8=51:k1`?6=3`9:6=44i2794?=h0<0;66sm17`94?2=83:p(5o5429K772<@:;o7);<:09j6a<722c8=7>5;h16>5<5<3290;w)6n:518L6433A9:h6*:3;38m7b=831b?<4?::k01?6=3f2>6=44}c35=?6=<3:1?50;9j70<722e397>5;|`22=<72=0;6=u+8`877>N4:=1C?o5l3:17d=>:188m63=831d484?::a531=83>1<7>t$9c906=O;;>0D>?k;%70>4=n:m0;66g<1;29?l522900c5;50;9~f401290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yg71=3:187>50z&;e?243A9986F<1e9'16<63`8o6=44i2394?=n;<0;66a75;29?xd6>=0;694?:1y'95G30f8 05=92c9h7>5;h12>5<>{e9?91<7:50;2x =g=<:1C??:4H23g?!34281b>i4?::k05?6=3`9>6=44o9794?=zj8<96=4;:183!>f2=90D><;;I12`>"2;3;0e?j50;9j74<722c897>5;n:6>5<54;294~"?i3>87E==4:J05a=#=:0:7d5}#0h0??6F<259K74b<,<91=6g=d;29?l562900e>;50;9l<0<722wi==950;694?6|,1k18>5G3368L67c3-?86<5f2e83>>o493:17d=::188k=3=831vn<>9:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qo??5;290?6=8r.3m7:<;I110>N49m1/9>4>;h0g>5<>i?=3:17pl>0583>1<729q/4l4;3:J061=O;8n0(8=51:k1`?6=3`9:6=44i2794?=h0<0;66sm11194?2=83:p(5o5429K772<@:;o7);<:09j6a<722c8=7>5;h16>5<5<3290;w)6n:518L6433A9:h6*:3;38m7b=831b?<4?::k01?6=3f2>6=44}c335?6=<3:1?50;9j70<722e397>5;|`245<72=0;6=u+8`877>N4:=1C?o5l3:17d=>:188m63=831d484?::a501=83>1<7>t$9c906=O;;>0D>?k;I0:?!3a2:k37);<:09j6a<722c8=7>5;h16>5<5<3290;w)6n:518L6433A9:h6F=9:&6b?5f02.>?7?4i3f94?=n;80;66g<5;29?j>22900qo?:5;290?6=8r.3m7:<;I110>N49m1C>45+5g80e==#=:0:7d5}#0h0??6F<259K74b<@;30(8h53`:8 05=92c9h7>5;h12>5<>{e9<91<7:50;2x =g=<:1C??:4H23g?M4>3-?m6>o7;%70>4=n:m0;66g<1;29?l522900c5;50;9~f435290?6=4?{%:b>15<@:8?7E=>d:J1=>"2n39j46*:3;38m7b=831b?<4?::k01?6=3f2>6=44}c365?6=<3:14>;h0g>5<>i?=3:17pl>5183>1<729q/4l4;3:J061=O;8n0D?74$4d97d><,<91=6g=d;29?l562900e>;50;9l<0<722wi=9h50;694?6|,1k18>5G3368L67c3A827);i:2c;?!34281b>i4?::k05?6=3`9>6=44o9794?=zj8>n6=4;:183!>f2=90D><;;I12`>N512.>j7=n8:&67?7>o4=3:17b6::188yg`2290?6=4?{%:b>15<@:8?7E=>d:J1=>"2n39j46*:3;38m7b=831b?<4?::k01?6=3f2>6=44}cd7>5<3290;w)6n:518L6433A9:h6F=9:&6b?5f02.>?7?4i3f94?=n;80;66g<5;29?j>22900qoh<:187>5<7s-2j69=4H207?M56l2B956*:f;1b<>"2;3;0e?j50;9j74<722c897>5;n:6>5<f2=90D><;;I12`>N512.>j7=n8:&67?7>o4=3:17b6::188yg`6290?6=4?{%:b>15<@:8?7E=>d:J1=>"2n39j46*:3;38m7b=831b?<4?::k01?6=3f2>6=44}cd3>5<3290;w)6n:518L6433A9:h6F=9:&6b?5f02.>?7?4i3f94?=n;80;66g<5;29?j>22900qoki:187>5<7s-2j69=4H207?M56l2B956*:f;1b<>"2;3;0e?j50;9j74<722c897>5;n:6>5<f2=90D><;;I12`>N512.>j7=n8:&67?7>o4=3:17b6::188ygcc290?6=4?{%:b>15<@:8?7E=>d:J1=>"2n39j46*:3;38m7b=831b?<4?::k01?6=3f2>6=44}cg`>5<3290;w)6n:518L6433A9:h6F=9:&6b?5f02.>?7?4i3f94?=n;80;66g<5;29?j>22900qok>:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qok?:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qoji:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qojj:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qojk:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qojl:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qojm:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qojn:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qoj6:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qoj7:187>5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qo?;3;290?6=8r.3m7:<;I110>N49m1/9>4>;h0g>5<>i?=3:17pl>4383>1<729q/4l4;3:J061=O;8n0(8=51:k1`?6=3`9:6=44i2794?=h0<0;66sm15394?2=83:p(5o5429K772<@:;o7);<:09j6a<722c8=7>5;h16>5<5<3290;w)6n:518L6433A9:h6*:3;38m7b=831b?<4?::k01?6=3f2>6=44}c30b?6=<3:1?50;9j70<722e397>5;|`27`<72=0;6=u+8`877>N4:=1C?o5l3:17d=>:188m63=831d484?::a56b=83>1<7>t$9c906=O;;>0D>?k;%70>4=n:m0;66g<1;29?l522900c5;50;9~f45d290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yg74j3:187>50z&;e?243A9986F<1e9'16<63`8o6=44i2394?=n;<0;66a75;29?xd6;h0;694?:1y'95G30f8 05=92c9h7>5;h12>5<>{e:;:1<7;50;2x =g=<=1C??:4H23g?!34281b>i4?::k1a?6=3`9:6=44i2794?=h0<0;66sm20d94?3=83:p(5o5459K772<@:;o7);<:09j6a<722c9i7>5;h12>5<>{e:8n1<7;50;2x =g=<=1C??:4H23g?!34281b>i4?::k1a?6=3`9:6=44i2794?=h0<0;66sm20a94?3=83:p(5o5459K772<@:;o7);<:09j6a<722c9i7>5;h12>5<>{e:8o1<7;50;2x =g=<=1C??:4H23g?!34281b>i4?::k1a?6=3`9:6=44i2794?=h0<0;66sm20`94?3=83:p(5o5459K772<@:;o7);<:09j6a<722c9i7>5;h12>5<>{e:8k1<7;50;2x =g=<=1C??:4H23g?!34281b>i4?::k1a?6=3`9:6=44i2794?=h0<0;66sm20:94?3=83:p(5o5459K772<@:;o7);<:09j6a<722c9i7>5;h12>5<>{e:8=1<7;50;2x =g=i4?::k1a?6=3`9>6=44i9694?=h0<0;66sm20;94?3=83:p(5o5459K772<@:;o7);<:09j6a<722c9i7>5;h12>5<>{e:9h1<7=50;2x =g=;;90D><;;I12`>o483:17d;9:188k2c=831vn?>n:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c034?6=<3:1>o4?3:17d68:188k2c=831vn?>6:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3ea?6=<3:1>o4?3:17d68:188k2c=831vn?>7:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3eg?6=<3:1>o4?3:17d68:188k2c=831vn?>8:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3ee?6=<3:1>o4?3:17d68:188k2c=831vn?>9:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3e>o4?3:17d68:188k2c=831vn?>::180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3e2?6=<3:1>o4?3:17d68:188k2c=831vn?>;:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3e0?6=<3:1>o4?3:17d68:188k2c=831vn?><:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3e6?6=<3:1>o4?3:17d68:188k2c=831vn?>=:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3e4?6=<3:1>o4?3:17d68:188k2c=831vn?=;:186>5<7s-2j69:4H207?M56l2.>?7?4i3f94?=n:l0;66g<1;29?l522900c5;50;9~f754290>6=4?{%:b>12<@:8?7E=>d:&67?7>o493:17d=::188k=3=831vn?==:186>5<7s-2j69:4H207?M56l2.>?7?4i3f94?=n:l0;66g<1;29?l522900c5;50;9~f756290>6=4?{%:b>12<@:8?7E=>d:&67?7>o493:17d=::188k=3=831vn?=?:186>5<7s-2j69:4H207?M56l2.>?7?4i3f94?=n:l0;66g<1;29?l522900c5;50;9~f74a290>6=4?{%:b>12<@:8?7E=>d:&67?7>o493:17d=::188k=3=831vn?5<7s-2j69:4H207?M56l2.>?7?4i3f94?=n:l0;66g<1;29?l522900c5;50;9~f74c290>6=4?{%:b>12<@:8?7E=>d:&67?7>o493:17d=::188k=3=831vn?5<7s-2j69:4H207?M56l2.>?7?4i3f94?=n:l0;66g<1;29?l522900c5;50;9~f74e290>6=4?{%:b>12<@:8?7E=>d:&67?7>o493:17d=::188k=3=831vn<66:180>5<7s-2j6><<;I110>N49m1b?=4?::k62?6=3f=n6=44}c3:6?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3:5?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3:4?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;b?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;a?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;`?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;g?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;f?6=;3:1N4:=1C?0;694?:1y'95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c3;e?6=;3:1N4:=1C?95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c341?6=<3:1>o4?3:17d68:188k2c=831vn5<7s-2j6984H207?M56l2.>?764i3f94?=n:l0;66g<5;29?l>32900c5;50;9~f4g5290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yg7fi3:187>50z&;e?243A9986F<1e9'16<63`8o6=44i2394?=n;<0;66a75;29?xd6i00;694?:1y'95G30f8 05=92c9h7>5;h12>5<>{e9h21<7:50;2x =g=<:1C??:4H23g?!34281b>i4?::k05?6=3`9>6=44o9794?=zj8k<6=4;:183!>f2=90D><;;I12`>"2;3;0e?j50;9j74<722c897>5;n:6>5<54;294~"?i3>87E==4:J05a=#=:0:7d5}#0h0??6F<259K74b<,<91=6g=d;29?l562900e>;50;9l<0<722wi=l:50;694?6|,1k18>5G3368L67c3-?86<5f2e83>>o493:17d=::188k=3=831vn5<7s-2j69=4H207?M56l2.>?7?4i3f94?=n;80;66g<5;29?j>22900qo?n1;290?6=8r.3m7:<;I110>N49m1/9>4>;h0g>5<>i?=3:17pl=4`83>6<729q/4l4<229K772<@:;o7d=?:188m00=831d;h4?::a606=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9m6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a61`=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9n6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a61c=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9o6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a61b=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9h6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a61e=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9i6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a61d=8391<7>t$9c9775<@:8?7E=>d:k04?6=3`?=6=44o6g94?=zj;9j6=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a66?=83>1<7>t$9c925=O;;>0D>?k;h13>5<>i0m3:17pl=3983>1<729q/4l490:J061=O;8n0e>>50;9j72<722c3;7>5;n5f>5<54;294~"?i3<;7E==4:J05a=n;90;66g<7;29?l>02900c:k50;9~f751290?6=4?{%:b>36<@:8?7E=>d:k04?6=3`9<6=44i9594?=h?l0;66sm24:94?2=83:p(5o5429K772<@:;o7);<:09j6a<722c8=7>5;h16>5<5<3290;w)6n:518L6433A9:h6*:3;38m7b=831b?<4?::k01?6=3f2>6=44}c06`?6=<3:1?50;9j70<722e397>5;|`11f<72=0;6=u+8`877>N4:=1C?o5l3:17d=>:188m63=831d484?::a60d=83>1<7>t$9c906=O;;>0D>?k;%70>4=n:m0;66g<1;29?l522900c5;50;9~f73f290?6=4?{%:b>15<@:8?7E=>d:&67?7>o4=3:17b6::188yg4213:187>50z&;e?243A9986F<1e9'16<63`8o6=44i2394?=n;<0;66a75;29?xd5>k0;694?:1y'95G30f8 05=02c9h7>5;h16>5<1<75`8483>>{e:?<1<7;50;2x =g=i4?::k1a?6=3`9>6=44i9694?=h0<0;66sm29594?2=83:p(5o5619K772<@:;o7d=?:188m61=831b4:4?::m4a?6=3th94;4?:583>5}#0h0=<6F<259K74b>o??3:17b9j:188yg4?=3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3:7>5<3290;w)6n:728L6433A9:h6g<0;29?l502900e5950;9l3`<722wi>5=50;694?6|,1k1:=5G3368L67c3`9;6=44i2594?=n0>0;66a8e;29?xd5?=0;694?:1y'95G30f8m66=831b?:4?::k;3?6=3f=n6=44}c047?6=<3:1>o4?3:17d68:188k2c=831vn?9=:187>5<7s-2j6;>4H207?M56l2c8<7>5;h14>5<>{e:>;1<7:50;2x =g=>91C??:4H23g?l572900e>950;9j<2<722e5;|`135<72=0;6=u+8`854>N4:=1C?0;66g77;29?j1b2900qo?k4;290?6=8r.3m78?;I110>N49m1b?=4?::k03?6=3`2<6=44o6g94?=zj8n86=4;:183!>f2?:0D><;;I12`>o483:17d=8:188m=1=831d;h4?::a5a4=83>1<7>t$9c925=O;;>0D>?k;h13>5<>i0m3:17pl>d083>1<729q/4l490:J061=O;8n0e>>50;9j72<722c3;7>5;n5f>5<54;294~"?i3<;7E==4:J05a=n;90;66g<7;29?l>02900c:k50;9~f4e6290?6=4?{%:b>36<@:8?7E=>d:k04?6=3`9<6=44i9594?=h?l0;66sm1b294?2=83:p(5o5619K772<@:;o7d=?:188m61=831b4:4?::m4a?6=3th:nk4?:583>5}#0h0=<6F<259K74b>o??3:17b9j:188yg7em3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb0`g>5<3290;w)6n:728L6433A9:h6g<0;29?l502900e5950;9l3`<722wi>h>50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6ac=83?1<7>t$9c903=O;;>0D>?k;%70>==n:m0;66g=e;29?l522900e5:50;9l<0<722wi>ih50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6`7=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>h<50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6`3=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>h=50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6`2=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>h850;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6`1=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>i=50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6a4=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>i>50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6f`=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>i?50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6fb=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>nm50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6fc=83?1<7>t$9c901=O;;>0D>?k;%70>4=n:m0;66g=e;29?l562900e>;50;9l<0<722wi>no50;794?6|,1k1895G3368L67c3-?86<5f2e83>>o5m3:17d=>:188m63=831d484?::a6fd=83?1<7>t$9c903=O;;>0D>?k;%70>==n:m0;66g=e;29?l522900e5:50;9l<0<722wi>ok50;194?6|,1k1??=4H207?M56l2c8<7>5;h75>5<o1<75rb3`g>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4e;3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3``>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4e93:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`a>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4fn3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`b>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4fl3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`:>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4fj3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`;>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4f13:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`4>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4f?3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`5>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4f=3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3`6>5<4290;w)6n:200?M55<2B8=i5f3183>>o2>3:17b9j:188yg4f;3:187>50z&;e?073A9986F<1e9j75<722c8;7>5;h:4>5<o1<75rb3da>5<2290;w)6n:568L6433A9:h6*:3;38m7b=831b>h4?::k05?6=3`9>6=44o9794?=zj;lj6=4::183!>f2=>0D><;;I12`>"2;3;0e?j50;9j6`<722c8=7>5;h16>5<5<2290;w)6n:568L6433A9:h6*:3;38m7b=831b>h4?::k05?6=3`9>6=44o9794?=zj;l36=4::183!>f2=>0D><;;I12`>"2;3;0e?j50;9j6`<722c8=7>5;h16>5<5<2290;w)6n:568L6433A9:h6*:3;38m7b=831b>h4?::k05?6=3`9>6=44o9794?=zj;l=6=4::183!>f2=>0D><;;I12`>"2;3;0e?j50;9j6`<722c8=7>5;h16>5<5<2290;w)6n:568L6433A9:h6*:3;38m7b=831b>h4?::k05?6=3`9>6=44o9794?=zj;l?6=4::183!>f2=>0D><;;I12`>"2;3;0e?j50;9j6`<722c8=7>5;h16>5<5<2290;w)6n:568L6433A9:h6*:3;38m7b=831b>h4?::k05?6=3`9>6=44o9794?=zj;l96=4::183!>f2=>0D><;;I12`>"2;3;0e?j50;9j6`<722c8=7>5;h16>5<5<4290;w)6n:4c8L6433A9:h6*:3;35?l2c2900e9k50;9l3`<722wi?=m50;194?6|,1k19l5G3368L67c3-?86<84i5f94?=n50z&;e?3f3A9986F<1e9'16<602c?h7>5;h6f>5<o1<75rb`d94?5=83:p(5o55`9K772<@:;o7);<:0:8m1b=831b8h4?::m4a?6=3thji7>53;294~"?i3?j7E==4:J05a=#=:0:46g;d;29?l2b2900c:k50;9~fdb=8391<7>t$9c91d=O;;>0D>?k;%70>4>>i0m3:17plnc;297?6=8r.3m7;n;I110>N49m1/9>4>8:k7`?6=3`>n6=44o6g94?=zjhh1<7=50;2x =g==h1C??:4H23g?!342820e9j50;9j0`<722e5;|`be?6=;3:1o3l3:17d:j:188k2c=831vnl750;194?6|,1k19l5G3368L67c3-?86<64i5f94?=n50z&;e?3f3A9986F<1e9'16<602c?h7>5;h6f>5<o1<75rb8f94?5=83:p(5o55`9K772<@:;o7);<:0:8m1b=831b8h4?::m4a?6=3th2o7>53;294~"?i3?j7E==4:J05a=#=:0:46g;d;29?l2b2900c:k50;9~ft$9c91d=O;;>0D>?k;%70>4>>i0m3:17pl6a;297?6=8r.3m7;n;I110>N49m1/9>4>8:k7`?6=3`>n6=44o6g94?=zj031<7=50;2x =g==h1C??:4H23g?!342820e9j50;9j0`<722e5;|`:o3l3:17d:j:188k2c=831vn4950;194?6|,1k19l5G3368L67c3-?86<64i5f94?=n>3:1?7>50z&;e?3f3A9986F<1e9'16<602c?h7>5;h6f>5<o1<75rb8794?5=83:p(5o55`9K772<@:;o7);<:0:8m1b=831b8h4?::m4a?6=3th9:l4?:283>5}#0h0>m6F<259K74b<,<91>l5f4e83>>o3m3:17b9j:188yg4103:187>50z&;e?3e3A9986F<1e9'16<4>2c?h7>5;h6f>5<>{e:?=1<7950;2x =g==l1C??:4H23g?!342:1b8i4?::k7a?6=3`>m6=44i4294?=n=80;66g:2;29?j1b2900qo?m8;292?6=8r.3m7;k;I110>N49m1/9>4;8:k7`?6=3`>n6=44i5d94?=n=90;66g:1;29?j1b2900qo?m7;293?6=8r.3m7;j;I110>N49m1/9>4=;h6g>5<>o283:17d;>:188m04=831d;h4?::a6<7=83?1<7>t$9c91f=O;;>0D>?k;%70>4c>o3n3:17d;?:188k2c=831vn?9j:186>5<7s-2j68m4H207?M56l2.>?7?j;h6g>5<>o283:17b9j:188yg7cm3:197>50z&;e?3d3A9986F<1e9'16<6m2c?h7>5;h6f>5<>i0m3:17pl>cc83>0<729q/4l4:c:J061=O;8n0(8=51d9j0a<722c?i7>5;h6e>5<>{e:0:1<7;50;2x =g==j1C??:4H23g?!3428o0e9j50;9j0`<722c?j7>5;h73>5<o1<75rb35g>5<2290;w)6n:4a8L6433A9:h6*:3;3f?l2c2900e9k50;9j0c<722c><7>5;n5f>5<55;294~"?i3?h7E==4:J05a=#=:0:i6g;d;29?l2b2900e9h50;9j15<722e5;|`2gd<72<0;6=u+8`86g>N4:=1C?50;9l3`<722wi>4650;194?6|,1k19l5G3368L67c3-?86<;4i5f94?=n95G30f8 05=9l1b8i4?::k7a?6=3`>m6=44i4294?=h?l0;66sm26a94?3=83:p(5o55b9K772<@:;o7);<:0g8m1b=831b8h4?::k7b?6=3`?;6=44o6g94?=zj8nh6=4::183!>f2<;;I12`>"2;3;n7d:k:188m1c=831b8k4?::k64?6=3f=n6=44}c3`=?6==3:1o3l3:17d:j:188m1`=831b9=4?::m4a?6=3th94h4?:483>5}#0h0>o6F<259K74b<,<91=h5f4e83>>o3m3:17d:i:188m06=831d;h4?::a62d=83?1<7>t$9c91f=O;;>0D>?k;%70>4c>o3n3:17d;?:188k2c=831vn5<7s-2j68m4H207?M56l2.>?7?j;h6g>5<>o283:17b9j:188yg7d03:197>50z&;e?3d3A9986F<1e9'16<6m2c?h7>5;h6f>5<>i0m3:17pl=8e83>0<729q/4l4:c:J061=O;8n0(8=51d9j0a<722c?i7>5;h6e>5<>{e:>k1<7;50;2x =g==j1C??:4H23g?!3428o0e9j50;9j0`<722c?j7>5;h73>5<o1<75rb0fb>5<2290;w)6n:4a8L6433A9:h6*:3;3f?l2c2900e9k50;9j0c<722c><7>5;n5f>5<55;294~"?i3?h7E==4:J05a=#=:0:i6g;d;29?l2b2900e9h50;9j15<722e5;|`2a0<72:0;6=u+8`86e>N4:=1C?5<7s-2j68o4H207?M56l2.>?7?7;h6g>5<>{e0k0;6>4?:1y'95G30f8 05=911b8i4?::k7a?6=3f=n6=44}c035?6=:3:1o3l3:17b9j:188yg7an3:1>7>50z&;e?3>3A9986F<1e9'16<6=2c?h7>5;n5f>5<52;294~"?i3?27E==4:J05a=#=:0:96g;d;29?j1b2900qo?ib;296?6=8r.3m7;6;I110>N49m1/9>4>5:k7`?6=3f=n6=44}c3e=?6=:3:1o3l3:17b9j:188yg7a?3:1>7>50z&;e?3>3A9986F<1e9'16<6=2c?h7>5;n5f>5<52;294~"?i3?27E==4:J05a=#=:0:96g;d;29?j1b2900qo?i3;296?6=8r.3m7;6;I110>N49m1/9>4>5:k7`?6=3f=n6=44}c3e5?6=:3:1o3l3:17b9j:188yg4e<3:1>7>50z&;e?3>3A9986F<1e9'16<6=2c?h7>5;n5f>5<7>52;294~"?i3?27E==4:J05a=#=:0:96g;d;29?j1b2900qoN49m1/9>4>5:k7`?6=3f=n6=44}c0ba?6=:3:1o3l3:17b9j:188yg4fk3:1>7>50z&;e?3>3A9986F<1e9'16<6=2c?h7>5;n5f>5<52;294~"?i3?27E==4:J05a=#=:0:96g;d;29?j1b2900qoN49m1/9>4>5:k7`?6=3f=n6=44}c0b2?6=:3:1o3l3:17b9j:188yg4f<3:1>7>50z&;e?3>3A9986F<1e9'16<6=2c?h7>5;n5f>5<52;294~"?i3?27E==4:J05a=#=:0:96g;d;29?j1b2900qoN49m1/9>4>5:k7`?6=3f=n6=44}cc3>5<2290;w)6n:4a8L6433A9:h6*:3;3:?l2c2900e9k50;9j0c<722c><7>5;n5f>5<f2<;;I12`>"2;3;27d:k:188m1c=831b8k4?::k64?6=3f=n6=44}c3;4?6=;3:1o3l3:17d:j:188k2c=831vn?:>:180>5<7s-2j68o4H207?M56l2.>?7?k;h6g>5<>{e91;1<7=50;2x =g==h1C??:4H23g?!3428n0e9j50;9j0`<722e5;|`107<72:0;6=u+8`86e>N4:=1C?N49m1/9>4>d:k7`?6=3`>n6=44o6g94?=zj;>86=4<:183!>f2<;;I12`>"2;3;o7d:k:188m1c=831d;h4?::a5=5=8391<7>t$9c91d=O;;>0D>?k;%70>4b>i0m3:17pl=4583>6<729q/4l4:a:J061=O;8n0(8=51e9j0a<722c?i7>5;n5f>5<53;294~"?i3?j7E==4:J05a=#=:0:h6g;d;29?l2b2900c:k50;9~f72229086=4?{%:b>0g<@:8?7E=>d:&67?7c3`>o6=44i5g94?=h?l0;66sm19794?5=83:p(5o55`9K772<@:;o7);<:0f8m1b=831b8h4?::m4a?6=3th98;4?:283>5}#0h0>m6F<259K74b<,<91=i5f4e83>>o3m3:17b9j:188yg7?>3:1?7>50z&;e?3f3A9986F<1e9'16<6l2c?h7>5;h6f>5<o1<75rb364>5<4290;w)6n:4c8L6433A9:h6*:3;3g?l2c2900e9k50;9l3`<722wi=5950;194?6|,1k19l5G3368L67c3-?864?:1y'95G30f8 05=9m1b8i4?::k7a?6=3f=n6=44}c3;o3l3:17d:j:188k2c=831vn?:6:180>5<7s-2j68o4H207?M56l2.>?7?k;h6g>5<>{e90<1<7=50;2x =g==h1C??:4H23g?!342:?0e9j50;9j0`<722e5;|`b0?6==3:1o3l3:17d:j:188m1`=831b9=4?::m4a?6=3th2=7>55;294~"?i3?h7E==4:J05a=#=:0:56g;d;29?l2b2900e9h50;9j15<722e5;|`b1?6=>3:1o3l3:17d:j:188m1`=831b9=4?::k65?6=3f=n6=44}c;1>5<1290;w)6n:4f8L6433A9:h6*:3;03?l2c2900e9k50;9j0c<722c><7>5;h72>5<o1<75rb`494?1=83:p(5o55d9K772<@:;o7);<:0c8m1b=831b8h4?::k7b?6=3`?;6=44i4394?=n=;0;66a8e;29?xd>;3:1;7>50z&;e?3b3A9986F<1e9'16<6i2c?h7>5;h6f>5<>o293:17d;=:188k2c=831vnl=50;194?6|,1k19l5G3368L67c3-?86<64i5f94?=n50z&;e?3b3A9986F<1e9'16<6i2c?h7>5;h6f>5<>o293:17d;=:188k2c=831vn4>50;194?6|,1k19l5G3368L67c3-?86<64i5f94?=n<3:1;7>50z&;e?3b3A9986F<1e9'16<6i2c?h7>5;h6f>5<>o293:17d;=:188k2c=831vn>ln:185>5<7s-2j68j4H207?M56l2.>?7:4i5f94?=n>o3m3:17d:i:188m06=831b9<4?::m4a?6=3th8nn4?:783>5}#0h0>h6F<259K74b<,<9196g;d;29?l2b2900e9h50;9j15<722c>=7>5;n5f>5<56;294~"?i3?o7E==4:J05a=#=:0=7d:k:188m1c=831b8k4?::k64?6=3`?:6=44o6g94?=zj:hn6=49:183!>f2<;;I12`>"2;3?0e9j50;9j0`<722c?j7>5;h73>5<>{e;kl1<7850;2x =g==m1C??:4H23g?!342?1b8i4?::k7a?6=3`>m6=44i4294?=n=80;66a8e;29?xd4k90;6;4?:1y'95G30f8 05=>2c?h7>5;h6f>5<>o293:17b9j:188yg5d93:1:7>50z&;e?3c3A9986F<1e9'16<03`>o6=44i5g94?=n6=4?{%:b>0e<@:8?7E=>d:&67?203`>o6=44i5g94?=nN49m1/9>4;7:k7`?6=3`>n6=44i5d94?=n=90;66a8e;29?xd6?o0;6>4?:1y'95G30f8 05=9m1b8i4?::k7a?6=3f=n6=44}c074?6=;3:1o3l3:17d:j:188k2c=831vn4h50;694?6|,1k19o5G3368L67c3-?865<7s-2j68l4H207?M56l2.>?7?i;h6g>5<>i0m3:17pln1;292?6=8r.3m7;k;I110>N49m1/9>4=0:k7`?6=3`>n6=44i5d94?=n=90;66g:1;29?j1b2900qo6j:185>5<7s-2j68j4H207?M56l2.>?75<>o283:17d;>:188k2c=831vnl<50;594?6|,1k19h5G3368L67c3-?865;|`;b?6=?3:1o3l3:17d:j:188m1`=831b9=4?::k65?6=3`?96=44o6g94?=zj83?6=4=:183!>f2<>0D><;;I12`>o3k3:17b9j:188yv5f;3:18vP5`3=5`5=o>:186=~X4;m1U??k4^2ca?[5f12T8>i5Q3`48Z62c3W9jm6P<3c9]76g=:;_100>X4;:1U?><4^2`5?[5e=2T8n95Q3c18Z6d53W9i=6P7j;_1:`>X41j1U?4l4^2;b?[5>12T8555Q385896422=k01>?<:278966?2:?01>?=:27896762:?01>??:278967e2:?01>?n:27897672:=0102:=01?69:25897>22:=01?6;:25897>42:=01?9;:25897142:=01?9=:25897162:=01?9?:25894b32:=01:25894b72:=01:25894e72:=01:25897ga2:=01?ok:25897ge2:=01?o6:25897g02:=01?o::25897g42:=0q~=n5;297~X4i<16?<=5859>75>=0=1v=83hpR>l7;<0:79;<133?4c34;n=77;797?7=7`g<5l27om77b<5m21>i5223296a=::8l1>i5220f96a=::8i1>i5220g96a=::8h1>i5220c96a=::821>i5220596a=::831>i5222696a=:::91>i5222096a=:::;1>i5222296a=::;l1>i5223g96a=::;n1>i5223a96a=::;h1>i521c796a=:9h81>i521`c96a=:9h31>i521`:96a=:9h=1>i521`496a=:9h?1>i521`696a=:9h91>i521`396a=z{;3>6=4={_173>;51<0396s|1c794?4|V:?970?m5;:6?xu4ij0;68ht^2c`?84>=38o70<64;0g?84am399<63=fe8065=::ol1??>4=223>647349;?7==0:?044<4:916?=<5332896632:8;70=>5;0g?856;38o70=>4;0g?856j38o70=>a;0g?8e32;n01n=52e9>g7<5l27h=77b<5kl1>i52bd81`>;el38o70ll:3f89gd=:m16==752e9>55>=:m16==952e9>550=:m16==;52e9>552=:m16===52e9>554=:m16==?52e9>556=:m16j84=d:?e0?4c34l86?j4=g096a=:n809h63i0;0g?8ca2;n01hk52e9>aa<5l27no77i7o7m77;6=46{_10a>;5nl08963=fe801>;5no08963<01801>;48:08963<00801>;48;08963<05801>{t><0;64u23349<5=::981?=521g2975=::191?=52262975=:9m:1?=521cf975=::k?1?=522`1975=z{;9>6=4>6z?042<5m279>=4=e:?15c<5m279=i4=e:?15f<5m279=h4=e:?15g<5m279=l4=e:?15=<5m279=:4=e:?15<<5m279?94=e:?176<5m279??4=e:?174<5m279?=4=e:?16c<5m279>h4=e:?16a<5m279>n4=e:?16g<5m27:i848e:p75b=838p1>>8:238967721?0q~=?5;296~;48>08963<4984a>{t9l81<7=t=0g2>63<58o?6>;4=0g0>2c523y>5`7=0=16=h:5859>744=0<16>?>5309>64`=;816>64e=;816>64d=;816>64>=;816><95859>64?=;816>>:5309>665=;816>><5309>667=;816>>>5309>67`=;816>?k5309>67b=;816>?m5309>67d=;816=o;5859>5d4=;816=lo5309>5d?=;816=l65309>5d1=;816=l85309>5d3=;816=l:5309>5d5=;816=l?5309~w4c6290>w0?j1;:6?87b=3>o70?66;6f?87b;3>n70?64;6`?xu51?0;6>u2287970=::0>1?85228593`=z{:;26=4=az?1=0lm:43896dd2<;01>lk:43896db2<;01>li:43896e72<;01>m>:43897?02=o0q~=mb;296~;5nl08><523c`93`=z{?=1<76><5:>j68>4}r0fa?6=jr79jh4=8:?1ba<50279jk4=8:?045<50278<>4=8:?044<50278279jk4=6:?045<5>278<>4=6:?044<5>278279j:475:?bg?2c34ki69k4}r0fg?6=jr79jh4=5:?1ba<5=279jk4=5:?045<5=278<>4=5:?044<5=2784=4:?044<5<2784=3:?044<5;2784=2:?044<5:278475:?a4?2c34km69k4}r0f4=1:?044<59278:4?:ey>6cc=<916>kj5419>6c`=<916?=>5419>755=<916?=?5419>754=<916?=:5419>667=0<16554;d:?:3?2b34;hm7:i;<3;2?2b3ty9>;4?:ey>6cc=;l16>kj53d9>6c`=;l16?=>53d9>755=;l16?=?53d9>754=;l16?=:53d9>666=0<16544;d:?:84?:ey>6cc=;m16>kj53e9>6c`=;m16?=>53e9>755=;m16?=?53e9>754=;m16?=:53e9>67`=0<165l4;d:?:=?2b34;h57:i;<3;0?2b3ty9>94?:ey>6cc=;j16>kj53b9>6c`=;j16?=>53b9>755=;j16?=?53b9>754=;j16?=:53b9>67c=0<165o4;d:?:e?2b34;h47:k;<3;7?2b3ty9>>4?:ey>6cc=;k16>kj53c9>6c`=;k16?=>53c9>755=;k16?=?53c9>754=;k16?=:53c9>67b=0<165n4;d:?:f?2b34;h47:i;<3;6?2b3ty9>?4?:ey>6cc=;h16>kj53`9>6c`=;h16?=>53`9>755=;h16?=?53`9>754=;h16?=:53`9>67e=0<165i4;d:?:g?2b34;h;7:k;<3;5?2b3ty9><4?:by>6cc=;016>kj5389>6c`=;016?=>5389>755=;016?=?5389>754=;016?=:5389>67d=0<165i4;e:?2g2<3n27:4=4;e:p7gg=838p1?hk:202?85ei3=n7p}96;296~;5nm08463<4`865>{t;ki1<7646349io79j;|q5v3<0180<>;4>6s|3b294?4|5::86><>;<1`4?1b3ty=h7>52z?046<40278844;e:p7gc=838p1>>>:202?85em3=n7p}9a;296~;48808463<48865>{t;kl1<7646349ij79j;|q5f?6=:r78v3<0580<>;4<00>>6s|35c94?4|5:>369j4=26b>2c52z?00=<3m2788448e:p67g=83:7:9589`7=;<16>>:5849>=0<3l27:oo4;d:?23c<3m2wx>?650;5x962f2=n01>:6:5f8975521?014954e9>=3<3m27:ol4;d:?2<2<3m2wx>?750;5x962f2=l01>:6:5d8975421?014854e9>=0<3m27:oo4;f:?2<=<3m2wx?=750;1x966f2:?01>>6:978966?2;o0q~=?a;296~;48h03963<0b87`>{t;8>1<7=t=236>63<5:;86?k4=237>=352z?050=839p1>>6:278966?21?01>>l:5g8yv56;3:1?v3<128;1>;49=08963<1687a>{t;9h1<7:t=231>=2<5:;:65:4=233>=2<5::h6:k4}r13a?6=:0q6?570=;816=?;5309>572=;816=?=5309>574=;816=??5309>576=;816=54c=;816=53d=;816=;o5309>53?=;816=;65309>531=;816=;85309>533=;816=;:5309>535=;816=;<5309>501=;816=885309>503=;816=8:5309>505=;816=8<5309>507=;816=8>5309>51`=;816=9k5309>a4<4927n<7=>;67<5mo1?<52de805>;ck39:70jm:2389ag=;816h44<1:?g74d=0=16?741=?l1v>?7:181=~;49h03963l4;12?8e42:;01n<5309>g4<4927h<7=>;<`e>67<5ko1?<52be805>;ek39:70lm:238946>2:;01<>7:23894602:;01<>9:23894622:;01<>;:23894642:;01<>=:23894662:;01<>?:2389c3=;816j94<1:?e7?5634l96>?4=g3974=:n908=63jf;12?8cb2:;01hj5309>af<4927:8>4<1:?207<4927:8<4<1:?205<4927:?k4<1:?27`<4927:?i4<1:?27f<4927:?o4<1:?27d<492wxjk4?:6y>g1<4=27:<4475:?;f?2b342o69k4=9a90`=:0l0?i637f;6f?xuei3:18v3l4;:6?84>93>o70<8e;6g?84383>n7p}l5;296~;d;39>706m:6g8yvd>290?w0m<:97897?62=l01?9j:5d8972>2=o0q~m9:1818e52:?015m57d9~wg>=83>p1n<5849>6<6=:j54e9>61>=>6s|b683>1}:k803963=9187b>;5?m0?j63=4687a>{tk10;6?u2c1801>;?m3=n7p}m6;290~;d832>70<7f;6g?840k3>o70<;6;6f?xud13:1>v3mf;16?8>a2>o0q~l::1878da21?01?6i:5d8971d2=l01?:::5g8yvef2909w0lj:2789<7=?l1vo:50;6x9gc=0<16>5k54e9>62d=9:54d9~wfd=838p1oj5349>=7<0m2wxn>4?:5y>fa4;e:pgf<72;q6nn4<5:?:7?1b3tyi>7>54z?ag?>23483h7:k;<04e?2c348?>7:j;|q``?6=:r7in7=:;<;7>2c=3<5;2o69h4=35b>1`<5;>:69k4}r355?6=?r7:>;4<5:?22g1c<50l18h52a087a>;f:3>n7p}>1b83>1}:9;<148521eg90`=:9jh18h5216d90a=z{88<6=4={<311?52343n6:k4}r32f?6==r7:>8475:?23`<4?27:hh4:0:?2gg<2827:454;d:p57>=838p1<<;:2789<`=?l1v70?8d;14?87cl3>n70?la;6f?87??3>o7p}>2883>3}:9;91?852a184a>;f<3?;70o::4389d0==;16m:4:2:p54?=83?p1<<<:978941d2:=01v3>23801>;f93=n7p}>1983>0}:9;81485216`972=:9mi18h521b;90`=:91?18i5rs00a>5<5s4;9=7=:;2c55z?264w0?=0;:6?870139<70?kb;6f?87d03>n70?73;6g?xu6:m0;6?u210d970=:i<061<58ni68>4=0a;>06<582969j4}r31a?6=:r7:=h4<5:?b2?1b3ty:=94?:4y>54c=0<16=:95369>5ag=5=7=70o8:6g8yv76;3:19v3>1e8;1>;6??08;63>d`864>;6k>0><63>8187`>{t9=n1<763<58?<65;4}r37g?6=:r7::l4<5:?21350;4x940f21?014k54e9>e5<3l272j7:k;1b<5h818i5rs06a>5<5s4;=57=:;<361?>23ty:9k4?:4y>53?=0<16m=4;f:?:b?2a34k:69h4=`090c=z{8>j6=4={<35876:;|q21`<72=q6=;65849>e5<2827j=7;?;0652z?222<4=27:9>475:p50b=83=p1<88:9789d2=4=`3914=:i;0>=6s|15:94?4|58<=6>;4=071>=3o7>56z?2231`<5h<19=52a687b>;f:3?97p}>4683>7}:9??1?8521439<0=z{8?i6=4:{<351?>234k?69j4=`790`=:i?0?j63n7;6f?xu65<3s4;=876:;1b<5h<18h52a687`>{t9=?1<763<58>m65;4}r36=?6=;r7::>475:?b2?2c34k869j4}r370?6=:r7::?4<5:?20`6:2789c3=0<1vho50;0x946?2:?01k:5849~wcc=837:9789=d=63<5o91485rsgf94?3|58:<65;4=9f90c=:0j0?j637e;6e?8>a2=l0q~k7:181877>39>70h=:978yv`d290?w0??6;:6?8>c2<:015k5519>553=;<16j<475:pbg<72>q6==;5849>=4<3n272>7;?;<;0>07<50>19=528d865>;?n3?:7p}j6;296~;68=08963i0;:6?xuai3:1:v3>058;1>;>93>n707=:5d89<5==916594;f:?;b?353tyn97>52z?246<4=27nj76:;|qe=?6==r7:<>475:?:5?2c343969k4=8190c=:1=0?i6s|e583>7}:9981?852ed8;1>{tn10;69u21109<0=:1;0?h6363;6f?8?32=n0q~k<:181877939>70kk:978yv`02908w0??1;:6?8?42=n014>54e9~w`4=838p1<>?:2789`e=0<1vk850;0x946721?014>54d9~w45>2909w0?:7;16?873;32>7p}>3983>7}:9<<1?8521509<0=z{89<6=4={<361?5234;?=76:;|q273<72;q6=8:5349>516=0<1v<=::181872;39>70?5<5s4;>=7=:;<30`?>23ty:??4?:3y>506=;<16=>m5849~w4562909w0?;f;16?874j32>7p}>3183>7}:9=o1?85212c9<0=z{m=1<77}:n=08963j0;:6?xuc=3:1>v3i3;16?8ba21?0q~j;:1818`52:?01ik5849~wa5=838p1k?5349>`ab5<4=27oo76:;|qg5?6=:r7nj7=:;=363<5mk1485rsbd94?4|5ln1?852d88;1>{tkl0;6?u2eb801>;c032>7p}>0`83>7}:m90896365;5f?xu68k0;6?u2dg801>;>>3=n7p}>0b83>7}:ll0896367;5f?xu68m0;6?u2de801>;>03=n7p}>0d83>7}:lj0896369;5f?xu68o0;6?u2dc801>;>i3=n7p}>1183>7}:lh089636b;5f?xu6980;6?u2d8801>;>k3=n7p}>1383>7}:l1089636d;5f?xu5n80;6ou2151970=::oh14852a987`>;4jh0><63;4jj0><63;4jl0?i63;4k90?i63{t9?i1<763<5h21;h5rs04g>5<5s4;?=7=:;2c52z?205<4=27jm79j;|q22c<72;q6=>h5349>eg<0m2wx=:>50;0x945b2:?01lm57d9~w4162909w0?o0q~?82;296~;6;j08963ne;5f?xu6?:0;6?u212`970=:io0;4=c293`=z{8om6=4={<014?52348;n79j;|q153<72=q6>?>5849>662=;<16=ik54e9>65e=705<5s48:h7=:;<034?:5y>64b=0<16>>?5349>5ab=c;16?847?3=n7p}=1383>1}::8i14852222970=:9mi18i521g`90a=z{8oo6=4={<02a?52348;579j;|q151<72=q6>664=;<16=ij54e9>5c`=705<5s48:m7=:;<031?1b3ty9==4?:5y>64g=0<16>?k5349>5ad=8;16?847;3=n7p}=0d83>1}::821485223a970=:9mk18i521g190a=z{8o=6=4={<023?52348;>79j;|q14a<72=q6><95849>67d=;<16=io54g9>5c7=705<5s48;n7=?;<034?1b3ty965d==?16>=m57d9~w4`b2908w0u221c913=::9:14:5221393`=z{8lh6=4<{<03=?5734;mi7=?;<3eg?1b3ty:jk4?:2y>65?==?16=kk5869>5c`=?l1vfe83>6}::9219;521ga9<2=:9on1;h5rs0d;>5<4s48;;7=?;<3ee?5734;m479j;|q2bg<72:q6>=95579>5cg=0>16=kl57d9~w4`12908w0u2214913=:9o214:521g;93`=z{8l?6=4<{<031?5734;m:7=?;<3e0?1b3ty:j:4?:2y>653==?16=k85869>5c1=?l1vf483>6}::9>19;521g69<2=:9o?1;h5rs0d3>5<4s48;?7=?;<3e6?5734;m<79j;|q2b6<72:q6>==5579>5c4=0>16=k=57d9~w4`62908w0o1;h5rs05e>5<5s4;357;9;<34b?1b3ty:mo4?:3y>5=?=?l16=l<5349~w41c2908w0?62;13?870m39;70?8d;5f?xu6010;6>u2180913=:9>o14:5219:93`=z{8h?6=4={<3:6?1b34;jm7=:;|q23f<72:q6=4?5319>52b=;916=:m57d9~w4>02908w0?61;75?870l32<70?77;5f?xu6j:0;6?u218393`=:9h31?85rs05a>5<4s4;2<7=?;<34g?5734;5579>52e=0>16=5857d9~w4d52909w0?60;5f?87f039>7p}>7`83>6}:91l1?=5216`975=:9>k1;h5rs0:6>5<4s4;3j7;9;<34f?>034;3979j;|q2f4<72;q6=5h57d9>5d1=;<1v<96:18087?m39;70?8a;13?87013=n7p}>8583>6}:91o19;5216c9<2=:91>1;h5rs0`3>5<5s4;3i79j;<3b2?523ty:;54?:2y>5=b=;916=:75319>52>=?l1v<6<:18087?l3?=70?89;:4?87?;3=n7p}>ag83>7}:91n1;h521`7970=z{8=<6=4<{<3;g?5734;<47=?;<343?1b3ty:4?4?:2y>5=e==?16=:65869>5=4=?l1vu219`975=:9>=1?=5216493`=z{82:6=4<{<3;f?3134;<;768;<3;5?1b3ty:mi4?:3y>5=d=?l16=l=5349~w4122908w0?7a;13?870>39;70?85;5f?xu6090;6>u219c913=:9><14:5219293`=z{8kh6=4={<3;e?1b34;j=7=:;|q2=0<72;q6=:;5369>5<0=?l1v<7<:18187e=38n70?64;5f?xu6j?0;6?u21c7970=:9k=1;h5rs0;4>5<5s4;j>76:;<3a5dg=0<16=o654d9~w4?a2909w0?n9;:6?87e?3>m7p}>9d83>7}:9h2148521c590`=z{83o6=4={<3b3?>234;i;7;?;|q2=f<72;q6=l85849>5g>=70?m8;6e?xu61h0;6?u21`69<0=:9k219=5rs0;:>5<5s4;j?76:;<3a3?363ty:554?:3y>5d7=0<16=o954e9~w75a2909w0<;a;13?844n3=n7p}=4183>7}::=k19;5225293`=z{;?m6=4={<07e?1b348>47=:;|q17`<72:q6>8>5319>66`=;916>>k57d9~w72>2908w0<:0;75?844n32<70<;9;5f?xu5><0;6?u224293`=::5<2s488j7=8;<0f2?>2348mm7=:;<04a?37348?57:k;|q17a<72:q6>9h5319>66c=;916>>j57d9~w72?2908w0<;f;75?844m32<70<;8;5f?xu5>=0;6?u225d93`=::5<2s488i7=8;<0f1?>2348m57=:;<04`?2b348?47:k;|q17f<72:q6>9k5319>66b=;916>>m57d9~w7202908w0<;e;75?844l32<70<;7;5f?xu5>:0;6?u225g93`=::5<2s488h7=8;<0f0?>2348m47=:;<04`?37348?;7:k;|q17g<72:q6>9j5319>66e=;916>>l57d9~w7212908w0<;d;75?844k32<70<;6;5f?xu5>;0;6?u225f93`=::5<2s488o7=8;<0f7?>2348m;7=:;<04g?2b348?:7:k;|q17d<72:q6>9m5319>66d=;916>>o57d9~w7222908w0<;c;75?844j32<70<;5;5f?xu5>80;6?u225a93`=::5<2s488n7=8;<0f6?>2348m:7=:;<04g?37348?97:k;|q17<<72:q6>9l5319>66g=;916>>757d9~w7232908w0<;b;75?844i32<70<;4;5f?xu5>90;6?u225`93`=::<31?85rs3f4>5<2s488m7=8;<0f5?>2348m97=:;<04f?2b348?87:k;|q17=<72;q6>>75319>66>=?l1v?j9:186844139<7070<8b;73?843;3>o7p}=4283>7}:::314:5225193`=z{;9<6=4={<00>65369>6a`=0<16>k=5349>62g=9<54e9~w7252909w0<<8;:4?843:3=n7p}=3783>7}:::=1?=5222493`=z{;n?6=4:{<003?50348oi76:;<0e6?52348661=0>16>9?57d9~w7`d2909;5m909i63=dd81a>;5lo09i63=e081a>;5m;09i63=e481a>;5m:09i63=e581a>;5m?09i63=e681a>;5l:09i63=d381a>;5l909i63=cg81a>;5l809i63=ce81a>;5kj09i63=cd81a>;5kh09i63=cc81a>;5nk09i63=f`81a>;5n009i63=f981a>;5n>09i63=f781a>;5n<09i63=f581a>;5n:09i63=f381a>;511007;7>52z?11`{t:<>1<7=3<5;<<68>4}r067?6=:r799l475:?12=<3l2wx>8<50;0x973>21?01?87:5d8yv41j3:1?v3=6c8;1>;5>h0?h63=6987a>{t:?31<77c<5;5<50;0x97>02::01?69:6g8yv4?k3:1>v3=868;3>;51801`52z?1<3<482794848e:p6=d=838p1?69:95897?72>o0q~<70;296~;50<08<63=8584a>{t:1k1<7=1<5;2m6:k4}r04b?6=:r79494<0:?1<6<0m2wx>5750;0x97>321=01?6j:6g8yv4?03:1>v3=828;3>;50m0>4=350>2c52z?131{t:>21<7=1<5;=o6:k4}r05`?6=:r79;?4<0:?134<0m2wx>:950;0x971521=01?9l:6g8yv41k3:1>v3=70804>;5?902c52z?135o0q~?k9;296~;6l=03;63>dd84a>{t9l:1<72c<58o869h4}r3`a?6=:r7:h>4<0:?2`7<0m2wx=i650;0x94b421=01v3>d3804>;6l802c52z?2`4<4827:h=48e:p5a0=838p1:95894be2>o0q~?k5;296~;6l903;63>d`84a>{t9ki1<766<58i;6:k4}r3`2?6=:r7:o<477:?2gg<0m2wx=ih50;0x94e62>o01v3>c1804>;6jo02c52z?2fc<4827:nh48e:p5f2=838p12>o0q~?m9;296~;6jl08<63>be84a>{t9j91<7=1<58i36:k4}r3`6?6=:r7:ni477:?2g2<0m2wx>n<50;6x97c72:?01?ml:97897>b2<:01?o7:5f8yv4d83:18v3=dd801>;5kh03963=8e864>;5i=0?h6s|2b394?2|5;nm6>;4=3aa>=3<5;2o69k4=3c5>1b54z?1a4<4=279oi475:?1<`<3m279ml4;d:p6f2=83>p1?k=:27897eb21?01?6i:42897gd2=n0q~;5190?i63=b387`>{t:j?1<7:t=3g0>63<5;im65;4=3:e>1c<5;kn69j4}r0`2?6=n650;6x97c12:?01?j=:97897?62<:01?l;:5f8yv4d13:18v3=e6801>;5l:03963=9087a>;5jo0?h6s|2ef94?2|5;o<65;4=3da>63<5;=n69k4=363>1b7>52z?1`6<4=279nh48e:p6d7=838p1?j=:27897dc2>o0q~<6f;296~;5l908963=bc84a>{t:0o1<763<5;hj6:k4}r0b4?6=:r79h<4<5:?1ff<0m2wx>4m50;0x97ec2:?01?l7:6g8yv4>j3:1>v3=cb801>;5j>0;4=3`:>2c52z?1gd<4=279n848e:p6o0q~{t:kl1<700<5;hm6:k4}r0a5?6=;r79ni4<0:?1f6<48279n<48e:p6g2=839p1?lk:44897d421=01?l;:6g8yv4fn3:1?v3=bb804>;5j808<63=ag84a>{t:k81<7=t=3``>00<5;h:6594=3`1>2c53z?1fg<48279mk4<0:?1ea<0m2wx>o>50;1x97de2<<01?oi:95897d72>o0q~;5ik0=1<5;kn6:k4}r0b=?6=;r79n44<0:?1eg<48279m448e:p6de=839p1?l6:44897ge21=01?ol:6g8yv4f?3:1?v3=b9804>;5i008<63=a684a>{t:hk1<7=t=3`;>00<5;k26594=3cb>2c53z?1f2<48279m:4<0:?1e0<0m2wx>l650;1x97d02<<01?o8:95897g?2>o0q~;5i:0=1<5;k=6:k4}r0b0?6=;r79n84:6:?1e6;657d9>631==;1v;m50;0x94d?2>o0179;<0a>6?13-9:o79=;|q0e6<72;qU?l=4=3`97d5<,:;h6:=4}r1b1?6=:rT8m8522c80e0=#;8i1;95rs2c`>5<5sW9jo63=b;1bg>"49j0<96s|3c:94?4|V:h3707}Y;=;01?l53538 67d2>=0q~=;5;296~X4<<16>o4<449'74e=?11v>:8:181[53?279n7=;7:&05f<012wx?8<50;0xZ635348i6>;=;%12g?1f3ty8;94?:3y]722<5;h1?::4$23`>2d4?:3yK74b52zJ05a=zfh>>6=4={I12`>{ii=<1<70;6?uG30f8ykg303:1>vF<1e9~jd2>2909wE=>d:me1g=838pD>?k;|lb0g<72;qC?5<5sA9:h6sa8c794?7|@:;o7p`7c183>4}O;8n0qc6l1;295~N49m1vb5m=:182M56l2we4n=50;3xL67c3td3o94?:0yK74b51zJ05a=zf1i=6=4>{I12`>{i0j=1<7?tH23g?xh?k10;6d13:1=vF<1e9~j=ef290:wE=>d:m?k;|l;gf<728qC?5<6sA9:h6sa8bd94?7|@:;o7p`7d183>4}O;8n0qc6k1;295~N49m1vb5j=:182M56l2we4i=50;3xL67c3td3h94?:0yK74b51zJ05a=zf1n=6=4>{I12`>{i0m=1<7?tH23g?xh?l10;6c13:1=vF<1e9~j=bf290:wE=>d:m?k;|l;`f<728qC?5<6sA9:h6sa8ed94?7|@:;o7p`7e183>4}O;8n0qc6j1;295~N49m1vb5k=:182M56l2we4h=50;3xL67c3td3i94?:0yK74b51zJ05a=zf1o=6=4>{I12`>{i0l=1<7?tH23g?xh?m10;6b13:1=vF<1e9~j=cf290:wE=>d:m<`d=83;pD>?k;|l;af<728qC?5<6sA9:h6sa8dd94?7|@:;o7p`7f183>4}O;8n0qc6i1;295~N49m1vb5h=:182M56l2we4k=50;3xL67c3td3j94?:0yK74b51zJ05a=zf1l=6=4>{I12`>{i0o=1<7?tH23g?xh?n10;6a13:1=vF<1e9~j=`f290:wE=>d:m?k;|l;bf<728qC?5<6sA9:h6sa8gd94?7|@:;o7p`60183>4}O;8n0qc7?1;295~N49m1vb4>=:182M56l2we5==50;3xL67c3td2<94?:0yK74b51zJ05a=zf0:=6=4>{I12`>{i19=1<7?tH23g?xh>810;6d:m=5d=83;pD>?k;|l:4f<728qC?5<6sA9:h6sa91d94?7|@:;o7p`61183>4}O;8n0qc7>1;295~N49m1vb4?=:182M56l2we5<=50;3xL67c3td2=94?:0yK74b51zJ05a=zf0;=6=4>{I12`>{i18=1<7?tH23g?xh>910;6d:m=4d=83;pD>?k;|l:5f<728qC?5<6sA9:h6sa90d94?7|@:;o7p`62183>4}O;8n0qc7=1;295~N49m1vb4<=:182M56l2we5?=50;3xL67c3td2>94?:0yK74b51zJ05a=zf08=6=4>{I12`>{i1;=1<7?tH23g?xh>:10;6d:m=7d=83;pD>?k;|l:6f<728qC?5<6sA9:h6sa93d94?7|@:;o7p`63183>4}O;8n0qc7<1;295~N49m1vb4==:182M56l2we5>=50;3xL67c3td2?94?:0yK74b51zJ05a=zf09=6=4>{I12`>{i1:=1<7?tH23g?xh>;10;6d:m=6d=83;pD>?k;|l:7f<728qC?5<6sA9:h6sa92d94?7|@:;o7p`64183>4}O;8n0qc7;1;295~N49m1vb4:=:182M56l2we59=50;3xL67c3td2894?:0yK74b51zJ05a=zf0>=6=4>{I12`>{i1==1<7?tH23g?xh><10;6d:m=1d=83;pD>?k;|l:0f<728qC?5<6sA9:h6sa95d94?7|@:;o7p`65183>4}O;8n0qc7:1;295~N49m1vb4;=:182M56l2we58=50;3xL67c3td2994?:0yK74b97>51zJ05a=zf0?=6=4>{I12`>{i1<=1<7?tH23g?xh>=10;6d:m=0d=83;pD>?k;|l:1f<728qC?5<6sA9:h6sa94d94?7|@:;o7p`66183>4}O;8n0qc791;295~N49m1vb48=:182M56l2we5;=50;3xL67c3td2:94?:0yK74b51zJ05a=zf0<=6=4>{I12`>{i1?=1<7?tH23g?xh>>10;6d:m=3d=83;pD>?k;|l:2f<728qC?5<6sA9:h6sa97d94?7|@:;o7p`67183>4}O;8n0qc781;295~N49m1vb49=:182M56l2we5:=50;3xL67c3td2;94?:0yK74b51zJ05a=zf0==6=4>{I12`>{i1>=1<7?tH23g?xh>?10;6d:m=2d=83;pD>?k;|l:3f<728qC?5<6sA9:h6sa96d94?7|@:;o7p`68183>4}O;8n0qc771;295~N49m1vb46=:182M56l2we55=50;3xL67c3td2494?:0yK74b51zJ05a=zf02=6=4>{I12`>{i11=1<7?tH23g?xh>010;6f290:wE=>d:m==d=83;pD>?k;|l:5<6sA9:h6sa99d94?7|@:;o7p`69183>4}O;8n0qc761;295~N49m1vb47=:182M56l2we54=50;3xL67c3td2594?:0yK74b51zJ05a=zf03=6=4>{I12`>{i10=1<7?tH23g?xh>110;613:1=vF<1e9~jd:m=?k;|l:=f<728qC?5<6sA9:h6sa98d94?7|@:;o7p`6a183>4}O;8n0qc7n1;295~N49m1vb4o=:182M56l2we5l=50;3xL67c3td2m94?:0yK74b51zJ05a=zf0k=6=4>{I12`>{i1h=1<7?tH23g?xh>i10;6d:m=dd=83;pD>?k;|l:ef<728qC?5<6sA9:h6sa9`d94?7|@:;o7p`6b183>4}O;8n0qc7m1;295~N49m1vb4l=:182M56l2we5o=50;3xL67c3td2n94?:0yK74b51zJ05a=zf0h=6=4>{I12`>{i1k=1<7?tH23g?xh>j10;6d:m=gd=83;pD>?k;|l:ff<728qC?5<6sA9:h6sa9cd94?7|@:;o7p`6c183>4}O;8n0qc7l1;295~N49m1vb4m=:182M56l2we5n=50;3xL67c3td2o94?:0yK74b51zJ05a=zf0i=6=4>{I12`>{i1j=1<7?tH23g?xh>k10;6d:m=fd=83;pD>?k;|l:gf<728qC?5<6sA9:h6sa9bd94?7|@:;o7p`6d183>4}O;8n0qc7k1;295~N49m1vb4j=:182M56l2we5i=50;3xL67c3td2h94?:0yK74b51zJ05a=zf0n=6=4>{I12`>{i1m=1<7?tH23g?xh>l10;6d:m=ad=83;pD>?k;|l:`f<728qC?5<6sA9:h6sa9ed94?7|@:;o7p`6e183>4}O;8n0qc7j1;295~N49m1vb4k=:182M56l2we5h=50;3xL67c3td2i94?:0yK74b51zJ05a=zf0o=6=4>{I12`>{i1l=1<7?tH23g?xh>m10;6d:m=`d=83;pD>?k;|l:af<728qC?5<6sA9:h6sa9dd94?7|@:;o7p`6f183>4}O;8n0qc7i1;295~N49m1vb4h=:182M56l2we5k=50;3xL67c3td2j94?:0yK74b51zJ05a=zf0l=6=4>{I12`>{i1o=1<7?tH23g?xh>n10;6d:m=cd=83;pD>?k;|l:bf<728qC?5<6sA9:h6sa9gd94?7|@:;o7p`n0183>4}O;8n0qco?1;295~N49m1vbl>=:182M56l2wem==50;3xL67c3tdj<94?:0yK74b51zJ05a=zfh:=6=4>{I12`>{ii9=1<7?tH23g?xhf810;6d:me5d=83;pD>?k;|lb4f<728qC?5<6sA9:h6saa1d94?7|@:;o7p`n1183>4}O;8n0qco>1;295~N49m1vbl?=:182M56l2wem<=50;3xL67c3tdj=94?:0yK74b51zJ05a=zfh;=6=4>{I12`>{ii8=1<7?tH23g?xhf910;6d:me4d=83;pD>?k;|lb5f<728qC?5<6sA9:h6saa0d94?7|@:;o7p`n2183>4}O;8n0qco=1;295~N49m1vbl<=:182M56l2wem?=50;3xL67c3tdj>94?:0yK74b51zJ05a=zfh8=6=4>{I12`>{ii;=1<7?tH23g?xhf:10;6d:me7d=83;pD>?k;|lb6f<728qC?5<6sA9:h6saa3d94?7|@:;o7p`n3183>4}O;8n0qco<1;295~N49m1vbl==:182M56l2wem>=50;3xL67c3tdj?94?:0yK74b51zJ05a=zfh9=6=4>{I12`>{ii:=1<7?tH23g?xhf;10;6d:me6d=83;pD>?k;|lb7f<728qC?5<6sA9:h6saa2d94?7|@:;o7p`n4183>4}O;8n0qco;1;295~N49m1vbl:=:182M56l2wvqpNOCz`g`?c4>j8m?

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.