OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /present
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/trunk/32BitIO/bench/vhdl/PresentEncTB.vhd
108,7 → 108,7
-- Stimulus process
stim_proc: process
file infile :text is in "wejscie.txt";
file infile :text is in "input.txt";
variable line_in :line;
variable bytes : std_logic_vector(32 downto 0);
variable bytes2 : std_logic_vector(3 downto 0);
185,9 → 185,9
variable str :string(1 to 29);
variable lineout :line;
variable init_file :std_logic := '1';
file outfile :text is out "wyjscie.txt";
file outfile :text is out "output.txt";
-------- funkcja konwersji: std_logic_vector => character --------
-------- conversion function: std_logic_vector => character --------
function conv_to_hex_char (sig: std_logic_vector(3 downto 0)) RETURN character IS
begin
case sig is
210,7 → 210,7
end case;
end conv_to_hex_char;
-------- funkcja konwersji: std_logic => character --------
-------- conversion function: std_logic => character --------
function conv_to_char (sig: std_logic) RETURN character IS
begin
case sig is
221,7 → 221,7
end case;
end conv_to_char;
-------- funkcja konwersji: std_logic_vector => string --------
-------- conversion function: std_logic_vector => string --------
function conv_to_string (inp: std_logic_vector; length: integer) RETURN string IS
variable x : integer := length/4;
variable s : string(1 to x);
234,7 → 234,7
-------------------------------------
begin
 
-------- output file header (columns) --------
if init_file = '1' then
str:="clk ";
write(lineout,str); writeline(outfile,lineout);
253,7 → 253,7
init_file := '0';
end if;
 
 
if (strobe'EVENT and strobe='0') then
str := (others => ' ');
str(1) := conv_to_char(clk);
/trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_isim_beh.wdb Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_beh.prj (revision 5) @@ -0,0 +1,3 @@ +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../bench/vhdl/keyupdTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_isim_beh.wdb =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_isim_beh.wdb (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_isim_beh.wdb (revision 5)
trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_stx_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_stx_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_stx_beh.prj (revision 5) @@ -0,0 +1,12 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/outputRegister.vhd" +vhdl isim_temp "../../../rtl/vhdl/mux80.vhd" +vhdl isim_temp "../../../rtl/vhdl/mux64.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentEnc.vhd" +vhdl isim_temp "../../../bench/vhdl/txt_util.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentEncTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/isim.cmd =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/isim.cmd (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/isim.cmd (revision 5) @@ -0,0 +1,3 @@ +onerror {resume} +wave add / +run 1000 ns; Index: trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_beh.prj (revision 5) @@ -0,0 +1,12 @@ +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer.vhd" +vhdl work "../../../rtl/vhdl/outputRegister.vhd" +vhdl work "../../../rtl/vhdl/mux80.vhd" +vhdl work "../../../rtl/vhdl/mux64.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../rtl/vhdl/counter.vhd" +vhdl work "../../../rtl/vhdl/PresentEnc.vhd" +vhdl work "../../../bench/vhdl/txt_util.vhd" +vhdl work "../../../bench/vhdl/PresentEncTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_isim_beh.wdb =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_isim_beh.wdb (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_isim_beh.wdb (revision 5)
trunk/32BitIO/sim/rtl_sim/bin/PresentEncTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_stx_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_stx_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_stx_beh.prj (revision 5) @@ -0,0 +1,2 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../bench/vhdl/sLayerTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/input.txt =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/input.txt (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/input.txt (revision 5) @@ -0,0 +1,56 @@ +0 +2 +1 +3 +1 +4 +1 +7 +1 +8 +1 +6 +9 +A +0 +2 +0 +3 +0 +4 +0 +7 +0 +8 +0 +6 +9 +A +0 +2 +0 +3 +0 +4 +0 +7 +1 +8 +1 +6 +9 +A +0 +2 +1 +3 +1 +4 +1 +7 +0 +8 +0 +6 +9 +A \ No newline at end of file Index: trunk/32BitIO/sim/rtl_sim/bin/Makefile =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/Makefile (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/Makefile (revision 5) @@ -0,0 +1,45 @@ +PROJECT=present-pure + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +FUSE=$(XILINX_DIR)"fuse.exe" +VHPCOMP=$(XILINX_DIR)"vhpcomp.exe" + +clean: + $(RM) ./isim + $(RM) ./isim.wdb + $(RM) *.log + $(RM) *.xmsgs + $(RM) ./fuseRelaunch.cmd + $(RM) *.exe + +exports: + export DISPLAY=:0 + export XILINX=D:/Programy/Xilinx/14.2/ISE_DS/ISE + export SYSOP=nt64 + export PATH=${XILINX}/bin/${SYSOP} + export LD_LIBRARY_PATH=${XILINX}/lib/${SYSOP} + +PresentEncTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentEncTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentEncTB_isim_beh.exe -prj ./PresentEncTB_beh.prj work.PresentEncTB + +run_PresentEncTB: exports PresentEncTB + "./PresentEncTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentEncTB_isim_beh.wdb" + +keyupdTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./keyupdTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o keyupdTB_isim_beh.exe -prj ./keyupdTB_beh.prj work.keyupdTB + +run_keyupdTB: exports keyupdTB + "./keyupdTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "keyupdTB_isim_beh.wdb" + +sLayerTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./sLayerTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o sLayerTB_isim_beh.exe -prj ./sLayerTB_beh.prj work.sLayerTB + +run_sLayerTB: exports sLayerTB + "./sLayerTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "sLayerTB_isim_beh.wdb" \ No newline at end of file Index: trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/sLayerTB_beh.prj (revision 5) @@ -0,0 +1,2 @@ +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../bench/vhdl/sLayerTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_stx_beh.prj =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_stx_beh.prj (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/keyupdTB_stx_beh.prj (revision 5) @@ -0,0 +1,3 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../bench/vhdl/keyupdTB.vhd" Index: trunk/32BitIO/sim/rtl_sim/bin/output.txt =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin/output.txt (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin/output.txt (revision 5) @@ -0,0 +1,295 @@ +clk +| reset +| | ready +| | | ctrl +| | | | input +| | | | | output +| | | | | | +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|1|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|0|00000000|FFFFFFFF| +1|0|0|2|FFFFFFFF|FFFFFFFF| +1|0|0|3|FFFFFFFF|FFFFFFFF| +1|0|0|4|FFFFFFFF|FFFFFFFF| +1|0|0|7|FFFFFFFF|FFFFFFFF| +1|0|0|8|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|1|6|FFFFFFFF|FFFFFFFF| +1|0|1|6|FFFFFFFF|FFFFFFFF| +1|0|1|9|FFFFFFFF|213210D2| +1|0|1|A|FFFFFFFF|213210D2| +1|0|1|A|FFFFFFFF|3333DCD3| +1|0|0|0|FFFFFFFF|3333DCD3| +1|0|0|2|00000000|FFFFFFFF| +1|0|0|3|00000000|FFFFFFFF| +1|0|0|4|00000000|FFFFFFFF| +1|0|0|7|00000000|FFFFFFFF| +1|0|0|8|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|1|6|00000000|FFFFFFFF| +1|0|1|6|00000000|FFFFFFFF| +1|0|1|9|00000000|7B228445| +1|0|1|A|00000000|7B228445| +1|0|1|A|00000000|5579C138| +1|0|0|0|00000000|5579C138| +1|0|0|2|00000000|FFFFFFFF| +1|0|0|3|00000000|FFFFFFFF| +1|0|0|4|00000000|FFFFFFFF| +1|0|0|7|FFFFFFFF|FFFFFFFF| +1|0|0|8|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|0|6|FFFFFFFF|FFFFFFFF| +1|0|1|6|FFFFFFFF|FFFFFFFF| +1|0|1|6|FFFFFFFF|FFFFFFFF| +1|0|1|9|FFFFFFFF|2F68417B| +1|0|1|A|FFFFFFFF|2F68417B| +1|0|1|A|FFFFFFFF|A112FFC7| +1|0|0|0|FFFFFFFF|A112FFC7| +1|0|0|2|FFFFFFFF|FFFFFFFF| +1|0|0|3|FFFFFFFF|FFFFFFFF| +1|0|0|4|FFFFFFFF|FFFFFFFF| +1|0|0|7|00000000|FFFFFFFF| +1|0|0|8|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|0|6|00000000|FFFFFFFF| +1|0|1|6|00000000|FFFFFFFF| +1|0|1|6|00000000|FFFFFFFF| +1|0|1|9|00000000|F5945049| +1|0|1|A|00000000|F5945049| +1|0|1|A|00000000|E72C46C0| Index: trunk/32BitIO/sim/rtl_sim/bin =================================================================== --- trunk/32BitIO/sim/rtl_sim/bin (nonexistent) +++ trunk/32BitIO/sim/rtl_sim/bin (revision 5)
trunk/32BitIO/sim/rtl_sim/bin Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/32BitIO/sim/rtl_sim =================================================================== --- trunk/32BitIO/sim/rtl_sim (nonexistent) +++ trunk/32BitIO/sim/rtl_sim (revision 5)
trunk/32BitIO/sim/rtl_sim Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/32BitIO/syn/XC3ES500/PresentEnc.prj =================================================================== --- trunk/32BitIO/syn/XC3ES500/PresentEnc.prj (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/PresentEnc.prj (revision 5) @@ -0,0 +1,10 @@ +vhdl work "../../rtl/vhdl/slayer.vhd" +vhdl work "../../rtl/vhdl/kody.vhd" +vhdl work "../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../rtl/vhdl/pLayer.vhd" +vhdl work "../../rtl/vhdl/outputRegister.vhd" +vhdl work "../../rtl/vhdl/mux80.vhd" +vhdl work "../../rtl/vhdl/mux64.vhd" +vhdl work "../../rtl/vhdl/keyupd.vhd" +vhdl work "../../rtl/vhdl/counter.vhd" +vhdl work "../../rtl/vhdl/PresentEnc.vhd" Index: trunk/32BitIO/syn/XC3ES500/log =================================================================== --- trunk/32BitIO/syn/XC3ES500/log (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/log (revision 5)
trunk/32BitIO/syn/XC3ES500/log Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/32BitIO/syn/XC3ES500/PresentEnc.xst =================================================================== --- trunk/32BitIO/syn/XC3ES500/PresentEnc.xst (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/PresentEnc.xst (revision 5) @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn PresentEnc.prj +-ifmt mixed +-ofn PresentEnc +-ofmt NGC +-p xc3s500e-5-fg320 +-top PresentEnc +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Soft +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 100000 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: trunk/32BitIO/syn/XC3ES500/out =================================================================== --- trunk/32BitIO/syn/XC3ES500/out (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/out (revision 5)
trunk/32BitIO/syn/XC3ES500/out Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/32BitIO/syn/XC3ES500/PresentEnc.ut =================================================================== --- trunk/32BitIO/syn/XC3ES500/PresentEnc.ut (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/PresentEnc.ut (revision 5) @@ -0,0 +1,22 @@ +-w +-g DebugBitstream:No +-g Binary:no +-g CRC:Enable +-g ConfigRate:1 +-g ProgPin:PullUp +-g DonePin:PullUp +-g TckPin:PullUp +-g TdiPin:PullUp +-g TdoPin:PullUp +-g TmsPin:PullUp +-g UnusedPin:PullDown +-g UserID:0xFFFFFFFF +-g DCMShutdown:Disable +-g StartUpClk:CClk +-g DONE_cycle:4 +-g GTS_cycle:5 +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:None +-g DonePipe:Yes +-g DriveDone:No Index: trunk/32BitIO/syn/XC3ES500/Makefile =================================================================== --- trunk/32BitIO/syn/XC3ES500/Makefile (nonexistent) +++ trunk/32BitIO/syn/XC3ES500/Makefile (revision 5) @@ -0,0 +1,51 @@ +PROJECT=present-decode-testing + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +XST_DIR=$(XILINX_DIR)"xst.exe" +NGDBUILD_DIR=$(XILINX_DIR)"ngdbuild.exe" +MAP=$(XILINX_DIR)"map.exe" +PAR=$(XILINX_DIR)"par.exe" +TRCE=$(XILINX_DIR)"trce.exe" +BITGEN=$(XILINX_DIR)"bitgen.exe" + +clean: clean_postgen + $(RM) "./out/"*.* + $(RM) "./log/"*.* + +clean_postgen: + $(RM) "./_xmsgs" + $(RM) "./_ngo" + $(RM) "./xlnx_auto_0_xdb" + $(RM) "./xst" + $(RM) *_vhdl.prj *.bgn *.bld *.csv *.drc *.lso *.map *.mrp *.ncd *.ngc *.ngd *.ngm *.ngr *.pad *.par *.pcf *.ptwx *.syr *.twr *.twx *.unroutes *.xpi *.xwbt + +synthesize: clean + mkdir "./xst" + mkdir "./xst/projnav.tmp" + $(XST_DIR) -intstyle ise -ifn "./PresentEnc.xst" -ofn "./PresentEnc.syr" + +translate: synthesize + $(NGDBUILD_DIR) -intstyle ise -dd _ngo -nt timestamp -i -p $(PLATFORM) "PresentEnc.ngc" PresentEnc.ngd + +map: translate + $(MAP) -intstyle ise -p $(PLATFORM) -cm area -ir off -pr off -c 100 -o PresentEnc_map.ncd PresentEnc.ngd PresentEnc.pcf + +par: map + $(PAR) -w -intstyle ise -pl std -rl std -t 1 PresentEnc_map.ncd PresentEnc.ncd PresentEnc.pcf + +trce: par + $(TRCE) -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml PresentEnc.twx PresentEnc.ncd -o PresentEnc.twr PresentEnc.pcf + +bitgen: par + $(BITGEN) -intstyle ise -f PresentEnc.ut PresentEnc.ncd + +postgen: + mv *.bit ./out + mv *.xrpt ./log + mv *.txt ./log + mv *.xml ./log + mv *.html ./log \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.