OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /present
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/trunk/Decode/bench/vhdl/sLayer_invTB.vhd
46,8 → 46,8
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY sLayerTB IS
END sLayerTB;
ENTITY sLayer_invTB IS
END sLayer_invTB;
ARCHITECTURE behavior OF sLayer_invTB IS
/trunk/Decode/sim/rtl_sim/bin/PresentKeyGenTB_stx_beh.prj
0,0 → 1,9
vhdl isim_temp "../../../rtl/vhdl/slayer.vhd"
vhdl isim_temp "../../../rtl/vhdl/kody.vhd"
vhdl isim_temp "../../../rtl/vhdl/Reg.vhd"
vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd"
vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd"
vhdl isim_temp "../../../rtl/vhdl/counter.vhd"
vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd"
vhdl isim_temp "../../../rtl/vhdl/PresentEncKeyGen.vhd"
vhdl isim_temp "../../../bench/vhdl/PresentKeyGenTB.vhd"
/trunk/Decode/sim/rtl_sim/bin/PresentKeyGenTB_beh.prj
0,0 → 1,9
vhdl work "../../../rtl/vhdl/slayer.vhd"
vhdl work "../../../rtl/vhdl/kody.vhd"
vhdl work "../../../rtl/vhdl/Reg.vhd"
vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd"
vhdl work "../../../rtl/vhdl/keyupd.vhd"
vhdl work "../../../rtl/vhdl/counter.vhd"
vhdl work "../../../rtl/vhdl/AsyncMux.vhd"
vhdl work "../../../rtl/vhdl/PresentEncKeyGen.vhd"
vhdl work "../../../bench/vhdl/PresentKeyGenTB.vhd"
/trunk/Decode/sim/rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/Decode/sim/rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj (revision 6) @@ -0,0 +1,17 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDec.vhd" +vhdl isim_temp "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentFullDecoderTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_beh.prj (revision 6) @@ -0,0 +1,17 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../../rtl/vhdl/counter.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl work "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl work "../../../bench/vhdl/PresentFullDecoderTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/PresentDecTB_stx_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentDecTB_stx_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentDecTB_stx_beh.prj (revision 6) @@ -0,0 +1,10 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDec.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentDecTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb (revision 6)
trunk/Decode/sim/rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/Decode/sim/rtl_sim/bin/PresentDecTB_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentDecTB_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentDecTB_beh.prj (revision 6) @@ -0,0 +1,10 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../../bench/vhdl/PresentDecTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/PresentDecTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/Decode/sim/rtl_sim/bin/PresentDecTB_isim_beh.wdb =================================================================== --- trunk/Decode/sim/rtl_sim/bin/PresentDecTB_isim_beh.wdb (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/PresentDecTB_isim_beh.wdb (revision 6)
trunk/Decode/sim/rtl_sim/bin/PresentDecTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/Decode/sim/rtl_sim/bin/isim.cmd =================================================================== --- trunk/Decode/sim/rtl_sim/bin/isim.cmd (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/isim.cmd (revision 6) @@ -0,0 +1,3 @@ +onerror {resume} +wave add / +run 1000 ns; Index: trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_stx_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_stx_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_stx_beh.prj (revision 6) @@ -0,0 +1,2 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../bench/vhdl/sLayer_invTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_beh.prj (revision 6) @@ -0,0 +1,2 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../bench/vhdl/sLayer_invTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_stx_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_stx_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_stx_beh.prj (revision 6) @@ -0,0 +1,3 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../bench/vhdl/keyupd_invTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_beh.prj =================================================================== --- trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_beh.prj (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_beh.prj (revision 6) @@ -0,0 +1,3 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../bench/vhdl/keyupd_invTB.vhd" Index: trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_isim_beh.wdb =================================================================== --- trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_isim_beh.wdb (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_isim_beh.wdb (revision 6)
trunk/Decode/sim/rtl_sim/bin/sLayer_invTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/Decode/sim/rtl_sim/bin/Makefile =================================================================== --- trunk/Decode/sim/rtl_sim/bin/Makefile (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/Makefile (revision 6) @@ -0,0 +1,59 @@ +PROJECT=present-decode + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +FUSE=$(XILINX_DIR)"fuse.exe" +VHPCOMP=$(XILINX_DIR)"vhpcomp.exe" + +clean: + $(RM) ./isim + $(RM) ./isim.wdb + $(RM) *.log + $(RM) *.xmsgs + $(RM) ./fuseRelaunch.cmd + $(RM) *.exe + +exports: + export DISPLAY=:0 + export XILINX=D:/Programy/Xilinx/14.2/ISE_DS/ISE + export SYSOP=nt64 + export PATH=${XILINX}/bin/${SYSOP} + export LD_LIBRARY_PATH=${XILINX}/lib/${SYSOP} + +PresentDecTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentDecTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentDecTB_isim_beh.exe -prj ./PresentDecTB_beh.prj work.PresentDecTB + +run_PresentDecTB: exports PresentDecTB + "./PresentDecTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentDecTB_isim_beh.wdb" + +keyupd_invTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./keyupd_invTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o keyupd_invTB_isim_beh.exe -prj ./keyupd_invTB_beh.prj work.keyupd_invTB + +run_keyupd_invTB: exports keyupd_invTB + "./keyupd_invTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "keyupd_invTB_isim_beh.wdb" + +sLayer_invTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./sLayer_invTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o sLayer_invTB_isim_beh.exe -prj ./sLayer_invTB_beh.prj work.sLayer_invTB + +run_sLayer_invTB: exports sLayer_invTB + "./sLayer_invTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "sLayer_invTB_isim_beh.wdb" + +PresentFullDecoderTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentFullDecoderTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentFullDecoderTB_isim_beh.exe -prj ./PresentFullDecoderTB_beh.prj work.PresentFullDecoderTB + +run_PresentFullDecoderTB: exports PresentFullDecoderTB + "./PresentFullDecoderTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentFullDecoderTB_isim_beh.wdb" + +PresentKeyGenTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentKeyGenTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentKeyGenTB_isim_beh.exe -prj ./PresentKeyGenTB_beh.prj work.PresentKeyGenTB + +run_PresentKeyGenTB: exports PresentKeyGenTB + "./PresentKeyGenTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentKeyGenTB_isim_beh.wdb" \ No newline at end of file Index: trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_isim_beh.wdb =================================================================== --- trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_isim_beh.wdb (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_isim_beh.wdb (revision 6)
trunk/Decode/sim/rtl_sim/bin/keyupd_invTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/Decode/sim/rtl_sim/bin =================================================================== --- trunk/Decode/sim/rtl_sim/bin (nonexistent) +++ trunk/Decode/sim/rtl_sim/bin (revision 6)
trunk/Decode/sim/rtl_sim/bin Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/Decode/sim/rtl_sim =================================================================== --- trunk/Decode/sim/rtl_sim (nonexistent) +++ trunk/Decode/sim/rtl_sim (revision 6)
trunk/Decode/sim/rtl_sim Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/Decode/syn/XC3ES500/PresentFullDecoder.ut =================================================================== --- trunk/Decode/syn/XC3ES500/PresentFullDecoder.ut (nonexistent) +++ trunk/Decode/syn/XC3ES500/PresentFullDecoder.ut (revision 6) @@ -0,0 +1,22 @@ +-w +-g DebugBitstream:No +-g Binary:no +-g CRC:Enable +-g ConfigRate:1 +-g ProgPin:PullUp +-g DonePin:PullUp +-g TckPin:PullUp +-g TdiPin:PullUp +-g TdoPin:PullUp +-g TmsPin:PullUp +-g UnusedPin:PullDown +-g UserID:0xFFFFFFFF +-g DCMShutdown:Disable +-g StartUpClk:CClk +-g DONE_cycle:4 +-g GTS_cycle:5 +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:None +-g DonePipe:No +-g DriveDone:No Index: trunk/Decode/syn/XC3ES500/log =================================================================== --- trunk/Decode/syn/XC3ES500/log (nonexistent) +++ trunk/Decode/syn/XC3ES500/log (revision 6)
trunk/Decode/syn/XC3ES500/log Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/Decode/syn/XC3ES500/PresentFullDecoder.prj =================================================================== --- trunk/Decode/syn/XC3ES500/PresentFullDecoder.prj (nonexistent) +++ trunk/Decode/syn/XC3ES500/PresentFullDecoder.prj (revision 6) @@ -0,0 +1,16 @@ +vhdl work "../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../rtl/vhdl/slayer.vhd" +vhdl work "../../rtl/vhdl/kody.vhd" +vhdl work "../../rtl/vhdl/Reg.vhd" +vhdl work "../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../rtl/vhdl/keyupd.vhd" +vhdl work "../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../rtl/vhdl/counter.vhd" +vhdl work "../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../rtl/vhdl/FullDecoderSM.vhd" +vhdl work "../../rtl/vhdl/PresentFullDecoder.vhd" Index: trunk/Decode/syn/XC3ES500/PresentFullDecoder.xst =================================================================== --- trunk/Decode/syn/XC3ES500/PresentFullDecoder.xst (nonexistent) +++ trunk/Decode/syn/XC3ES500/PresentFullDecoder.xst (revision 6) @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn PresentFullDecoder.prj +-ifmt mixed +-ofn PresentFullDecoder +-ofmt NGC +-p xc3s500e-5-fg320 +-top PresentFullDecoder +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Soft +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 100000 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: trunk/Decode/syn/XC3ES500/out =================================================================== --- trunk/Decode/syn/XC3ES500/out (nonexistent) +++ trunk/Decode/syn/XC3ES500/out (revision 6)
trunk/Decode/syn/XC3ES500/out Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: trunk/Decode/syn/XC3ES500/Makefile =================================================================== --- trunk/Decode/syn/XC3ES500/Makefile (nonexistent) +++ trunk/Decode/syn/XC3ES500/Makefile (revision 6) @@ -0,0 +1,51 @@ +PROJECT=present-decode + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +XST_DIR=$(XILINX_DIR)"xst.exe" +NGDBUILD_DIR=$(XILINX_DIR)"ngdbuild.exe" +MAP=$(XILINX_DIR)"map.exe" +PAR=$(XILINX_DIR)"par.exe" +TRCE=$(XILINX_DIR)"trce.exe" +BITGEN=$(XILINX_DIR)"bitgen.exe" + +clean: clean_postgen + $(RM) "./out/"*.* + $(RM) "./log/"*.* + +clean_postgen: + $(RM) "./_xmsgs" + $(RM) "./_ngo" + $(RM) "./xlnx_auto_0_xdb" + $(RM) "./xst" + $(RM) *_vhdl.prj *.bgn *.bld *.csv *.drc *.lso *.map *.mrp *.ncd *.ngc *.ngd *.ngm *.ngr *.pad *.par *.pcf *.ptwx *.syr *.twr *.twx *.unroutes *.xpi *.xwbt + +synthesize: clean + mkdir "./xst" + mkdir "./xst/projnav.tmp" + $(XST_DIR) -intstyle ise -ifn "./PresentFullDecoder.xst" -ofn "./PresentFullDecoder.syr" + +translate: synthesize + $(NGDBUILD_DIR) -intstyle ise -dd _ngo -nt timestamp -i -p $(PLATFORM) "PresentFullDecoder.ngc" PresentFullDecoder.ngd + +map: translate + $(MAP) -intstyle ise -p $(PLATFORM) -cm area -ir off -pr off -c 100 -o PresentFullDecoder_map.ncd PresentFullDecoder.ngd PresentFullDecoder.pcf + +par: map + $(PAR) -w -intstyle ise -pl std -rl std -t 1 PresentFullDecoder_map.ncd PresentFullDecoder.ncd PresentFullDecoder.pcf + +trce: par + $(TRCE) -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml PresentFullDecoder.twx PresentFullDecoder.ncd -o PresentFullDecoder.twr PresentFullDecoder.pcf + +bitgen: par + $(BITGEN) -intstyle ise -f PresentFullDecoder.ut PresentFullDecoder.ncd + +postgen: + mv *.bit ./out + mv *.xrpt ./log + mv *.txt ./log + mv *.xml ./log + mv *.html ./log \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.