OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdram_controller
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/trunk/sdram.vhd
547,7 → 547,6
when STATE_WRITE_ROW_OPEN =>
op_ack <= '1';
busy_n <= '0';
dqs_dir <= '1';
dq_dir <= '1';
cap_en <= '0';
583,7 → 582,6
when STATE_READ_ROW_OPEN =>
op_ack <= '1';
busy_n <= '0';
dqs_dir <= '0';
dq_dir <= '0';
cap_en <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.