OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sincos/trunk
    from Rev 38 to Rev 39
    Reverse comparison

Rev 38 → Rev 39

/vhdl/tb/un_signed_sprt/un_signed_sprt_tb.do
0,0 → 1,4
vcom -work work -2002 -explicit -novopt //VBOXSVR/d/lib/vhdl/tb/un_signed_sprt/un_signed_sprt.vhd
vcom -work work -2002 -explicit -novopt //VBOXSVR/d/lib/vhdl/tb/un_signed_sprt/un_signed_sprt_tb.vhd
vsim -voptargs="+acc" un_signed_sprt_tb
run

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.