OpenCores
URL https://opencores.org/ocsvn/test_project/test_project/trunk

Subversion Repositories test_project

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /test_project
    from Rev 79 to Rev 80
    Reverse comparison

Rev 79 → Rev 80

/trunk/linux_sd_driver/drivers/mmc/host/mmc_ocores.c
407,25 → 407,34
host->mrq->cmd->resp[2] = 0xbbbbbbbb;
host->mrq->cmd->resp[3] = 0;
mmc_request_done(host->mmc, host->mrq);
} //XXX:
else if(host->mrq->cmd->opcode == 9)
{
host->mrq->cmd->resp[0] = 0x006f0032;
host->mrq->cmd->resp[1] = 0x5b5983bf;
host->mrq->cmd->resp[2] = 0xf6dbdfff;
host->mrq->cmd->resp[3] = 0x0a4041ff;
mmc_request_done(host->mmc, host->mrq);
}
else {
host->word_cnt+=1;
switch(host->word_cnt-1)
{
case (0):
host->mrq->cmd->resp[3] = readl(host->base + SD_RESP1);
host->mrq->cmd->resp[0] = readl(host->base + SD_RESP1);
ocores_start_cmd(host, host->mrq->cmd);
break;
case (1):
host->mrq->cmd->resp[2] = readl(host->base + SD_RESP1);
host->mrq->cmd->resp[1] = readl(host->base + SD_RESP1);
ocores_start_cmd(host, host->mrq->cmd);
break;
case (2):
host->mrq->cmd->resp[1] = readl(host->base + SD_RESP1);
host->mrq->cmd->resp[2] = readl(host->base + SD_RESP1);
ocores_start_cmd(host, host->mrq->cmd);
break;
case (3):
host->mrq->cmd->resp[0] = readl(host->base + SD_RESP1);
host->mrq->cmd->resp[3] = readl(host->base + SD_RESP1);
mmc_request_done(host->mmc, host->mrq);
break;
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.