OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /tinyvliw8
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/trunk/tools/objcopy/src/main.c
1,3 → 1,31
/**
* \file main.c
* \author Oliver Stecklina <stecklina@ihp-microelectronics.com>
* \date 12.12.2015
*
* \brief tinyVLIW8 objcopy program
*
* <p>
* Copyright (C) 2015 IHP GmbH, Frankfurt (Oder), Germany
*
* This code is free software. It is licensed under the EUPL, Version 1.1
* or - as soon they will be approved by the European Commission - subsequent
* versions of the EUPL (the "License").
* You may redistribute this code and/or modify it under the terms of this
* License.
* You may not use this work except in compliance with the License.
* You may obtain a copy of the License at:
*
* http://joinup.ec.europa.eu/software/page/eupl/licence-eupl
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" basis,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
* </p>
*/
 
#include <sys/stat.h>
#include <stdio.h>
#include <string.h>
/trunk/tools/objcopy/src/Makefile
1,7 → 1,13
include ../defs.mk
 
PROG := objcopy
PROG := tinyVLIW8-objcopy
OBJS := main.o
 
include ../rules.mk
all: $(PROG)
 
$(PROG): $(OBJS)
$(CC) $(LDFLAGS) -o $@ $(OBJS) $(LIBS)
 
.c.o:
$(CC) $(CFLAGS) $(INCDIR) -c $<
 
clean:
@rm -f $(PROG) $(OBJS)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.