OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /uart16550/trunk/rtl/verilog-backup
    from Rev 27 to Rev 106
    Reverse comparison

Rev 27 → Rev 106

/uart_regs.v Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
uart_regs.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_top.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_top.v =================================================================== --- uart_top.v (nonexistent) +++ uart_top.v (revision 106)
uart_top.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_fifo.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_fifo.v =================================================================== --- uart_fifo.v (nonexistent) +++ uart_fifo.v (revision 106)
uart_fifo.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_receiver.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_receiver.v =================================================================== --- uart_receiver.v (nonexistent) +++ uart_receiver.v (revision 106)
uart_receiver.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_wb.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_wb.v =================================================================== --- uart_wb.v (nonexistent) +++ uart_wb.v (revision 106)
uart_wb.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: timescale.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: timescale.v =================================================================== --- timescale.v (nonexistent) +++ timescale.v (revision 106)
timescale.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_transmitter.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_transmitter.v =================================================================== --- uart_transmitter.v (nonexistent) +++ uart_transmitter.v (revision 106)
uart_transmitter.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: uart_defines.v =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: uart_defines.v =================================================================== --- uart_defines.v (nonexistent) +++ uart_defines.v (revision 106)
uart_defines.v Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.