OpenCores
URL https://opencores.org/ocsvn/a_vhd_16550_uart/a_vhd_16550_uart/trunk

Subversion Repositories a_vhd_16550_uart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /a_vhd_16550_uart
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/web_uploads/temp.sh File deleted
web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/svn_checkin.sh =================================================================== --- web_uploads/svn_checkin.sh (revision 5) +++ web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/oc_checkin.sh =================================================================== --- web_uploads/oc_checkin.sh (revision 5) +++ web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: web_uploads/vhdl_16550_uart_2_2.pdf =================================================================== --- web_uploads/vhdl_16550_uart_2_2.pdf (nonexistent) +++ web_uploads/vhdl_16550_uart_2_2.pdf (revision 6) @@ -0,0 +1,1514 @@ +%PDF-1.3 +%쏢 +8 0 obj +<> +stream +xTˎ1+|c9ĴVVY6 ߧmXAHLMcrNճGo~f/r` C-JC𻝺OJ.Osiem6^M/EYd#k^H}w&ukɺ7>D?}e D0> +stream +x\]s4}o3DH>GJۡ 0B>^ߤI:Mu,yZ=+˹Pbs +Or棧v鋍! 2dPuw7VD|s6p4ͽ.5BJͶO릇{ݶVUjrB*eƫ\dYMnwxiiatZ&FJMg +d?Gm18oǦ祣OBzݝ{Sekm+5!8*0YUuU+_а4c=/8実z@T| +"4.BDwYw'DT9azp2S{AFWz~KՌO+cŬ::nӭ˧a?r U)][F%zgX\X?Y3O @X +b 2:i I>"5))4#\>.eTJqwX<(F*~"Ha1-'6>WLTM׽)dZI67ugo(6\0Hb$Y`><|T>=QPe Ѷ$YQlngདྷ'iHƮ> 0G]f 9Vn3V{%(eltnyR_< RW13@L yv)M"hmr܅}…Si~ %j?cL~t\%ȞK0U5wfdl5NI@i"ʖb([cj?blN-j?^6;sB/hL\lmpqB .&;.N0t &Y87Q3H@X +b bD_>8.Vg%k:ᾤgVEϬXc`w`C5 R@AX/5v4@+x< +[l?b<+HS%^%E`RX ͟Z(Oa{{wd1 X[ɡo{? zYjoۖH +7OF| "zs >[;_::+kt> +stream +x]6+rte˒}Y(-m{ +]ӥ|O__'AFINFI^vY$YeWGGial9-f?/דT?s~q9s>.Iaڶ)iYSiprr[Nk_ +869Ez~P0B#ogțZ7)UHOiAK.nq-(rcDDDDDDI ]4nvG}\YJ^e Lקs@]=MU q """"":-Љ 껹5/L(!՝ +jdA*ߗʈ pϢW4֝m, +aj7^嗩vZ:?AuvՐ&D`TR+`A-@`9`me{(iki+2:`KcDTb@P=gnW+$jZ0)Tӽ^Q50wy+T4a-yT=xL[M + "":Dc.1 7Lj n zbۭVv܁XqNEL@ADth(+ +pa#u*uH +lLQ +&ήz9DDDhŀmʽ|xj]Њ3}r{q'g%ؚ8<yT!Gew;6pZ{|)gߣZ&ehluR.`vT`[xڂhx'%fgZ?70:opcDxbMoe8&"":Y$K:`lꀺoxպ1]|scp\8 +Hם8&@{ɉb:vX[ +i};~މLì<X:#~c"J鋊wktWȭdX,{du;""""",b@5zYT /(HOn qAn=ZD FI/]C__hkE$"""":Y6JS9i< +Ѭ B8) ōHlQ:mz1.LDDDDth*F&ˊ'(<,Cu* U:"H@|R֫>0:DϥIId[FV>xͩ@(ex/?#XDDDDcWdz?64lˣ{*wCcd狁IX6 J'aQ㰍BVU> +stream +x]ݒFߧ8M*Xh4#lB +` +Mb'qx^i%펶>4g>$M<zz”ծLs]0z(w.n=pYa6?zcكGo_|~L/pqu`YSЇr/a7~ț>˗PcYrP!?NCs. +Y[|r.!g결Z_Kl說Ov)|7mej8_[oMSOjӄ6,(fWrf]ԮEeUt>RF_(|,  !7v/ m+]GD55U} +N^vv +[䪮5ކ&dJc*Wu´XFWH6I0g{nyg-Rȇѣfx2UWS> m: PNS*^gfu/޷Ӭo,>ep`_YԖ&;BJi|KJSL:`2n0In 5@:Яr(Ղ1>J6\zFy=B3 g,=\ x3zgˉT)}X;,m7 +`xRνT,9gթƜlx(B C/&`܏ޖc T ++;jߞ0u̼+A'bd} +)egW1[qnJ]q?= 5~9̀?p .k<آ%Hno<~w88u/s ;@1)bf!7"{i쐒iF n52PLh{כZG |P +…AcR| ?_K|Ym'1w ttmۚ*Q7Bw8 +y, 0M3(K(QEz*u4==`E'Q)Wμn[|#M12HC2J7kM^Q{C:(o +܃(IiX< o:ĕkJb1\IkFPO5Q$Asv?`uc +\?*{jY,DFm +LW<6Z}!s9<,Y&W%Qo gN#I[(*e@3&ERS$X , +5kB8L`ײ.qoڂb| 4ɷ,U"94]`\uS@ s6Vq\ZV%4՛?$ +w, +To<2x8{3i*R],2,:649 DFmoݦfN b' sTkzY{Y8r^.LXs3$nDxg9 +O v̛W@{٦!rfV}@B \8JipidFN!١ԉqHxm.NpU +1n;"H*ϻ6AOXy'Tf +V6Cݷ]a߉)>HzL|'83^ P*xc +A+g޸}xls_9ǖT9ܠ8ۇ[WV8{۔{!.,%ΜğTZ8'rJƁ5E.N +S:EN@t/wV7PQUu.K+K{A+m(}39kQ/ğ9 +P1}lt,ԼJ +>dp}wZoisjB]\m\j=G84"P0G,|BG6_19C?TՕ~@ݤ9 L& :! I6@ן0xg{_QrAQ+n|J5%B_DLkqrC0cl.T聓 dH@GrO\XaY8$Pz@8 +qju.9$8ޡPx4743Phx+rj8 @8 +$ .|:p;' +IFM̺.dӡUiL1/Х\b59z~n(.8 )a+|ZEm NԈPXin-pf\|#h˓?endstream +endobj +28 0 obj +3242 +endobj +40 0 obj +<> +stream +x]ے +}7KUYw6XN*WoW,Y7'ub;ߑT7 zЗѥehA8Am'ҝ?V&xJSmr&67~j,q]s&M7CX+b&'f/ume>}ʨ+mAwW>ja)Z۷o5۽n?&+|GO?z=غ}J*ºx)i2,MƦ`qp&k`+eD.;d}Ãk:咂-trɁb<-=ةDO{?{Ѵ<%$_UQ}=T״pO>gxnmSю5Ap `/} V6^pA?ۇ^U3"UY(ʃ=z +Ŀ!Q?j<7mq^JO?+ +h~ZM+pJGSXkkzb0x2ӓXhN+^*ljN95J63.ų20Н TcˋXrJhy (S7_6`M#CǰՓkiYl fS FO`Q`qYh0Y߾ȸ ,5 +T mӏF x!rjz_ԴjkCH`HrWk׿~}gz'_uK^pt`7X)ּq+??^TL]/] c]zGk@;( +8wd1^հOW,@2APfצȂ[+F+I0:[[aه{[.ݮm^0)y'Ce|Gfcn g:īXlXbXͳ2ʿtٹ`]`Ў/W5'-D.^~ Au7Ėz!YݡB/n;$^x,4wboU0~O}GQ'!i;4%7%l}Z/?9)ۦmmFzתCtGq$M>Ԫݔq^-/xVy3MY"S]'w8nքp%kws+fO}wYAEV)u|ಛ( re$ez@oxeK[ CˌqI =Z!綹tp!u괊ie{̾ZK.ULDT{v+OvXueR +tjۙc5sP':"i NmSXVKϐFVT*Wr$J`,7(-CcS>fP8AlKE.?]eKU+' { , +wP@w("qU. y=߮8b9߬ <0 o NE0<̼Mee]WFĨ$3r*Tei +g"4'ba$r uU;6r-&u 6ץ P兠7,&jlB@^&E$laN糧j;sP2 T +H +PLYp5Ltnt#m RV0i@4fzB'kj8yux=IEIqBs8)d'9N*')u7L*@R}$VYvrb<=X>+L8ܨUQԱM9 ֔M%w1'c0S$9k f24gITb:T_IbXVfӬI3ϛx˼#Vȱ +!,4O'(38JY'9KN"p&M{*p0Iu*p7Wk;X:͓~a $k~7|녋Xk!uBBМ! +'& +BL*@R}$BUқe5B)>HAx9k&%S)`!9+d2,4gI˄p~(bRQ i +kH3-r<.Z[XA@-"VYկ[T +iHΊ4L +YpH3n*eT"|45T*eƁD d>Xh%BPtP@!\o@3iUd}d7Ö|f/oV7?x%ŵ? 3 !|Np>Y mu kUߵ>ZYwp慼gi-#·ϬkSg ,_;MֻGF^z{=AJ;wPxj>5ԗW X;alIag㿃J빭Vތ8ѯt4"huҖۼW9LZ's??ݗWN]|\wF }RI,ae/N7<73 }[̱ű ۀ}ѐ5ǣ:l<=Ћo@?<;#Dut_ Xoʋ`hs8p}Ѱ{=t C`9ᬯVW>ohendstream +endobj +41 0 obj +2976 +endobj +48 0 obj +<> +stream +x\ɒE W +;Ip3`0#l`{ɥjZS=& >NJ\/)d3! +SM?z>qܐΞH)Tj&`k7};ǻkCi2BJu~l]ʄHW zɱdFX5ILڄR-+R&'fUcL>Ici)D dÉOZXJ~ڨ{;Kiǘ< 'uKzUYnz+D-uGMea/pd\0É2"YajqjԐ/ J%)wJh[DʦL0W( BnbH^Vh^ +&E$'Y76ӫ:)Χ}2Bk+3M'>.'Rz+L x3p]& RڹPKםi0: qӲ@TnF1bQańFZ$jb/AQǞ,c A'hoQz4+G VV = <[w"Z5 TߊF'(wk&p 0,X B +׽ƭgzem>@ #z?FL>܃^ox4 Tidd ];/`&ܽѯأ511xjr^iR.*o +wwjna&M)_===H +|IW8[ 6A}JdBcQrg@BpT)jN~|ҜaW=Y$V@wz-dNSVi@as^(|:4\ +Pq/]4KXW]hDra@ׇ o +egy/!j%<ܕ +Z`{t 8k3 +W`ShYͨ㌺ܵ_Q Vd eY*/]*qfȪ/VecDrւr݊fIS3m RQptL1@Bs`x/{F 9N/xU ߎ91wye8M#/o>`]Uj><[wzNUZpNĞ]ƞJ%S{V8 4N=kfjq[hIUHjAQ]vJ +{x2-eNtKppVc G }xKQRRDrX*d"hKu3N^TՁ6$KN K{0\ E"Ʒ9A3`,!zE~*s8(T +,HLYr`n )$ (0Lp6.aX}5ĺ/=-Pf5wRPFr6(+dh +eu3t^Ť$ ((Q8r$}[#Ai{Nj-~_@7Sۈnc] +U!+7JJ!  9+VNWT TՁ6$6Wg0s-{Ⱥk%]0懀N˴vJ 9+UNVTشU>2q$QB} +Hj*0:(G~H"6/ߗ. #1{|`Vr~7i7._F1;EgdY )^iR8x7WƉVʿ]~24Ʀ6qNI_LJ/vwGӪy-i~w3w\Vm3I(K{.c_//AY'j[Wԇ9Fh7ZcRendstream +endobj +49 0 obj +2155 +endobj +56 0 obj +<> +stream +x\ٖ\7}o$kѲ1M`E $i'V؎!?"-JUut!t4쭣E +Yyjzz”(W'-NLE _u"v_vQx]);KI2BJ>}_Iפ|KRZUIB*e\OrSIO5'5I:g>6+qJIOKVIޯaIϪNǥ_i zI 9[joߔ$+|@ 6ٺ%rQYº%s'3Gx?>0r$dso`+eD|.dCaOm}֒ʒl:RI>YZ0!57utLI3^v"'-}طIkM}Isn=JR'ck{RHruו "DkJ0xhR2.jm}σ>7}bo>櫙!%L'/g +h'/ЁzI_Q +:Ҏ||YϺM/_%8 +9(3Ε >̍4p/ +l7cOmMRFŷ}Yg8gAVsS PvU8+n#Kcm ?r0k|A2dYeI ZWگ,䜊T?Y>ީ.H8’4<~{~+*|Rǐ6),! S[`Xy}HU9h\P-BoK"PJdr-)h^PO#Fޛ8g"%" +5M +le: m \BF +B&+a:?œO +FG~BC9]}&/z +~ؓHIH(CBޒHH0&ZbhRy!rB:m"wvDB7d*gs5/~*O-`S2B/} /='Y ţQYJ3-dP|nF}LଁZ6Q)QoP03i-k+\{NL!Yo\qpG7|A9γf8[ɒ݌ 9c܁PB8oBygTH*8מANHފt8,c8` | 81'z:OEcksY3-dp|nFS1D@VM:[A +r {y7L}q< +7}50DQ\=) +kY(d(݌n%[ ;Ah(Na{"q +<8ȁNZs{ERpF ZV!oI#Kpw3Δ! p6Շ'x 񬽹VQu-#ΥοMzi)4R`FSxKd4enFej%[ ;Ah$blwyj8)Sdz.zoBE: H +kYud,[0PqCT6@#</y2ϯXeFtV|zB>iO񣤹o'/|y5bnvky0L.,B-IƂ5,U-`ht, ;Ah`9%ަX ˷#Q,0?6ŊCXU`FxKR,dknF!F0D@VMe}D?G`3\'"fD:7L9]S?"HM3n,J4kY)]`Ҽ%Q,JͨW4 ZӓYsK=C[>ӌ5>3kY\`ϼ%,>̀M3 2)|q>HC%>^$@s/h='LiLP)eeJd2p7&pτ܁PB[b]$22y"}W~$W,Q +9Ns?.6h~ deۧɷ{~'"&  kY$d݌x#cQ'X + +LBаP`+Ĕz |$ ͔kǧi#}x)jydyl4˟B4endstream +endobj +57 0 obj +2459 +endobj +60 0 obj +<> +stream +x]r+fiWmѽNUy%Yl$ŏ8}ư83)Jւ4.pq8 +wjf˳WW?^+Iߟ}/%'/0M +w]t~H諫>?!sO_}IvPG7'6鷒caNcN6x v5Wh|Wj@kBvHuJOqNzല~$S&}#fZ~m\T401Z~/\0S@ ^w۴\K\#Oӿ}Yw6}<ro;-ZaIWU&VR[PdJG=W87*'B\:4 +6Ʊ&},IS9'@RIR?lZr%u#uBJ8} +詟xmw:,{|5DVj?ԧ ?}411 +;{~O4V~9nR~9߿r95el\[szvLZ*#hj +YH'饹zULޫKg#՟?}sꍠ5M~v0YiJ=NnAl:k Vz[GƜ +j\›cQSUbDB EZ8 i0ѐ2QY +l&՞Sh.f8} 1J'x. D*\-G-3\̔~<ʢ Qi hp?Yͽ)Y[/ڲ@N`-˺ါtRWb*!YP +HY)0j)R4,cIPvI9˸C%6b(I~J 1׻)zpһpFӆ\ O0  t21TdGt:0+Vހ[:4g;\r2J$`K[jY$'DrHsfkjLrxKnT"B!Pi#"-8%' +,%V~ڲ &%Վ,'@XF@A̟n !p*[.3v5 +X~` +[6^fH* +Æ9f0,5Ŗ30°& K-aae2.bBF EZ8 ֖S)`˨oVjT⭲%=ҁ_}1}oo-6(0G- e0u΃Z-c 1J $mP:ICb.V{/|k{QԽb)6v JX +KaKԲT KQKa)XxIqy)(nXX, ,,cFKݠ#*!C(NBw.앲uG//}_ҋ +'We-{>*?6Qw.i#kVm|k%34O{[ty(rOiȎ>fг@N^4n-Avc뺠D6E2LZ :g.zG(U`I1i$5dsAXXBM㺬wR ѷ +izr- &PvC: +YEu]V(#PHIᖥd +o͉挰QPXa%<&+D.,r +R眳ԉdn:dZG"endstream +endobj +61 0 obj +3376 +endobj +64 0 obj +<> +stream +x]IݶϯѮʣLU8R&YIJ-9+#`!xHYliC +74mVȦ ?/\z +bQ7GOi<"(\li=xϫƚPڮ3NE/k,89g\+r=ݼES-[VQW/T:uH)݉eBECiw%_`^&B9,[?H`jWzP[k|lYjYb[߭6-Vk<)2˲ R\dhPm??z7rj4C׸FCW iV-9+y>䳒TiPF.&FJd]N~,[qtBӼ(zlUuo*|V{]()y&lhsG ᫲-ПJ9Z+/>(Y96_|ņtڢ١MG||Q1PyAڜåyT#VK&iFVx`+ m~ttފ0Zus,%NM2E?FLGH}CM3*r*z/yh9jzH(pS[_aRgo6ǼUY0`$I +I뻳&)-~ _ + xPk>~&10땕%DB%DBS%TB%Jͨb(BS%N >nF#^g6Yr)V +X,"c\րJmǂaG`UU[;5Ԕscoʩ3~k*Jqh#VBO"`h %)gV>|loN7g +lކʡUdVv!%WcJgqZP.:N ()N 0є1N 0)NDV z"N# EN198͸_Av"Oܹ|-M><`L +L,kwjx0:qb.7 jqg;o5ΠI&:A@)%_" f{a(vXKC^z.V^ +B$yyy ӶUuZs؆e"LDa4i)h#c* +P J@hk\ cfkB0pdH5X" +y#"4DB;*~J-~#rmjg<cnS~&ds:>l/O;E8:b2a^քb9ZsX)wn[#r.ËXbz0.d)YY!,2!;Fe>B!qA0";J߼mo2duwzvw)gB)=tCs[(?;32c3m.Eٹց=Mu:7 +f?~sƫr-mCcC%Tچ$MiHJmH#ջC~̚BO09PC6ڨj̞gCAu^yxn,yޏV<\rI9eg97Cp1ꬓJo?vb.&i;iI=uoO x8JnWLҲv%A{(V +XbHWFOz+7w?}L˥nYyHǨuۻ1b ƛ$- j `o WUxt KWI\$%I{$\tP J@hõo[o]JէA{q'da~Ƴ##y<#tDǪy'SWKҖ%wnhpUQg`JIҤ~4X*"P Uŕ1I*8P*N7Ģ3k!Bhx-$ZH2RQ +/oD*kK( l!QN.ÑíN xf KSOyS2U95/ W>M%bQ/P1p; ?אrS/EM֋}0Hhꛒ"sg=^_50`w|uMkɵG-JnHI8Np)OcW(- ,iK|9˫ ꢼC)`HljQ"o{^1GR;?Ngb4 |ga8XoHK=7aS\N.8`GLXg~b2gluMĈ6$L6Xo"V( +0e+zT/PdBsjd'$P+Mެ.sQV"wNW6;[gC6gwX[ݛ&r7KY{-$ mh.L +=v0?R)Oѱ\>c߈U}{+ފ>,O%QQlEihE#h2XVƭG +Ev >VTnzzrYv> +stream +x\ےܶ}[ M\C;T)7e%Vb]T~#_4@ΐiL9+V[%p@l@mV馣wMh +IEߟ5_>& +ܼu0nHjq}ѻݓ_|v]h]zICE۱/S.E;ƢT[|\)e\YԋR{0rQfnrZVt?9UzS. SmònnЭ+/[}[5ITd[@ +.jEO[O]S]|*dDŘ~ +ˢ rP4~aN6VMW +q#b8j~IŨH*Q#3e] ӼN> ws }a0`z=ɽ.|ԡ)IECk?7e]ybY.Ag-z=z!:J48KA=t\A@?++z=2^.g6l]}$guTϽvsy)Loj1rC&z-˒JRޠ$A\@kiSLӓ[j +C A^D}FQJ#kd׍,S +BT̩I0aKT`.ըhkS8fH 050}JwNzFfo嬣s"?&+>X94Lįr5HMZG˷y$d +lTio<nnՙ%րTZȪ>+}<{du#ˬuگõyDNH,Y=+~l|enoG,m3WYnȃMx|gPr18S`T$)H0ԴfY]"uʌlpcvxp#T9NS xuBD۹!LP2Syh/yG<&0I \,S+fk%/Dq^{eymc%(_nNӳ{?=TI +e\ ++%s s GXwH[ 0qMp!.5Тl)+ڛ(J(v5VO+EVҮ Ӳ3gl(MUO)/HK'*DZrmD;G ԁPnBYIO1 +]dIgD2GydDXaBa30/`q*Fj1:Ah+C3]} +%K&K5^rTB^b3//x`*FT +% %u V^2ƇXyBB /fxLD0KmM3%2ImAd+'ufZƽO(`g]r⠩\T)8I"L 0b ˑʘ~EOӄ˻1B@$5!a;U -ژlvt;X=?;Ke:}67a bɄ &ˑ ʘpEOx57Pt@u؈P<(ƌԆPf`#TYG ~6Cendstream +endobj +69 0 obj +2854 +endobj +72 0 obj +<> +stream +x]Ks7ﯘ#TeޏC T''.` 8 ʿ3^=3;5d֣%ZגwgBv< Y[釔yz,B!m )I@#$qcTJ\lW/ O:OIiPן^K`.JAk_Kw[ ƔkKӶzYZUA)I3}x.oI-\+ʹ\<=)?6pތߤy&Xm+&leB1IH6>U:iȫUZn9Me7 :F{ ȡe[6EC|POfJ^{Vg_V$rL?K@-h}1PO4uiEܕ|rDdV57%3E6*:RYkE +cڪ#"Z|XE9P4- +n`dV+"3bҒ)em3kښI#Pӿ%sK=,iN:P.\tvn~n:"t~j}S/4 +lُ +%5Z&$sfl==ۤ{"m(ij:uUj`3BHhV 3Tʿ9$'^$#~ӕ#"I|,"|"1|1mJ6R `QV@ѾBrKss0Ӥ= 0w #n[BrdIQ+|>: +(Gc'uh׍c +0{Q}*mv:#@Wm$Ls"hټU ) l@wGj` qti%GIioc3pi+{*c|jܩ& +V$E%Z$F%^2$ƀ制QQ7Rmy-"J,XFs`Bv'%NܡS '4 E."iG@u,eRPdzlc_[C&<zZ/а-elL@lu[}l*pa@nI,XA]#mu;m{κ/KU>))N +/w3/N@ + ?n>tKmR[Z0vjP g(Y +3`f(Y 3d1PƴRP`QV@Ѿ Vα hsJ|Hn\̡>~tF9Z1K^*BE]&ލMz8g|Fw9*{i% p#ϗ?鰃LР6 +u~$mmgc'}IF@^gW&iJi~g3MbqKOԷaKڍ$EZ$F^2$҈Qʅo㩽DCH1DHex^UG.kDH;ijicTyQA~Pendstream +endobj +73 0 obj +2482 +endobj +76 0 obj +<> +stream +x]Kܶϯ[*/LCdT)y\I+Vu"9r*>A΀9=jK` M4@?> +̻m#6ͻͻ:>|Bmpfӈ|:*nX'/Z6i4֙W"ZESJji2 _ue5uhO`$^Z!M#쥲E7 +#=W-\1EsEܯqqj{% %\]շUݶfTdD}h@~b +:^ء_yZ4K?:pSXC]]TO-En_dGdhYWR /okc +xe#Q+%!~UGнq۴aa}6֖bGz(}u,'^Ekn k.f#ڱzYs?PK3yНk5;8-)>+qcBiI}R' (ᷟUz$b\&/y;8N˟j6e^E?ݍ;1 D+2j(:ű{xV;_{6T +}qkX!D\1͛TFޡH*Fr_3ИFA'jVerNUT]WߗʨEg0rs4]ʦ֪(0fBDVe2,5eD/1՗T{-B͜yT&tLJ*`hXܩ+4BU`u-. +ګP׫oOj醢9H<' +0<S{51m[R*K,;Zԣjh3kx>Wlw*wtA*Z: +o:#5wd wt%2&[SMR0DfqDz|맀@{,bB\pUx]O,[=39Ѷ^ $FjEq+{(2@qR6Ufݠ; "39 +Tȭ@9v  +NpO)#+fܣkvp/)7!*oiG85I=\uM;:Un̖Δ+#ܫ]Ac&CirM#dXd]IkD.+\-[%>T^{[vT-[NLز#rJH5AP0S|GS]cI<5/x$^e= X'N5Ə;cʤku3@ą:*1|~Ab,N,țրw)59ˍx^8֍^; YO:;Tl P+mYw`|(m;_/6dmṶn )7aw;qFŨcm U{qd ݪǻ>E5ZnA;#s==@GXmm6{aC3g]x^%1LKoI´ӛFɣ\OkzieH-)+_n-[H%àV2ߕJ`ad)k/YNOx 7={!*Jm D?X8o82Ž~j4endstream +endobj +77 0 obj +2891 +endobj +80 0 obj +<> +stream +x]ێ}߯7@KI͊c$:@ȒJ,%7 +YT_fkIzEtI٩wWW^Bg*ݓϴ%W1H;;|ﺄzч7 ++.N)}o~Q}\/z|g^碡SZUC]DE.-~^{ijRq_tS~k=E뵲c]zS Xx\}]t=\mϺoKvѷ碾BlS_Չ]H@WីVɰǬO~ݮ?E#BvO}EP䎺Xyֶ rb1<~fN'%˃LOb +Ys?ni\4~SbgcMy +f,.zQ5t$Jq ~4|V_|.z\}>UV.LzROsC;nr!AG.E=zI6x"HNV~~JᄑS~nji}YTQCа426֜޴=6Rz%:!8>Ƚ̺.Օ{YH_8Bgn +vrk#+~ViEcjO9e@GF"堪Eaq"; /`faS˺:U~?A2stwǵk!PiOW=.%YsPBf)jlSd:Q#-M鸲֒$d b܁PiB#b@ѓE$菒`R):I @mtՖß(/< C0v'tx#!0eůKU!& +%]B -IBҰR,MhP9d[ ;*Ah Gd<-oM8D&dq6Q֋@O +)"f1unwBiJpQvmIdt.m1ct< +[XDsDLA?^m`0hLLA99n_`([ŪN@/սy*`(z (qԩ]*P=^Q n9|.N~ɸ.\4cIU{ʍf0]?J&@Q)sc:/S9^:/,SblD2O[@od)@cYJ^RPޣ!+ׇs5F*yNʡRawOs=5j-]3n/\>vFsٕKVk6e E\Ň^y +J5RReIfI򖔢%K&Ep7&iXQCJsZcR㒣]~y /$9ԑ-^&s_gq2._^oG.PG{u1-ް] +&@ZMsZ.5ɦ;+)Ԏ3O]ֲfޒdvgdS\`Ъ`sGQ֖7gQV;؈Uk[KXE".fM\]TL}P%Q`LņebTlц,CJsZEE8Qc{*6֜A0C)[ +vG,p[(Z +g0oI&K +w(!rB9* *S3R=YҔdGeE8Oh-7?S }XH(GBֲ0 yK"!Y2$lrK܁PiBHh.pKn>]YH2YCH?dㇲqVFa:ҟ0|6Y 3 -d0nB[ ;*Ahõz.P Gw~F +wE1q(,aFQxKRdnB`܁PiBeH 9;F`OKfA> +w1Fp ӓoMrc- 2$‘%C P6pV.͟MpgӴv午"#Ns<3iĕmSB()eє 3[%) +wMO 8`*MIv22oh(^G}L'KKD usNj$chXf(XZ&)gVI <_Ng}h ^=מ51}ɑyů`6j1>+`E2oIE`5M`܁PiBKŊھWwDkPVrZ0X%)Y2p7e%X"w T2qfFd$\P"fSG5D85yƫdnN^wzs=rf- 3P$J%C醻 {nO;*Ah"[}|JDr,#_D<]ϹB9>f[ɒs]z'm0` rB9@8¹E5k [g^"(pZ6x)u01SXLܰ$X`▻'{01;*AhSHK328E{bbCI:7~WʺʜYuٓ~&"u +E {MÒߴM +f"w T*!aH۾KmUYu+ Vkl+>u}3ї,0C_ޒK }ڝXGk[/(sp#Z3M0?L{TouZ~1z?dG +g*$&+)Wߙ;{P#0g@ NYuCҐVY `D&u'&JDf`GSEEu +e5ox־qgf^ Yw}ˉ߉t&E +IPd4?YV'e"<-O#8'Ƙws]u du_yz +߯gF;g_mFIY :blǼ捎2 +$gN/e|X᫥9iգEs$_oE1 7]x/`l?U]oG݇EJN}oq|8et'endstream +endobj +81 0 obj +3751 +endobj +84 0 obj +<> +stream +x]ێ}跬̋DIbm&7vc!nNI{a<*$N"۝iۙwϋ77|H/>yvQiq0v|ۮ蛛g?y7CХ +^<ۥ"S?/>E}/$x6XNOSR{%"u~5z뺱HE]Z㧺). 廉WYЍߺoLvatM˔+]enՇN#M1|خ|Q=%uYk%`,h`my*ŋ;SR]FcQw2Z6]w7ñBoYL?vgƴ3zi2۸Vecl;{ziPTt{ME_Ewe2<)zY,TN<}6>xmb}r~~ +FvfNZ,`]z TVg>uwct?ӿ߽y gԆўBΏCEҵeywO]`؏Pj(\'V iuQVvWeqwh`'4[6-^n\*ոΎSU {g}LMSF{էY;C׭WuzO>gtLE޻2O5[cqUͷizc&M~]ctO+'FOD.)>Q`+'fIkF4 Gt@rsX[Dt֫lTYm`@k]c: k([9}#x%V,1dKb"I,nDS :Ah%0Y0S1  6>nO֣6gZ%ggucAմ^u|J7]!ۭKS͂ϲ& 8݄,uq. +* H{8肫D eQQEIT%%IUTԍu Ц MD >Btq9. P +@/` +[ <LwUC&e!읂IM }>T,xW<$`B\RH^$ WԍM1$@(7-$ߎ]K +XP:vtlR)MS*Ѕ֫Wuі.dm.;P7k߅r_ts]=.Ln^Q竑Y=/`^Cve=wKk8W?߱M4~7xGu`OlѸ^X  *à0KJ$$ ڙJ`HЦ0(aT +>B=}_ܶzL"0%)psʟ#?%(/.)%0d,nDOHԁPnB쫳E8ڼwv\{+P.U)ffu~΢L*g9 +ȤǷ~V˟.{=]{ +D zl|ѫJ:3r彘i*uJ)$f3$*F4%B7:AhS|;4 bbnla2VzE@?L=2JS": 18Kr +.Jd6bp"I nD;$@(7MgƦmن7_XɒCk,1Kp]> g*[XwG7;:RaX Fԍ7$`g*?2LK +$!CCrsB~ͤ)͢䌉@Ne%A`K&")0fl|0\}ot~ńb.=ui7dT(5=x=H}|]t<2n9-sIq"IrEik(=*'J3D+O'OkCMJmZ{Ɖj+RR +[TL_x +Ճ 7 rWgu 0{ߋy;~Lw3;COwߩx+[n-}޹ɢ)P\W>S.)/0.,w!Z :!g7uzzzשε % +.)")A$ob͙9D /nuʃ],ȻN]TKeB%3x_R +|nD-/!6QHg{8mk7=7>mW2u#jʢd>K+}/Jԍ > :Ah\a}4;-ئ=(TLI3g,|GѶL̶`b[\RlK$mUԍ,0 :0ɶk:unjS^vdbx_s[z(z۾hunmVv%AB`TT$SP%qPQS7eI$@(7-AE|iB^SYq=A}Ql_ &%žDWE݈vc7OŐ6` %{=.E3Sq{}P@"z1EH eRI%%BI!Uԍ@0$@(7MR$r#$LZU.aB3CYOkp_ggvMؚ}W9̚dkb"InD]fӓM + :Ah5;ZUECv庡i.F +缋S 8}˹CH+? +vhendstream +endobj +85 0 obj +3723 +endobj +88 0 obj +<> +stream +x][7~_q*Vmt +TbxIU86 ;&\~]̬׻ҧH[i=p&?//\x{pLR/~~u치Ag;(RY'?L/>9"8>y]Y.Xs,M,L +en^"ø2uU"?#<iRXtReBN^ƢiUi^ﲠ7v*E/[y4^2{6Ebf9op-|5زźjL_O a*P.Wjw +ןzS"{*&fUK;ڌ +ʻ\EMQ|3px +R} 6IhsJ`ɮ)ՓU]0ۣrL\@sN`E_mVDt&h_$C,0,;GiD?'z|QW[~ho.B2ǿd(PunJ(q품Nr|iG52BUD3+I)"QFXjK"(n@s&miHA(wGBUpM~ܽR +޵Z`g4`\:t')dPi.Ĥ*I#Jf&0`R,$b҆fN| miHA(wGBTr2vE(2#âk0Сpx`uHM-wl];c ^J r +`?OZwb q'o{pDNL+E}Ȉ""# (K"(n@!6iHA(wGB(%M,_F>ۻԵYgz(yZ<JGJ;)yL< JjNn}pSbv{@w !TWBswwC]5~ J/.?r+s - +Uȱ9,16̚Y~rkhF4U/b0y9 uN#91;f2ӻ/?GFxqxQ^C^GXRI^7U8?z^HA(uGC{hf5[c^"+)ݝk/pQMv"'ksfä53ϋg^"!1iשw'\u܇=?Lj/`Xn +F7gb>o84^&9n(wƒH"P7JIiHA(wGB7w-*u BZ-aYu%JHPa N"A7_m]%?{g^E[D-lZ3JJ7EI "bX" K"n@8\^HA(wGB{E{]^a~1qe +]f3!aVe|(i[ + +5E\%3Dp +EqMC݀r?4 #]\c}yXǽޜ!£@̝X]}~^wз'҄`6>߃/'Ό|K'O>ψ(P2%uD>n`w7@ +B;3& n=WEn_?njxU.{DZH8}'Yw|П#Ukܝ ; pwݭX.z!UBÒ%vw +I]$kP#;є(FDݨj7KhV Z=rR'eD&b뢋Q^Z7SC_1}r@_oݾٴ{o}_Bs`s\r%jq%{Yu$@??KUiJZ 'Y&e]L%%u#9D )d/I(zN rVPn8%e#zΊhUx=R=R-ޔ@@_i'P2G=EQlC݀rPvѫldGv0I@c""H# K":H7@ +B;Ejbz$z'SHoE(p@! +K&L0͐ +I]$C +Rݑ. %$l7La[mϡG"GB#PE#H$4 Yw|FH$4H$G"3+󉄤}_Ea9ayAX%uDayC݀CE"!)HhOX./H$F"!=6< DB[B'HHja~:OC# 4E醺._HA(wGB$0#H$}H$toDB55ޢ&9n(wƒH"P7 +M +8n)hh㖪`E5ǓN$$n|P@,X2KibiH" n@:\^HA(wGB;6= ^ynPSA5ET%3DP +EQMC݀Z1!! gk'zGddzo)}낉*J+Q2JBQ8%s8a`I]$Q8P7/-hHA(wGBk;zѐg %uu`F"%0wXRIꪃNh7@@0doG^!-f"0#l{̌\ͳ'+L_ژ7mz&Daendstream +endobj +89 0 obj +3301 +endobj +92 0 obj +<> +stream +x]ێ6}8@E؇8vN)";$o8?RK[Gl;]KX*rn +Mqu}V<<{xM;Y.4^RO|j*Wu \>;{@FE=A;UTG9 (P!A`$=* .˪UŒkx'6W#y?l֫—sהƐj#nv \]7.> +|+إQ۵ `XXlo$_\ :O G)|;P5a1[XUy9F;xqxxaFW-R4FY-\TM39Ry{CCV-CvPk*dFyS,R@6վ jBŴ=о,+hA ].so +] AwInl9xtJU"xnt9)Jϡ*=\^A}8H?{647:> !QqL Gf%M$: 0\,r\(OSmAodΒǺ=x= +܆/ޔ7~z3<K+Ɛb-B=7M +_#o F]@%ffSIdq.):~ *gD iT&BŅK}"יzZTF8kM~TEWJk)%J)Lu4(n,LePJL?0 A1Freq9\AT(g9/M?aDأc<z~w'Cu՝=sӴN-i-3r@XAB˗f!۔ +q.E *ԍ%.-qǁlFmvW8[xʝ5_/n.m +f9LN[S%].E!w㴟qָ.6yÀ + ddbљ{A˕mHfd`-.2I-(Ů͂/%{boOVKxKU*HM V+2sLZxrAuJ,ϢA+ofqQ2N]Kw<$9d0^ύZ{IB)wϐ1.H,BQ/o̬.~_6SrF +XƢ71swjny2#lWDs0To.c9h>H,q5d#cK}=oIHC/Lȶ} rzʾKR ]+7ǟ >jh}Q0ΔrL^:eTS3 SjEΣW,nܩ+^==3)/'j/0Rjl׸X˸͇{3:gTN6pMnƨ}*_tʶ&eQ5eU(&9s +9T#8jp} _wT8k\g'oϺCwuendstream +endobj +93 0 obj +2709 +endobj +96 0 obj +<> +stream +xXnAW̍ Nˑ%L" v3צ2 5կ^V +[_Ûպ9mN(L@u{lk +˓FL/UMƷ:}n^~jλl`k3d.zEW=  n^e Vy +9$)z8*tΤZcWڥC 9a4ԯec +q٨B d'-l9'}T|;ː> t`RJ { [(4fX?XYt +PS|-& +LPF-.[dNdT\ M/)NMnNT)ME<+A1sI/SɎm8Io{mI㪙6Sᵐ%qRA;{*„`![!c,KSw?:`Suށ[PP@ +nK7H +K65h~^ +Ou=U&J;o d`GkVS4e8z܁;,\DzUXw Ugʍi*2]X5]ù!A,vX@OH0:8~PJ<O.{l7Eendstream +endobj +97 0 obj +905 +endobj +7 0 obj +<> +/Contents 8 0 R +>> +endobj +18 0 obj +<> +/Contents 19 0 R +>> +endobj +22 0 obj +<> +/Contents 23 0 R +>> +endobj +26 0 obj +<> +/Contents 27 0 R +>> +endobj +39 0 obj +<> +/Contents 40 0 R +>> +endobj +47 0 obj +<> +/Contents 48 0 R +>> +endobj +55 0 obj +<> +/Contents 56 0 R +>> +endobj +59 0 obj +<> +/Contents 60 0 R +>> +endobj +63 0 obj +<> +/Contents 64 0 R +>> +endobj +67 0 obj +<> +/Contents 68 0 R +>> +endobj +71 0 obj +<> +/Contents 72 0 R +>> +endobj +75 0 obj +<> +/Contents 76 0 R +>> +endobj +79 0 obj +<> +/Contents 80 0 R +>> +endobj +83 0 obj +<> +/Contents 84 0 R +>> +endobj +87 0 obj +<> +/Contents 88 0 R +>> +endobj +91 0 obj +<> +/Contents 92 0 R +>> +endobj +95 0 obj +<> +/Contents 96 0 R +>> +endobj +3 0 obj +<< /Type /Pages /Kids [ +7 0 R +18 0 R +22 0 R +26 0 R +39 0 R +47 0 R +55 0 R +59 0 R +63 0 R +67 0 R +71 0 R +75 0 R +79 0 R +83 0 R +87 0 R +91 0 R +95 0 R +] /Count 17 +/Rotate 0>> +endobj +1 0 obj +<> +endobj +6 0 obj +<> +endobj +16 0 obj +<> +endobj +17 0 obj +<> +endobj +5 0 obj +<>stream +xkhD +endstream +endobj +4 0 obj +<>stream +xc` +endstream +endobj +21 0 obj +<> +endobj +25 0 obj +<> +endobj +38 0 obj +<> +endobj +43 0 obj +[/Indexed +/DeviceGray +255 +<00FF0F0109060E020B0504070A080C130D0312ECFAFCFBF1F7F4F8FDF2F5FEF6 +E2F9EAF0EEE8EFF3E5E6ED1EE9E3E711171A10EBDAE41D15DFDB181423E0D61B +E11FDCDE221916D91C2A00000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000>]endobj +42 0 obj +<>stream +x}C8IHHmd,۽w}Ы_9 LIlb3 +ĸ,R}3S窯Z +O0 +|CuYK5w#7˽4u +L0d1H +oM:</no:U]03u6#KqnȚG;Q0 9hQ$QOOeDL1?@I]X2iW$7{CqI I;b_q-)~+d"PcYaܸn&K*'_ƙl-Ymץyӊlq'|5U踳.34,`-H01hBF#LZa+,{r&ʏon?"g]L_RM|ƥv?q&~Pm\9toGgxhm K XT6D7$UX= Ӌ ntFf&e?<.5[H)US!߫+&JryVUc*Ə9+UP[iXx?)%z.۩r=?n.yq^f/QV]4t[Rr<0?8'(||'Ug5Q_2#lZ]!/g/?S<.p`gy1kޚ-jR=OsqِsO'?ZXONHǍ0"4u|TԴd[QTM\wo}>$Ÿ-$~?lǟߘx^?8+uyrz,ERiKNxFރE_4#EpC<.~׿Q- +KDI}K +|O5\Lz@O,TS#3 o{5lO,C+TZav7l*Ci/̰%k<=(6qltVsR,uL!OhH:raǥhoHɔwxF2h9h/⶿nqebEOe/ #Z܈M[{%ltni}z_*Uq$ϯ:_V!^룩2Y{bdr|?bbYyei9Aރ;ԹMyfUeמWqE`4MO&km*$ha!&);M<_ Y44ϲQShBS>&OL9Z *ab~|'e +< ¬T|?prɬV'Y 8NѠV]{O5۞X[q\:.'~b< =`,~~ntՃxx_[0WC قue"vV c莎w/(:^AU]{xsQ- 9q]Ev|01䡉zV7y/-CH=n&<d>k3Ә7 8E0*y,Y$M`N\Ip>A~ +98:,nZu\AX]-jZa{ %@Y;-z 3^nﵰN7.vT*ɦ|&=,S2{(;.a-˷;Kw,Y"SI}ݫ3eVʒ|ps1. &yPI: m^ S䫡<$hNl,*ǜjy]@ +OlINpw.ppϤ{~.ZIqWG'3DK8SXT +C ^UT܌Aa3"K4et Gե; Dz]W꧊|<h%1ri^6|{{r#NZðK{pc|F XDDS݁/BC]cʣMZK M-B== G8~o@4*r(GrO]2AX,Sy`{x [tku$ZtϹ,M<ѯLMΨPok/zp=O|t1cMkuXxj苈*tckPzZXXJ3^`;?οȜ/mc!9/8m#{K>BՆq(%m}3tJYSxrx-!.Zf'62e8,N]keztHtx= Ƈc]c'"R:(k8 'C$1ij= +ÿ:ShHzztHh- mh@㈽"}fjmK$&զCn_CIg$6ԥɌ{S6>'V;hP{ QS<)LEo9U֐6jm*kW.Ml3T&IռF,5Eҭpnz{u{R.*c+O4"[>P7V7dԥ)m^]:)x-:d4vFX5vtk+_t͸[T9Ͳf"/ +~[DSOW4+kWY9Ԩ, &F^ +C=R~*uZ'GvgI]HfgprP_ՙj)S2lmY'JP19B;qw X ob0j^c'{:t6M +vBBPuhm;%#Pea[HJS Ll Ȭ21/%x„\$M4ȾTsF+A*/]NMQ.]\T J;@WrX>~wKEU%t9z#|v(s܅HM;CZ R!.hՑ|-)?8gvaգuxnM,`>^i{J ju[&@{Wxd! Ģ#ktA +8}۔cxτCȼIGW)Ƀqvqt]E*iʡQZޮteI*]Jե+rه3lO% Drdׯs ~%!ΐ65# +? IΠbVp\DylHPFVZ_.]L"78^w=x3DUM1|etKxOs.dox<(x38F]S#V$ՒnY p$%s2OW՗KGSmIi{4"U]:{:|J7N|Qj?4G|~tC_f$cV쿤g(^I%q6M74Oe7HAt<VҺuvEr?Kw>@D$)Y PNI(_$\,Y/"]>Aڋ`2W=TV_.!ece푉 =Q&cg[%@{orC~B +w\/M"25 C3lv?<$]Z_.e?4;W<^45,I*~"#qrY;h <%oM#Β. )iV-(oq4禶DR-:e\KuP?&6GW7R1\1(ęY +Y 4YT64t|J,j6IG/f:^3rh6֋{u:>Ok_jLPyC>ʑ3U+HcN!^ノpXXZB$QCJiZʤѮ@cAe?XM;M1[QB^Q:(rɾ^ t|`8i%F fbcgto +z޾@SAe?cerde3e3U}ノ<^2O=.kIĕۿDsút}H 6e9z%+iOi9YMT@YFwxi>؇h)Kɬl{]9C>fy{OӕW@ˑuߕVgݡA,Io!zY/KN?b!K']d8'*w=zdD-:==2;>Zxo'0RT +^N"ߍx ߸ O7(k]Y{Vts1~j/-D۪t{1>("1\(S,r5|KEq\Fm&FYB_V0B. +9j-y?Q۸yx,A`/}~m1))M( + ?±7~?k"~?SChTf>)I㒔EO8Y܀T\(aFk$Q=ٙ4v|9Y&SC꽥OCWՕ^@/7;aE=HV!#ҽXW&))[l|?̀" IN:<[>U@yxY|l0BVApHAnJH>0 U)xM݌k/A;>l2^->#xnI4S8In@A5lZy)O?W@! +—iK1Pu֫.?*rя3C̔Ru)Tauh>%[P=Qn'-r,W6ȏ hNFHI_ +xCiK?Gk"r.\ٱ ('>PddG.Dg|)t1N򔜯.j.j%,yo-05iYs~ 'ccJMwxKF")g_yL|mm7|>%5gvXm' +6 DU-Ě_`^q$1j}T0VDx Ŕ=ʺ\c%`> Er5HV|1X$Elţ%V1Uohpwtzuu K`x.hq~391WQOvD3'_@]GްTd0cKC1Rk΃v|$:>8\#.(Z/9OM*P5c^zu, (M5\RR%5|2]7'NO~}t|E.3-i +ȔbyQw5_ <`l +)B!vrBRFJ'mѨ/}9^?5v|Њt~+yGL9j 3B V~+<ܛeO-D.T=l (j +.Um/]ZSKD$a/-?s#j{eXT +'Oz 1(m~.85 + {f'ǖrl {C3\{4!M5*vՉ^>];c|:Q/~rkx/ jc +) ;^||g8[cm|@ +Y<~jl@TQ*AotI+`^=~`.ggVs%>i"TDC]?9SF v;j{$nns^r{ +Z%|"zyܡ'ǎ`֍_cN<.U H[\$bx~Rv2c||;s1>h;u8JۚQ }DZ +VE;>u?^J}QaB/G]HΗzKK>3 Jڜ0jYXxm)*|niv"XOj%kK* D Z_;:>u7^8aA?)[Yo92.o"٬(z1x 0d Wq dg_N݇W +sCyiLL(^3!xx~*27EvB8;u?^XL @nǴnu$Z> ݰT ̭`zʦoح>P +Y +:E{N456>zrS5]uk +$%S^ +SLfч1Qǎ O銬Dv*4#xy)tq8O9E6Y|ibarȘRsGHdgIt|p[>hkl7]y;!.6#K`1x.И{1E%<5XoRDpN^K(x[@_ u;f9(8\"b +.9Xed*G6".\j;>xDRKba$ #?^ya)P9'k@i:zu"fN.K!GOIf]OG +AD}J)OY Y #,pvh7 +eRB!2 2oWsIKB1%Rt:^<.r4Ո X;&*/Cs9plpKs;>xN.ZRlH@ +;'#2(Ct|$:>8;=9#OILZ]m@|DO3>q'`b x:䱘ZHid6tfY)! `ǚA(.:H8Os Q]:>xy ,+"Ԍ+L4Ǭ-X!)Yἕ(Oz:D] Z6+S^`nk,+uݟ{ctFe8}񐭑5k~d=LGm"Ij8*M卼%yqY3 +& o"ɑDmy+yż +k+(,iS"x7] y~μ$/Y43&NS@4d +~twyJ߯oa?bޑR1V/L4𗋉}+ySVZՃ,U%87O'fsYKaTPœ@Dno +9B|5I/xPgN6q XAnx7 +U QY7|:L/ppQ ȱ^ݾI7u5HGuokҬ{'|M\˪ LB6 ?C)bx'| P bR5>D ↴ծ~*֋ε {osﺱr5ib̛v{#(X W\{2$OK ,%/N!wdJM<ͱfOμx!Zx6_Ka c `3@ק bI4:YHihl>1|s s/\;a_Q&w'uQWE|\.xQ)߃Qkh Lq:Sje,up=ߡ@3x~4~ϐ%j{h$Xne9DS2kR#8j0T +zl޴%.bu I n< ?gb. +p&m=Ab't>xG1;hv֒7NDũWҽ4+5RPݡh|n4#u?7즨)r.ۨ- +ZowHo54$v~U5פi)lQd{6BdGHf$^,j?9cwѵR),@>(7V;=Y@KH +28J^ yDr8嫈k?Oo.3<ŨQc7Q2?m(4- +c{9'Bd'+lbwY|(64ײmؼ,LfD)ˊS6II)vboZM:TN.wuk) +AQ2l˚p~ USͅx!&Iƫ!?݈;iD!E5LV:VjR-X9TW~թurd~R|12Q֩M4 HH9 xQdE9.)-7N?,)~2EWa5<藎 ΋:E9E k"Y%Ϩ7DL\ዧh}W9俳v k2ԽjBiFɛ7NghK8pdGE +2:@ĉhiqEq@j4 +vy1"ҿ{ !GI1oHcLh{; Ae e=h!;$\$$"ƀ9>2HLg4Au8<[:h@bc.Խ9= 2XG0s=>ɞH;J11:2HhyM<$fFensdde?$C^`P)GhWN[&wIq8`ΊJ{?\fԜ">nt^5n٨A!,l%ERK?H5Qp +#ȕɐ~;Մ_q*c'hxo-%jԉEw& +^mRAdί'Xam1&"KsJј9ţ(S ï]PM:a0[k5e<+%.'/iH;M1PP -iM6|ܠj7/ ;.@+gzXyL%$i%g|jPM"rfpRC>"sCc Iԩ;(ZQQSwO\|~0#TE -l[l(9 Gsyr3F1AfSCaU.XmP~iD}"cM }}A [G}4n¼M>EyO>B?a9(QS)M>*"wםB%G}څAƽx|h/;Mv#24i +drQ>0Y Bp0Sڬ]QBpS ;G;S=u3 5A +$U)\'#\O~0L|kh<7[(N@( {Nuh,r~b-ڛh q..ٝmXq`b|bAq?h2Cj +E{OhokTԡgn +$OUaW.R2T4mS܅txAU?xuS6*2hf,uqhM=Ot[JNDH:Cea0le%l(?ZxLZo" Wş;^ 3?Sb 0!^HT m"{z +U"E**oMmy~Y[шs~ +q:txJ"P܄2bhΨ605i?s4+<9~%)-TO;< ,q!_V0B. +9);3>JI-!G7ԎntXԄCEk?i~mB!(r": +a|mg~?eҡ%y 3|E~ Տ݈6cE)#3Qbw|jSSD/%uhWg +HŒOP,F$ b/$inРu)? K{%%qP~/~a25 +yoWiOTY u_0 +÷_w, nwAmA CTLAJGBY֞Q|Sj5XTL9}&»Ko.&J4;tej2R즔} + \p?)2JԙPN5}N?rܭ +ڴ:4pN@1;eZ +lk v1W +&0ɈwY{i>K=ן͂r f9/+KYl '@~ͷ)c)MZf|p͎;nPbM@2[b'co0'iŧ +_i\ 9@@X +>Uo>:u^•T=u]Ag|0r +d,5_x^"5IGW( X[0ũt^*SdSN =9ߠCo"RCq;5օw8-6c'vС&2~*16@m\X (1ӦV锿 [É1 Wu! +:Gπ](8N'[ur~th'y[*9Ct7t89PWԾHN|!b i 0l0D|[+LinjY |@ +4K~Sc^q٤x|I؛ XETqq3 O:Q͋.Tbėf.>zM;5r!SUvUgCVߪ/l[ُ5{Y|st?H7Zh`XѨ~o2]sAh1u5AX9yvW}~m*ɺP9)IH40|9+\i؃4Fq9 ʖ]U^z ii\`s oQovx|tKSXdnXǃm}ɂ2K\ShNiA;vL'.՗gC!g!%Kc gG*//C628iG>;5;lce2Ӳ.]~8.0ӯ +94DӜ,Ow zs`OVQ`x.hq~3Ւt%>ƶYT1F#4>wjt|sk}|Iwyh)$I;gv6ҙ(.zߩAN.T,2=4@@Pe ]*X +)H}'G<{=:>@ a\XuP#2(qB?ܻ`/QJ(|!$e'[o@ts1walDH"Kډ94u0ETwjt|smF=n\Lʬ-Ŏ${oKñ"ke? ke +>859G 0A4"7=\}4Hq]2ْ?įι09V ++c$1A$_/dDO&"soH̴򲗋&;4rwf}Y//t +Ԕ+ -o?4c|%؜[>lҨou2yg5uW(lOW(ꑖC\){Ow<"P1I׻`jm뤲hT~nD?5qF._*s|dTV`_/"{ \W&KU0xK`(mV)2N `Tsֆ*cӨvyp" jʁcPՅ<_D:/1a@ae>0Qso6E:T%s_4TӘLVLnU5;ӾlMR`fz at +tE1;|QSXRU9MC0D;}:ieñ`ݼԥJS:FS58W?.isbo#%'|Ҋe:;u6sL;Tp5Vđ(: XAptShNݟʰ} [ίd^q {踅bkk%,Mrr:h^4}ӯCEFNUZ += +b}+DMO-Y*9x0RSĦj򃺺/膥 +hjjlz߭(WL(_qTW +F XugըYONE;RY}CE(-7!ܠn/ԥIeH|MÏ"W +qӅHhy͋aj3T8qҔwBq:q!3yul}3LEd_,ddBІaAK%òHD'saYďg`I|#A$ӌΑ{A|+0,@'4yjik=!Xɱ,#<23FM|_a³{E_[{VМd`ke% + jM֩ف04{ްZ#Cm?""m`|gk;RR,ll|M ,̭f7ǵdeNO$eR^Hf]" rԿhU>+m*B=2%tqC$iNѷ|(pP[4zТ@pK?.! RHmfE +hb'Q) +)8Erц yGQS\v8ªy[A_(UE2w5 +3KZސMii)d_@򁤰) + +On{t<u;x> 1a~L)O2`n,p!Vh8#d8ަycd#Hġ5"`deyn"T2 +b:`x.>+Y"Cg]L"FP)5n.yrY?mt]w!^EVg[#bxqnxOo_OHnOi H!G.S*^Of8!=o)EnY}/-a ,R4HQ<q.Sd)I4|y0ة""BtTEa8oT}[ב&]ߏפgDUxj6dbMĒRc"}*տ*!TtzV_.Nj{w(SH IBf>rAe,OB +endstream +endobj +44 0 obj +<> +endobj +45 0 obj +<> +endobj +46 0 obj +<> +endobj +51 0 obj +[/Indexed +/DeviceGray +255 +<00FF0F0109060E020B0504070A080C130D0312ECFAFCFBF1F7F4F8FDF2F5FEF6 +E2F9EAF0EEE8EFF3E5E6ED1EE9E3E711171A10EBDAE41D15DFDB181423E0D61B +E1DC16DD2220D9D7190000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000>]endobj +50 0 obj +<>stream +x} c6.ƲX"ڴٍ{7k)Ba XTH5K*[w%f̧<£!m|et"j@„ %=_2tVxJMqtwꖏ fcs6\DN,}3udAyvyGisIy~K [BHwKߚAGjԏa8X9p;kzaCH{iRUy,T:VnqkˎˡAcڞ㹛%eq5Ze&.:yafhCx|n%VnۈՄ-;y,ε_qyYP_NaRUb^HAt:Y1x=O0uqٵwG Lq ū6")e7{"c-fϹ]87:WF䧫a޾U.gR,gNme/4[.@(䉞 +O4=|yG+=.~?푿=ʎKHsK%p܍|כ kΙ6~wba"وYt{_mSַ։S|0mBnN؇ P4[a!e=(qtYX +IЅ#?\3>)S?`<ʎ˂4O}؈YBLyo%^&r.iszJL:'@2 d[uw2U*{O3NO_yS+K/taO.Ոd:E&-;.-]M'(;.vq1*?c®[ϒ +L<lr+z2Lx(\z,L#>LN&T/?ד۫ {ѧOq|x%ϰtzxOI/ dX{к ?}PUSRjg¬Ƨ`h 1χ7| +8P^)WM6D|I0~x`51!hÌ)X"?Jw:,:tsE:hAʋ+R={Q +oVQDsxWPnQUUڌ,0^?"#gb+h莵0νŗ>U;1D +zUB5N]S^z؎hޞ|1񍣏Fܴ$ab=bxx퉰"#syЮltEʓMWW?dc'"O?ҖW}/ +h7Q "QPtX\T>|)JV;b0,7L 60 +6rUm[>[1J߅6\䷨Chk?6C'1I({wp,~+a?uɐ :Ïk=ÿ}*ɐ@ +jg(d4md {ETWHRIt*Y۫L4TNKl#rkfl\FA~@^AJJ*XZnJGU|ՒRTEe]T&2vڶW FƫFƓ2} Ďj0>Tz<@XAڨL#+=uW.,Mlӗ&SГ'XAƕ=@TJ]~`K|uW(;`F ɺ)H҂d}:zHpBzp/ +~t8O@L^hܩ.;ޡ.Йb6/-kաa3#.q0&ߟ`buO-F#{cB$xbzo 7_9|#~WZJi 䋲qfϋKW[&fK9t7;BWԞժ_U +2礚 tU: +L8N +;EN'\UQX{EXIs%mȮvMlS|ʡP5D>ckX<^} +Ux˛9z$nPXpU:aS/B#mݮЭi~SdH<N> H +%OF/6X޸AtU:Bv#ea{٢HOUΚՏYH{Wy|?5^"Ps)X6KSpMJxQm8]?@ѰtU::=ol=wTx0ӰWcX +e ?J&5d.RӘsB$,C-֒KAsvfSDǽ= ;BWԞx>Q޵**6؎t+v~iRPO6 gqh*|o]ワ27B 'gůi&j+[t|W:.{"P0BM^bH:>*|$XQMJ +ا"WoA_F(Õq* #2܆.vgw|IT`#@UKXkVWMW:>Ep*LHn,z!Ai $b^ +j:>+k%T|sVMm#Q[iU:Jӷbz+hOY1YE@%YDwWXoE(OMr僢 + pEETCޕ"_&..tX{G +K=\U:Tv=NwX;2>r'B֏Hxw_,?8=rT4iL>9qw=/[f@Hph?Eݡo}HÄ|œI!#^nA~Ȑ7.1{ڬoJ'VG2U;FWОDq=]2{j,;υu#zxe|@t>i(q+\ЏǬ?QޠctE홀Hogݱ\t/Kw+<<5"wDrOÕ%$(ӭɦF~ q8eѮ(PZ[{y ?^卒KZ]3Rc8 .W5iAs".dUOU0Ff +jgc + moA:<nD&ޏIρw|Ia7oQ |O<6:>!oz/g^X )3dB^aȴ!Q& +kF\󷰪+OnnE?mVmInS#p j!*8#6_wA?k u?"+qf]RU)8.$1kH'ayjC +&^ob!xͧB@Ba\]"?6ANjHDFCaL#"q;pAP4w#(u +Aj2B +=<[A`qr?!H4@4pF5(X+y=N2÷ v >% +Z"f ,qf4>SsyhWἱ?-P; #9À6"Iac`%;F\txr>)%HHJx59-DDϑ^H-A`/Yrݿ$qY`V)(zPs\% :>D;Xm(tl2!R!k?Guitxv|0Ǫ E>F?T .Pt; ?U7FPR5e +Buwt 0vJ]ڎot(k<ƑmCyhP/xm!S?ӄ䶏ԙЁY`Aҳ&>y +=g^z,DAPgSH_>z᢬uAY8;!g{;='X 뵳=uB/"U<'sʴ,.I9K9ȯ|m/ȵ^z8UW$3b:ESEOޯ"?2# f5ZMyM)qj<ʃi& Rd4NpogufYɊXcϝy"{р!jsx3׋Vw|H"!GJ ?ՠ~sQ!uaoeg/uVw|<"g\hޟzA;[>[,m(W0-±[w__s[IニbI}P{Ԍ ?\NqcU+EB x ]%;mvj\vg8az),dA#eMVx] +W<:Y {̪g9+u!N$ +u=uv:׏kW ) NT:Wwd[ +pW^ M]fP?_ ͚\R^}ŀ'k7oV/&\wuޭ"$gOY\l7WR!zq'qh۬6w0V'm }@Exe|4n92'kCesȘZdN} 8xU|up ,kM^ŮRO6xPA/;>{d +1E+9h+1 ڤ?kbuTvt?^JWQBUWm>3I4Btj†<2?ly3SA~sl.%jR8T ĝe5ݍ.GX +ʞFMO2,CxŪrh4)lS!<>Ԁ5-憲9]}[aXJK]VSȿ":=stp?ص. aA'~? +Efd K +ٺnǠoMrnEG:T#p.˾(x]݁*QXONp=b~4Si*;.`2~xBU%q %g( +>J'Iajx"cCy<,3S~SwV"^ +X%<G<[H|;<!5 5 /8BrsWHH}Bf®h!It|p{}urCLq) )e'" +wƿ Y5 +m{t} +ȱR"2BO#ET&coK?ݾ&yr]`灕 'mGDG1-%~^Ŀ$xC-)%^X鏫(ڰƌIt|pc\GPJF}JP +$[f? +h)_pm0u|$:>8hR0(Z{|904XK7u8 *P2rߚ$\`%zLZ>it|$:>8+?zdV s;E%f|!ιit|$:>8To6xr9QkZy8y:p3 e4}ג ZRa b+LX_1-B=w>'uL>kA >|} +-wlæ{ J`֛mo4/é}-yBL啀4"KʎMGMY*2[P:}ӾP8oZf!n6xd6%qɥZ~88/A;dG]yS,od$2'ͳC=/7,T[s rs#ddtM<%fqO>f8{ +  ڷA@hqW[/`LYVH({7à{ɺͲ;uL ۗkH52rY\LlX"+!]P }wy5u!_ <=;y^Ak!,ȅLv#$7Ux̼Cz;=  ţOFmd=8x/`M~;< =aZjRT r=6~V%W +39h V:"σ_PoVU) 7O7spzhխ(3wo^WT,܅ߐV)Ŭ&P>ǎnRx|)(Mr<_U_]\jzI5*|`cK3 @dutZ֒U@4!d@4AAbi.  ϔՂy?Ȇ +}fߨ Rb~uYXczBՏo֞~ȼ!fk,Y`/ۨ7TlˆPG઒gvnrV@\BPxtǢJc^Zrx-|}}jv~vHϮ^5lB_gs8[,h8/q8/syk|L*u3i:i2laIj}=e>>Nr"}=>2|^>oƝ:FӼ-/y j}@LTuh)J-O- fdv4H!Zhv nZj/ u`ub;zup:,YŠG k~?F@~V &&;P$-kMUlߡYR'x . :T\,ZR*&[NnDPZu^,ZsG0Q"8+japRi +͡qHW4OQ /ό(5ô8hʳ +%8A.8#V@s2 21k$)JJm WVcH{_;>5ѴXE6 m <IB]xҪ{ˈ: ?)E<Ցԑn73Rj|; |B83>iU-g[\Ʃ9R.Q&p"38~n1wyGO`3Hײ yf +k2?v83>8FC/BU;-|vK +z(,0?*3 +gpt<[og48O,`j\BHTv.򔛞^SP5C{;xSw{g\$[ U0D7:HlhU{gΪ(^$jϷ#!r(2JjQ\sp ,|8}$TגRTy Á~^b'17bJ,eJaMzj}:>hU{g2U=Qh +";xW>yMA;;σ@lXƉ +DL]ZZ }Ÿy`lδ0E,xx^Zr9^Uҫ Tz ޾¼$&i:1w^ WlS 8M|>?PK(:_MAѨVq}AZ6mZ\ c8Orl5 y Aq.YҫqA֟k? +>hRïݶu?6< ɰu# ok@l?sx>*s?Bԥ:D=st@To0놻8z3US&2:Mu:K&.MיR0 ܛT@}@ EB~|/.ڐ:ٱ9Vpqg\k$VEy`R"s|1b24N5f߼Tx)ߚNa%!%|f*WW.RHcכkJue xχB: +x/Pw%%4-Tw eP]ϻ<󩟍qE#hX7n{+%:+ntgvX_b +;+SzRFκ" :>TRZ_޲47.`ywlb?p^5ƦyM`!$ݓ7Sha^;88ݣBy֭˘U3E?7ݻ2 +xrHS1o<`wvEJb tŊ#^<4"8O +Ğ:)Rh\cWP./ec3MV:&WWv!N +W툔Yj|pXI:OrUaצpy(⩦GWcpC +|׼\~D܊Ye/y[D5%Pf!?[d~86fb`x#7d, +Yі%I[:{{?mF<&V(hmY=p7I3>;jU|Ӟ,Vp2xe[nӋTΛe>`i&a= ,)Ca˘>zj㛔UQQrWjG*sh86-#TM8+K1ʚ?lv߅} ̮{ZRߨ5}|w}=Qr?xC&d̺kۜ)6+c,ix򘱸 >X(FV8 m!N_\*A6J- p"D%D(0!͛KL?R󃑔jc'K +o6 7 +$CSl_mSk4B+1dR(rbI)4?ƃ~fDWk-s{~Rpe,.JRg®RkW=\$B9-BK6]G)K +l +Ӂż&0%P6[7A-9x)(ټ6 g%)Nn9<"|[=d% , +Xu UQ{u 7K>q$X0"H)3FXm[:4ġ`Rq +[wqU3Ҡ=} +Dk _ oJ +-rK'l@|)F + &Oh5G~$R5BMCHǞRqOMonj{c6Ap>iIvP֎X3V$|l{.{#$ + ';*Z |]y2̐[o5/$)=eOu>~ʡX?T@2SGF>Fy;@zޢ˱8XjT#{>;ׄPV|hN'D QѪM v8$mf9k> HL +%dyz=\YO&z \MpC,#ЗZy߶tYaFU=7UmU&Rn+K5m`o, +2{QRPJ6߈fUV_BC + SSh!mSnOK6όQ/sXSYI~Bϭ=V"ULB~_ ,-1}u8A-bX<j7f򧆬RZiN 0ipk>F<$j<ɫ-hyU$[J +!CG"LJ"X$r 9˲t{@l[ێ$$nenJ ׷5M* +S:)Q8Hi֯gdkIeZ +=v "I:)ԂAHKDapqeZ8_ڏϐ +:+ꂥY&WM۹}^u8`Mk*hZ^~`E8``ykD+@5_YC7XQNl[掴フ7.<*U;-H: a<(9jkj%39N=@*w)YJڗw?΁0WjEMOߧz2aA.%VY"piHieib=?`swba_⤅~(r+|+"~מnq m0]+5jJ˼ژGjE쑇E;=apZH> .gM`8%8صeh{pl[,> 6MƷç%z؝xR>aJt_O!Wd3÷-uMkuyVmHۿA+.7Fuxk\l.y|@sG6l8>ML.Cc7ogqɚ^-x|t(LX+X7=DDZqCRt +gqԽZ>𬊭O!7SGth^aAJP]=Ց)VA +CKHl.&nSB(KO +endstream +endobj +52 0 obj +<> +endobj +53 0 obj +<> +endobj +54 0 obj +<> +endobj +58 0 obj +<> +endobj +62 0 obj +<> +endobj +66 0 obj +<> +endobj +70 0 obj +<> +endobj +74 0 obj +<> +endobj +78 0 obj +<> +endobj +82 0 obj +<> +endobj +86 0 obj +<> +endobj +90 0 obj +<> +endobj +94 0 obj +<> +endobj +98 0 obj +<> +endobj +36 0 obj +<> +endobj +35 0 obj +<>stream +xQ1oS1C@YiM%Q$ƌЊPHӨB9~^NrlбRQFĿBBXk ~ j`E}}ߝ/o}N9 $E|R.^~xw}}u,u6?۝L=FaQ1 n` DO)8@euh"!BN +acfׁNo: rHB$jjitF-xA()P&Xt#>@ +'t RYe3 %$I^:c٬0`0y̌,i H{x*BF*1NI:Pzܽ  2~[|>kjaOfZuO[= +J{"ѺE'91!]V|Ixr}ҝ8]> +endobj +32 0 obj +<>stream +xNSAg +PJJAi‚`E VBJ;۞d:3F[674 + ax_M}#lF~g%9/מo}̻--bL)L\>> +endobj +29 0 obj +<>stream +xWiT׶D-PݥQA +(Lm8!BDAT,FB4751jbBPwۛޯWWio{2@I$ف9*9J*)GNgH썯 Hjާܜ:wHu`Y[$_E,ttv?KJM===< ԪR3x{Tk2ӕ:W>LuiJ>EV. + D eVNT$eVȧhxOd$t*M֕ 6S"ILqb3KC 9*TiT:X 7KKЉv*2kRdMR_sU)suD%f]rTfBV|25!+YQ;>23yêtZ:5DȶxFQԹyI>Yy)~Yڴ:UX`vxPN:aanzb۸I=<]?0CrFSQ<ʗrާPʏCͧ X*HSaT @SA5fS(Gj!5r")T0CSjA +ReFPFYP);ʊLYSC(5P2%cJd$Gn@쀦6iRjJLM_JH +=ngփ]v +4o`Ljͬzf [ EKK{0X ~*\9&]wڲ? a_9/kRpt)J7Rp^^@?ZІ5}.PaSHQ2 DR$HD('Z/<x(<?}&(Ɍ@oWvV*CR_ֲTgFS 78ߐ +pCN8Cx-ź7dVĔ:<0[[٫x@?BKjj\E_ɗ<[YpHU;t6-T|͢48akΚ) +NckSe. +Q.QR؈!NKa qK0 rmXB =a^LT xÜqB,9cQW_߹{ +A M*7Fx %I#}*OE*j"ont6 ק8FEw[kW@ʷC _icI +zB1iPZC0@kaLb<x +^2@`Z ]6yiGw%$BQ>$Ÿ`_!BHwf~>1Us `3Xǽ{gOF(:C531([$FB?`SC"mG;PjS_Llag,^tR`9%.?α[rE='믒/ה,}b:^-ApnnJ&x$eĵp1M@uĵSInuY,wo|95(]|vjƎ<ݟ(YMoft]*>xfܰ;Q{LqmT_YH\ %'M׎\]*/۶@֔*ueXkCY(gŮ-7 %8I8,Sv*\֠mei,L>LJ + +]u=mi)%7ad҇ ۰ožEYHjW|rgm>*V5L7$^l>bk ;ߴ"b% bl`4߃= 0qNĶK+d:EZׄE#'S& R A:Ű;!3hOO:"ؐ;=~!_~i_*dݬ?|S4IrMڪh4] +6LaֲJ{ZꛘX-Mb+`h,GaV,FD"p@`F+c^y ؓ|w jDoX o-b/V#pA +rLY5N8UsG=_dsWq!q_^hom'؀M-b% ʀ& 7On+4 +,YpZE}=Bhx:BQR@%G(T6szL3'܃W`KE$\Lph4HE̕i 4 Dz#;Q7Vt'|Xu!Yjz +]7Ӊ{VIB. MYEÝv-m)vy"1b.mڊSgr.#v< ;}_5-ׯNR D=SǶC[50< +ff0jè] +endstream +endobj +101 0 obj +4255 +endobj +14 0 obj +<> +endobj +13 0 obj +<>stream +xy XS]S$mm:Up + "`!HB L 9@ 32uuvPOG۞?kŹ]<ϣZk{{BX,{GKKݥс1׋iG=w +=6Sk~_a?pbOӈor?slkׯO.jd +zYxR*.<4L!^,R>")ƈ$QRY$FL|X"+$(x!W}9)#F]モƒ.d8D'8Xs"\./or$8O(%2f`X&gq\+iB,h.Ex)Y\+cBq$40DD>.OoɢTsOsp\`xtP\]-=spLrhgl뮸P0={#<%Dz~_te5?Vnɰҷ9/ X|MR-—8D$eۄJ"ˉXAC{Ļab/X@xB“XC,"{…XK,&vb!;'"EBěD"1`|b:" MH-5b+HN$!%(Þ ֳڦMbncln~}%ǁS/@+k_9?U<_NgOϝ1wF_ӽן\03pkܙFWg}Sf¿"` )3hq|!r}Uz$.m[poWP+ +ɿ\YG>XT_(RUA}⮡uމA"Bo><` ?Vq`Ӂuqz[K!Snc:f%kv,+_G\D#_%dJ'#l%7k/yCcqt:V^!9^% ע$6`NIq#OcpTG48T=QM +*ΕUUSܨ59n(&tF_~G3p:d@SϺ_[Oڃ~F.xp); +|ҹakEjbx5R]цVzO糹E<^QpT4F#z⾫$X5GW>J=Ї7[h߁qG13!L/v ނ(ݺ{G0,z tm9M1T]imYW)xz_}*I N+eH cWTUpI0tW+ 9l1':inQN|΅bS3+":cT +AUGyߖ +\uĩc*/Ulo&,KY9BHm +4J ;IHڮ]~+#:Dž=õRUOҊR0q^ZJ +{_O +?#|>@@S֭?㖼PɘU&ZWiF\IOgs5po.:W8XbBlwXىkX +Gij!v3txeDrD[dSupϮD΀q\ +Y_` tUbJ@Zj;NJbRC7PΑ]ie٤/(xt!š8K3 +z/q}.YSƥn%0Eu-i]eIS v +fstvt{>L*¢.lR/&トr6d_fN7eJ +XteNT-(8iAVů q +БFS:Ʉoۏ7r9v*Nr2l;OfzTS +EI"d"OZd4 ǤK'[G + +% +m Puƶ[8v<;}Ebչ**\mOˆ|G +l:7|Y,?P˶X !RTjczD.$ĝGd z}]- \;ڷ̈́x|ܸ"Plm +ElfeNciihkД^mP^[ڧa@}Ӧ urٯvk5wˍ- +aUX?8A ?x!ñYb178 +UQ9sF7 &!`Q;!pqX0+RA_=_b˜wC(< mWM00Zx(df; D<D0 LysHw)!?x7&F/j5lx ezNVVJZ6h#0)nea||^Fw[F#+ J)*Q +N.QIhE\Qu)YCT2}<ɈPK+4'AqRsZᤤv0uM^zE8JAj !y+ЛqueL}ȋ0]s~s^cIa9z|OB`Rf\5љzLR#{ +UTKJZ2lZr 5;YZ!;yPxQ&H2,[h:aEW?H= Ҳ|jl1:n b~wq(LK1$2(3}1-}2eQu/w՝kJԆx 4|$$/v˵xF.`X[Su|!~7 r_~j1_Hrio)RiQQ{އڎxzmMϡܹa8 +^Â]^!m+ )t<1aQ@pl8 \J}8G40ŚSZnqaM+izR{!Փ|q + }]N)Cۅ-v'V֗/ŗl(E'[RȌd|VG2$obʺJn-C x*krkOLX#t+vZErRP2 Z^ +DJ%dk?ɍguvW44HK^ֈlgŜH2\;'K7*$:Wv9\KN1qF-qDA`0Uڢ$Uy8Cؖ?J>XЀj#x$X;1a:m%eӅI5Z4f#l_ǩZ+k\۪K^9i^MIZ`})GIÈm,:Q>" +5bJ`2dR;XYA)1( ֐]Yf|8 +s4Sj s΂M +&j4,Xy\pbh<KYĜ\,lЅbtȔiLFNJ +pLQh)75ܹqmP`+:Ђk9%@ RSdlgi'2#W$Ney~ 6!]/K+>/(ƊxYLih`' +Z:qo2׈BI3g +GLKvme8E-+)QO&%'j'ӄ +Wd +Y`o($ޅ3[|ҐҊӀhrl%㯃 + LjJCf +ٙQyfej/YŠXK OjڬBC7tDK 1cC@`E=؅į3OJ_1 +ZՔV> AΥ7i;[]t–X[Wm6 jhn$ѲDm8.Wz]rˎW%:‡oCh9 +Q) r4%PaS>PɹYR&KF |~q/XZ^r[0` qhۓ3&B6rl64T,Ihzf\?yn%71s(xn=@Mf=Gr+~DZhCwzzE|Y6z[ހNH@7 8\њ#U9؈+99>.*ݘ%jm|i(e`M *t-G_|AALc!efKM +s(FhX1g;ޅöoLe}Q0ƨ^Mv'k+5PUY46z;ӕ=GS Q0loԥ*=5- +?$ѹ*-A$zf7X7ORx'R LV=4 1ү~ĺ&~ɆK6s5ԣ:A # +rP=E) +2YħNo׶Xp-7Qe=q&4;9V{R8݉s6cO)#>|RmTggSSNmhsQ;OOKʘl)75Gts)?s`o<ōJ>,Jjcn͆˱Tq 8DyKN՞jUXCXc@6Rm;EPn<4+lm~ jRw:u!* +h?ywH4[? +nIC1-5+րNv}ԑh&6%{tG~:%T'jș:69sM^۹wzEV7|$m=??>oxDc#o~;[wO +$GpK1q`/7?gw]g;}£Y!?~t&HkrSƭu{Km%:t5n8-ڠ[x +ߡ>y)[+h|nťˢ +}5h{busN~N:4$񽚒0 8’ý>];<0p{+3O 3zBPZ#A a'#e? &ڙטegSUh;wjTV5[:KOX'l9 /db$I8 Y\Y֞4]! nJTkb18ɐӻ +gGD/rg$`Mۿ~(K.ͶWj$X\Y,0͹`AR`LxQ?x9b#m7ٴVܔ۽q4 +8/q_3D$I\D +p1\YO {>3Ɣw|-lYD-E팆4Sm,s8Tߪ*0|ǿ΁acoaX_~=z<[Y<xF +c"n/s2dSKl}>黉Xhtw݇K4>p|Ǖ~1-rBs|Ԟ9=G7(|MZϻw.>8v-ޣotH}4j4t\FO~t?`D @F&C#|lv7,pK]BY,x3T<,5*\R$YUo_F0 |aX6ַpF|}41b~ +]# |˾σve}x#W]f] } +:% x 1#E"B|RŕzQdIt}Q3gA֘[#,_x89͂s[1!ޣ3n|`&c,,NN< s;,A-+C;GY_>z RN` +&-̌==IWxIa%f} +{-Y_[eH)b~HJѤh31d!7$3#U8sEos94nC> ^}ΦpOK9i+7_}<GCHt~ϟ;Zo%u[%B}2=p'7"m"LA&h/q>{pڴgUӦ + +endstream +endobj +102 0 obj +7795 +endobj +11 0 obj +<> +endobj +10 0 obj +<>stream +xVkTTWeIVjUKƪtTlю0-h|# +" +ţxE!SޠRE-6c:tF;dƵfʡg)nݽw]f F"m#qwTb^Q$b q<ĐES!D\+b?-H~ q:UɘD|weQQcmv'oJKwp9 Ҭm0ձK08G3.vwmq[-qr[ V1sYZI4 V3xY&fVpN vD?2 ``9g7 g$pi093Yu,}7ڬhB#TMp:dwp1~;/H89fm`794&9 MݬqR\ZΛ%iߣ/xCכ +tT5v9m:o&`0Wę,,kh\-2MY@a"vntZuX&#/u&fkӮY˭\032InfϼƼ,f0 L"&.YƬa3k]L e~,d$&YDd1%;%7g̟=ri/34"2v BݳYg=Us:4}.a23嶌E31~/A1H*AzJ,֔e>X[܆xY\sw5wh=AMXo*>V_]Ҍ/"a;oTEe+Rq%HFB-FB`Uuh*N90;w7IWkDe$O&t}` +JF(CO". +]ٙz*{yZY3eO&҂ E%dgQ0WNJM`{qG~6VuT5?(a1 _?S>gq$73FׁpɄL: +p2M].og39k29cteL +O¡>mPKk S]Ǩ|f+PVSM +OY]P%- Cw/ۺ_H=ZMщQURo͠X5(=5aݴX%Rcj[]{ޑO~hZr0եp@|_R +$VزcŸ Tf49j0Zm׺mMUhq&[ٜ<4[e#~YSg݉\7pb샻_>ԏD^To9c?>iriĿ#jlX(H+$ù;^a>n:Q0%/;U@#t%ं}gcZicwM`WC۟=F _nPBJJNzr|/ f};"S5ѵAz_.3D+k~2x2%"DB"`^̧DU^dQ +?H 9ߕH$-IgcG:x߭}S)Ua{^SZRmlfb-DRPUN QDpʨv5Ё;' QWzw+uwA> +endobj +12 0 obj +<> +endobj +15 0 obj +<> +endobj +104 0 obj +<> +endobj +31 0 obj +<> +endobj +34 0 obj +<> +endobj +2 0 obj +<>endobj +xref +0 105 +0000000000 65535 f +0000049202 00000 n +0000108510 00000 n +0000049021 00000 n +0000049559 00000 n +0000049411 00000 n +0000049250 00000 n +0000046455 00000 n +0000000015 00000 n +0000000652 00000 n +0000099540 00000 n +0000099237 00000 n +0000103850 00000 n +0000091333 00000 n +0000090793 00000 n +0000105016 00000 n +0000049338 00000 n +0000049368 00000 n +0000046615 00000 n +0000000671 00000 n +0000002955 00000 n +0000049706 00000 n +0000046759 00000 n +0000002976 00000 n +0000005002 00000 n +0000049749 00000 n +0000046903 00000 n +0000005023 00000 n +0000008337 00000 n +0000086429 00000 n +0000086012 00000 n +0000106311 00000 n +0000085471 00000 n +0000085246 00000 n +0000107479 00000 n +0000084707 00000 n +0000084469 00000 n +0000102686 00000 n +0000049792 00000 n +0000047047 00000 n +0000008358 00000 n +0000011406 00000 n +0000050421 00000 n +0000049857 00000 n +0000067749 00000 n +0000067781 00000 n +0000067813 00000 n +0000047242 00000 n +0000011427 00000 n +0000013654 00000 n +0000068420 00000 n +0000067856 00000 n +0000083867 00000 n +0000083899 00000 n +0000083931 00000 n +0000047437 00000 n +0000013675 00000 n +0000016206 00000 n +0000083974 00000 n +0000047581 00000 n +0000016227 00000 n +0000019675 00000 n +0000084017 00000 n +0000047725 00000 n +0000019696 00000 n +0000023075 00000 n +0000084060 00000 n +0000047869 00000 n +0000023096 00000 n +0000026022 00000 n +0000084103 00000 n +0000048013 00000 n +0000026043 00000 n +0000028597 00000 n +0000084146 00000 n +0000048157 00000 n +0000028618 00000 n +0000031581 00000 n +0000084189 00000 n +0000048301 00000 n +0000031602 00000 n +0000035425 00000 n +0000084232 00000 n +0000048445 00000 n +0000035446 00000 n +0000039241 00000 n +0000084275 00000 n +0000048589 00000 n +0000039262 00000 n +0000042635 00000 n +0000084318 00000 n +0000048733 00000 n +0000042656 00000 n +0000045437 00000 n +0000084361 00000 n +0000048877 00000 n +0000045458 00000 n +0000046435 00000 n +0000084426 00000 n +0000085226 00000 n +0000085991 00000 n +0000090771 00000 n +0000099215 00000 n +0000102664 00000 n +0000106195 00000 n +trailer +<< /Size 105 /Root 1 0 R /Info 2 0 R +>> +startxref +108703 +%%EOF Index: web_uploads/gh_uart_16550_101307.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: web_uploads/gh_uart_16550_101307.zip =================================================================== --- web_uploads/gh_uart_16550_101307.zip (nonexistent) +++ web_uploads/gh_uart_16550_101307.zip (revision 6)
web_uploads/gh_uart_16550_101307.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: web_uploads/gh_uart_16550_072108.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: web_uploads/gh_uart_16550_072108.zip =================================================================== --- web_uploads/gh_uart_16550_072108.zip (nonexistent) +++ web_uploads/gh_uart_16550_072108.zip (revision 6)
web_uploads/gh_uart_16550_072108.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.