OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 40 to Rev 41
    Reverse comparison

Rev 40 → Rev 41

/axi4_tlm_bfm/trunk/workspace/simulation/questa/waves.do
1,8 → 1,7
configure wave -signalnamewidth 1
 
add wave -divider "DUV"
add wave -position end -decimal sim:/user/symbolsPerTransfer
add wave -position end -decimal sim:/user/axiMaster/outstandingTransactions
add wave -position end -decimal sim:/user/axiMaster/lastTransaction
add wave -position end sim:/user/axiMaster/axiTxState
add wave -position end sim:/user/axiMaster/next_axiTxState
 
13,6 → 12,8
add wave -position end sim:/user/axiMaster/trigger
add wave -position end sim:/user/axiMaster/i_trigger
add wave -position end -hexadecimal sim:/bist/prbs
add wave -position end sim:/bist/isCovered
add wave -position end sim:/bist/i_isCovered
 
# Paper publication:
#add wave -position end sim:/user/irq_write
25,7 → 26,6
 
add wave -position end -expand -hexadecimal sim:/user/axiMaster_in
add wave -position end -expand -hexadecimal sim:/user/axiMaster_out
#add wave -position end -expand -hexadecimal sim:/user/axiMaster/i_axiMaster_out
add wave -position end -decimal sim:/user/readRequest
add wave -position end -expand -hexadecimal sim:/user/writeRequest
add wave -position end -decimal sim:/user/readResponse
49,7 → 49,7
add wave -position end -hexadecimal sim:/bist/i_prbs/seed
add wave -position end -hexadecimal sim:/bist/prbs
 
run 80 ns;
run -all;
 
wave zoomfull
#.wave.tree zoomfull # with some versions of ModelSim
/axi4_tlm_bfm/trunk/workspace/simulation/questa/simulate.sh
31,7 → 31,7
 
#read -p "press Enter to run full simulation now, or Ctrl-C to exit: ";
echo $(date "+[%Y-%m-%d %H:%M:%S]: Removing previously-generated files and folders...");
rm -rf modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop;
rm -rf ./modelsim.ini ./vsim.wlf ./wlf* ./simulate.log ./work ./altera ./osvvm ./tauhop;
 
echo $(date "+[%Y-%m-%d %H:%M:%S]: Remove successful.");
echo $(date "+[%Y-%m-%d %H:%M:%S]: Compiling project...");
61,6 → 61,6
if [ `echo ${#errorStr}` -gt 0 ]
then echo "Errors exist. Refer simulate.log for more details. Exiting."; exit;
else
vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)";
vsim -t ps -i -do ./waves.do -voptargs="+acc" "work.user(rtl)";
echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
fi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.