OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 41 to Rev 42
    Reverse comparison

Rev 41 → Rev 42

/axi4_tlm_bfm/trunk/rtl/axi4-stream-bfm-master.vhdl
55,8 → 55,6
-- axiSlave_in:in tAxi4Transactor_m2s;
-- axiSlave_out:buffer tAxi4Transactor_s2m;
-- symbolsPerTransfer:in i_transactor.t_cnt;
-- outstandingTransactions:in i_transactor.t_cnt;
lastTransaction:in boolean;
/* Debug ports. */
74,8 → 72,6
signal i_trigger,trigger:boolean;
/* BFM signalling. */
-- signal i_readRequest,i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
-- signal i_readResponse,i_writeResponse:i_transactor.t_bfm;
signal i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
signal i_writeResponse:i_transactor.t_bfm;
94,7 → 90,7
when payload=>
if lastTransaction then axiTxState<=endOfTx; end if;
when endOfTx=>
axiTxState<=idle;
if axiMaster_in.tReady then axiTxState<=idle; end if;
when others=>axiTxState<=idle;
end case;
end if;
104,6 → 100,7
axi_bfmTx_op: process(all) is begin
i_writeResponse<=writeResponse;
i_axiMaster_out<=axiMaster_out;
i_axiMaster_out.tLast<=false;
i_writeResponse.trigger<=false;
133,7 → 130,7
/* state registers and pipelines for AXI4-Stream Tx BFM. */
process(aclk) is begin
if falling_edge(aclk) then
if rising_edge(aclk) or falling_edge(aclk) then
next_axiTxState<=axiTxState;
i_writeRequest<=writeRequest;
writeResponse<=i_writeResponse;
142,5 → 139,14
end if;
end process;
/*
fastPipelines: entity work.ddr(rtl) generic map(busWidth=>8)
port map(reset=>reset,
clk=>aclk,
d=>next_axiTxState,
q=>axiTxState
);
*/
dbg_axiTxFSM<=axiTxState;
end architecture rtl;
/axi4_tlm_bfm/trunk/rtl/user.vhdl
66,8 → 66,6
/* Global counters. */
constant maxSymbols:positive:=2048; --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width.
signal symbolsPerTransfer:i_transactor.t_cnt; --TODO deprecate.
signal outstandingTransactions:i_transactor.t_cnt; --TODO deprecate.
signal lastTransaction:boolean;
/* BFM signalling. */
/axi4_tlm_bfm/trunk/rtl/quartus-synthesis/tester.vhdl
34,7 → 34,7
Public License along with this source; if not, download it
from http://www.opencores.org/lgpl.shtml.
*/
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all, ieee.math_real.all;
--library tauhop; use tauhop.transactor.all, tauhop.axiTransactor.all; --TODO just use axiTransactor here as transactor should already be wrapped up.
 
/* TODO remove once generic packages are supported. */
41,7 → 41,7
library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
 
/* synthesis translate_off */
library osvvm; use osvvm.RandomPkg.all; use osvvm.CoveragePkg.all;
library osvvm; use osvvm.RandomPkg.all, osvvm.CoveragePkg.all;
/* synthesis translate_on */
 
library altera; use altera.stp;
63,8 → 63,7
irq_write:buffer std_ulogic; -- clock gating.
symbolsPerTransfer:buffer t_cnt;
outstandingTransactions:buffer t_cnt;
lastTransaction:buffer boolean;
/* Debug ports. */
-- dataIn:in t_msg;
71,314 → 70,3
selTxn:in unsigned(3 downto 0)
);
end entity tester;
 
architecture rtl of tester is
-- signal reset:std_ulogic:='0';
signal locked:std_ulogic;
signal porCnt:unsigned(3 downto 0);
signal trigger:boolean;
/* Global counters. */
constant maxSymbols:positive:=2048; --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width.
-- signal symbolsPerTransfer:t_cnt;
-- signal outstandingTransactions:t_cnt;
-- /* BFM signalling. */
-- signal readRequest,writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
-- signal readResponse,writeResponse:t_bfm;
type txStates is (idle,transmitting);
signal txFSM,i_txFSM:txStates;
/* Tester signals. */
/* synthesis translate_off */
signal clk,nReset:std_ulogic:='0';
attribute period:time; attribute period of clk:signal is 10 ps;
/* synthesis translate_on */
signal testerClk:std_ulogic;
signal dbg_axiTxFSM:axiBfmStatesTx;
signal anlysr_dataIn:std_logic_vector(127 downto 0);
signal anlysr_trigger:std_ulogic;
-- signal axiMaster_in:t_axi4StreamTransactor_s2m;
-- signal irq_write:std_ulogic; -- clock gating.
signal prbs:t_msg;
begin
/* PLL to generate tester's clock. */
f100MHz: entity altera.pll(syn) port map(
areset=>'0', --not nReset,
inclk0=>clk,
c0=>testerClk,
locked=>locked
);
/* Interrupt-request generator. */
trigger<=txFSM/=i_txFSM or writeResponse.trigger;
irq_write<=clk when not reset else '0';
/* SignalTap II embedded logic analyser. Included as part of BiST architecture. */
--anlysr_trigger<='1' when writeRequest.trigger else '0';
anlysr_trigger<='1' when reset else '0';
/* Disable this for synthesis as this is not currently synthesisable.
Pull the framerFSM statemachine signal from lower down the hierarchy to this level instead.
*/
/* synthesis translate_off */
--framerFSM<=to_unsigned(<<signal framers_txs(0).i_framer.framerFSM: framerFsmStates>>,framerFSM'length);
/* synthesis translate_on */
anlysr_dataIn(7 downto 0)<=std_logic_vector(symbolsPerTransfer(7 downto 0));
anlysr_dataIn(15 downto 8)<=std_logic_vector(outstandingTransactions(7 downto 0));
--anlysr_dataIn(2 downto 0) <= <<signal axiMaster.axiTxState:axiBfmStatesTx>>;
anlysr_dataIn(17 downto 16)<=to_std_logic_vector(dbg_axiTxFSM);
anlysr_dataIn(18)<='1' when clk else '0';
anlysr_dataIn(19)<='1' when reset else '0';
anlysr_dataIn(20)<='1' when irq_write else '0';
anlysr_dataIn(21)<='1' when axiMaster_in.tReady else '0';
anlysr_dataIn(22)<='1' when axiMaster_out.tValid else '0';
anlysr_dataIn(54 downto 23)<=std_logic_vector(axiMaster_out.tData);
anlysr_dataIn(86 downto 55)<=std_logic_vector(prbs);
anlysr_dataIn(90 downto 87)<=std_logic_vector(axiMaster_out.tStrb);
anlysr_dataIn(94 downto 91)<=std_logic_vector(axiMaster_out.tKeep);
anlysr_dataIn(95)<='1' when axiMaster_out.tLast else '0';
anlysr_dataIn(96)<='1' when writeRequest.trigger else '0';
anlysr_dataIn(97)<='1' when writeResponse.trigger else '0';
--anlysr_dataIn(99 downto 98)<=to_std_logic_vector(txFSM);
anlysr_dataIn(101 downto 98)<=std_logic_vector(porCnt);
-- anlysr_dataIn(102)<='1' when locked else '0';
-- anlysr_dataIn(102)<=locked;
anlysr_dataIn(anlysr_dataIn'high downto 102)<=(others=>'0');
/* Simulate only if you have compiled Altera's simulation libraries. */
i_bist_logicAnalyser: entity altera.stp(syn) port map(
acq_clk=>testerClk,
acq_data_in=>anlysr_dataIn,
acq_trigger_in=>"1",
trigger_in=>anlysr_trigger
);
/* Stimuli sequencer. TODO move to tester/stimuli.
This emulates the AXI4-Stream Slave.
*/
/* Simulation-only stimuli sequencer. */
/* synthesis translate_off */
process is begin
report "Performing fast read..." severity note;
/* Fast read. */
while not axiMaster_out.tLast loop
/* Wait for tValid to assert. */
while not axiMaster_out.tValid loop
wait until falling_edge(clk);
end loop;
axiMaster_in.tReady<=true;
wait until falling_edge(clk);
axiMaster_in.tReady<=false;
end loop;
wait until falling_edge(clk);
report "Performing normal read..." severity note;
/* Normal read. */
while not axiMaster_out.tLast loop
/* Wait for tValid to assert. */
while not axiMaster_out.tValid loop
wait until falling_edge(clk);
end loop;
wait until falling_edge(clk);
wait until falling_edge(clk);
axiMaster_in.tReady<=true;
wait until falling_edge(clk);
axiMaster_in.tReady<=false;
wait until falling_edge(clk);
end loop;
report "Completed normal read." severity note;
for i in 0 to 10 loop
wait until falling_edge(clk);
end loop;
/* One-shot read. */
axiMaster_in.tReady<=true;
wait until falling_edge(clk);
axiMaster_in.tReady<=false;
report "Completed one-shot read." severity note;
wait;
end process;
/* synthesis translate_on */
/* Synthesisable stimuli sequencer. */
process(clk) is begin
if falling_edge(clk) then
axiMaster_in.tReady<=false;
--if axiMaster_out.tValid and not axiMaster_out.tLast then
if not axiMaster_in.tReady and axiMaster_out.tValid and not axiMaster_out.tLast then
axiMaster_in.tReady<=true;
end if;
end if;
end process;
/* Data transmitter. */
i_prbs: entity tauhop.prbs31(rtl)
generic map(
isParallelLoad=>true,
tapVector=>(
/* Example polynomial from Wikipedia:
http://en.wikipedia.org/wiki/Computation_of_cyclic_redundancy_checks
*/
0|3|31=>true, 1|2|30 downto 4=>false
)
)
port map(
/* Comment-out for simulation. */
clk=>irq_write, reset=>reset,
en=>trigger,
seed=>32x"ace1", --9x"57",
prbs=>prbs
);
sequencer_ns: process(all) is begin
txFSM<=i_txFSM;
if reset then txFSM<=idle;
else
case i_txFSM is
when idle=>
if outstandingTransactions>0 then txFSM<=transmitting; end if;
when transmitting=>
if axiMaster_out.tLast then
txFSM<=idle;
end if;
when others=> null;
end case;
end if;
end process sequencer_ns;
sequencer_op: process(reset,irq_write) is
/* Local procedures to map BFM signals with the package procedure. */
procedure read(address:in t_addr) is begin
read(readRequest,address);
end procedure read;
procedure write(data:in t_msg) is begin
write(request=>writeRequest, address=>(others=>'-'), data=>data);
end procedure write;
variable isPktError:boolean;
/* Tester variables. */
/* Synthesis-only randomisation. */
/* Simulation-only randomisation. */
/* synthesis translate_off */
variable rv0:RandomPType;
/* synthesis translate_on */
-- variable trigger:boolean;
begin
-- if reset then
/* simulation only. */
/* synthesis translate_off */
-- rv0.InitSeed(rv0'instance_name);
/* synthesis translate_on */
if falling_edge(irq_write) then
case txFSM is
when transmitting=>
if trigger then
/* synthesis translate_off */
write(rv0.RandSigned(axiMaster_out.tData'length));
/* synthesis translate_on */
--write(prbs);
case selTxn is
when x"1"=> write(32x"12ab34cd");
when x"2"=> write(32x"12345678");
when x"3"=> write(32x"87654321");
when x"4"=> write(32x"abcd1234");
when others=> write(prbs);
end case;
end if;
when others=>null;
end case;
end if;
end process sequencer_op;
sequencer_regs: process(irq_write) is begin
if falling_edge(irq_write) then
i_txFSM<=txFSM;
end if;
end process sequencer_regs;
/* Transaction counter. */
process(reset,symbolsPerTransfer,irq_write) is begin
/* TODO close timing for asynchronous reset. */
if reset then outstandingTransactions<=symbolsPerTransfer;
elsif rising_edge(irq_write) then
if not axiMaster_out.tLast then
if outstandingTransactions<1 then
outstandingTransactions<=symbolsPerTransfer;
report "No more pending transactions." severity note;
elsif axiMaster_in.tReady then outstandingTransactions<=outstandingTransactions-1;
end if;
end if;
/* Use synchronous reset for outstandingTransactions to meet timing because it is a huge register set. */
if reset then outstandingTransactions<=symbolsPerTransfer; end if;
end if;
end process;
/* Reset symbolsPerTransfer to new value (prepare for new transfer) after current transfer has been completed. */
process(reset,irq_write) is
/* synthesis translate_off */
variable rv0:RandomPType;
/* synthesis translate_on */
begin
if reset then
/* synthesis translate_off */
rv0.InitSeed(rv0'instance_name);
symbolsPerTransfer<=120x"0" & rv0.RandUnsigned(8);
report "symbols per transfer = 0x" & ieee.numeric_std.to_hstring(rv0.RandUnsigned(axiMaster_out.tData'length)) severity note;
/* synthesis translate_on */
symbolsPerTransfer<=128x"fc";
elsif rising_edge(irq_write) then
if axiMaster_out.tLast then
/* synthesis only. */
/* Testcase 1: number of symbols per transfer becomes 0 after first stream transfer. */
--symbolsPerTransfer<=(others=>'0');
/* Testcase 2: number of symbols per transfer is randomised. */
--uniform(seed0,seed1,rand0);
--symbolsPerTransfer<=120x"0" & to_unsigned(integer(rand0 * 2.0**8),8); --symbolsPerTransfer'length
--report "symbols per transfer = " & ieee.numeric_std.to_hstring(to_unsigned(integer(rand0 * 2.0**8),8)); --axiMaster_out.tData'length));
/* synthesis translate_off */
symbolsPerTransfer<=120x"0" & rv0.RandUnsigned(8);
report "symbols per transfer = 0x" & ieee.numeric_std.to_hstring(rv0.RandUnsigned(axiMaster_out.tData'length)) severity note;
/* synthesis translate_on */
symbolsPerTransfer<=128x"0f"; --128x"ffffffff_ffffffff_ffffffff_ffffffff";
end if;
end if;
end process;
 
-- outstandingTransactions<=128x"fc"; --symbolsPerTransfer;
end architecture rtl;
/axi4_tlm_bfm/trunk/rtl/quartus-synthesis/axi4-stream-bfm-master.vhdl
55,8 → 55,7
-- axiSlave_in:in tAxi4Transactor_m2s;
-- axiSlave_out:buffer tAxi4Transactor_s2m;
symbolsPerTransfer:in t_cnt;
outstandingTransactions:in t_cnt;
lastTransaction:in boolean;
/* Debug ports. */
-- dbg_cnt:out unsigned(9 downto 0);
91,7 → 90,7
when idle=>
if i_trigger then axiTxState<=payload; end if;
when payload=>
if outstandingTransactions<1 then axiTxState<=endOfTx; end if;
if lastTransaction then axiTxState<=endOfTx; end if;
when endOfTx=>
axiTxState<=idle;
when others=>axiTxState<=idle;
103,26 → 102,30
axi_bfmTx_op: process(all) is begin
i_writeResponse<=writeResponse;
i_axiMaster_out.tValid<=false;
i_axiMaster_out<=axiMaster_out;
i_axiMaster_out.tLast<=false;
i_axiMaster_out.tData<=(others=>'Z');
i_writeResponse.trigger<=false;
case next_axiTxState is
when idle=>
i_axiMaster_out.tValid<=false;
i_axiMaster_out.tData<=(others=>'Z');
if i_trigger then
i_axiMaster_out.tData<=writeRequest.message;
i_axiMaster_out.tValid<=true;
end if;
when payload=>
i_axiMaster_out.tData<=writeRequest.message;
i_axiMaster_out.tValid<=true;
when payload | endOfTx =>
if i_trigger then
i_axiMaster_out.tData<=writeRequest.message;
i_axiMaster_out.tValid<=true;
end if;
if axiMaster_in.tReady then
i_writeResponse.trigger<=true;
end if;
if outstandingTransactions<1 then i_axiMaster_out.tLast<=true; end if;
if lastTransaction then i_axiMaster_out.tLast<=true; end if;
when others=> null;
end case;
end process axi_bfmTx_op;
/axi4_tlm_bfm/trunk/rtl/quartus-synthesis/user.vhdl
49,14 → 49,14
 
entity user is port(
/* Comment-out for simulation. */
clk,reset:in std_ulogic;
clk,nReset:in std_ulogic;
/* AXI Master interface */
-- axiMaster_in:in t_axi4StreamTransactor_s2m;
axiMaster_out:buffer t_axi4StreamTransactor_m2s;
axiMaster_out:buffer t_axi4StreamTransactor_m2s
/* Debug ports. */
selTxn:in unsigned(3 downto 0):=x"0"
-- selTxn:in unsigned(3 downto 0):=x"5" -- select PRBS by default.
);
end entity user;
 
66,9 → 66,9
/* Global counters. */
constant maxSymbols:positive:=2048; --maximum number of symbols allowed to be transmitted in a frame. Each symbol's width equals tData's width.
signal symbolsPerTransfer:t_cnt;
signal outstandingTransactions:t_cnt;
signal lastTransaction:boolean;
/* BFM signalling. */
signal readRequest,writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
signal readResponse,writeResponse:t_bfm;
75,7 → 75,7
/* Tester signals. */
/* synthesis translate_off */
signal clk,reset:std_ulogic:='0';
signal clk,nReset:std_ulogic:='0';
attribute period:time; attribute period of clk:signal is 10 ps;
/* synthesis translate_on */
86,6 → 86,8
signal axiMaster_in:t_axi4StreamTransactor_s2m;
signal irq_write:std_ulogic; -- clock gating.
signal selTxn:unsigned(3 downto 0):=4x"0"; -- select PRBS by default.
begin
/* Bus functional models. */
axiMaster: entity tauhop.axiBfmMaster(rtl)
97,15 → 99,14
axiMaster_in=>axiMaster_in,
axiMaster_out=>axiMaster_out,
symbolsPerTransfer=>symbolsPerTransfer,
outstandingTransactions=>outstandingTransactions,
lastTransaction=>lastTransaction,
dbg_axiTxFSM=>dbg_axiTxFSM
);
/* Clocks and reset. */
/* Power-on Reset circuitry. */
por: process(reset,clk) is begin
if reset then i_reset<='1'; porCnt<=(others=>'1');
por: process(nReset,clk) is begin
if not nReset then i_reset<='1'; porCnt<=(others=>'1');
elsif rising_edge(clk) then
i_reset<='0';
116,9 → 117,9
/* synthesis translate_off */
clk<=not clk after clk'period/2;
process is begin
reset<='0'; wait for 1 ps;
reset<='1'; wait for 500 ps;
reset<='0';
nReset<='0'; wait for 1 ps;
nReset<='1'; wait for 500 ps;
nReset<='0';
wait;
end process;
/* synthesis translate_on */
126,7 → 127,7
/* Simulation Tester. */
/* Hardware tester. */
bist: entity work.tester(rtl) port map(
bist: entity work.tester(cdcrv) port map(
clk=>clk, reset=>i_reset,
axiMaster_in=>axiMaster_in,
axiMaster_out=>axiMaster_out,
133,8 → 134,7
readRequest=>readRequest, writeRequest=>writeRequest,
readResponse=>readResponse, writeResponse=>writeResponse,
irq_write=>irq_write,
symbolsPerTransfer=>symbolsPerTransfer,
outstandingTransactions=>outstandingTransactions,
lastTransaction=>lastTransaction,
selTxn=>selTxn
);
end architecture rtl;
/axi4_tlm_bfm/trunk/tester/tester.vhdl
48,7 → 48,6
 
 
entity tester is port(
/* Comment-out for simulation. */
clk,reset:in std_ulogic;
/* AXI Master interface */
56,8 → 55,6
axiMaster_out:in t_axi4StreamTransactor_m2s;
/* BFM signalling. */
-- readRequest,writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
-- readResponse,writeResponse:t_bfm;
readRequest,writeRequest:buffer t_bfm;
readResponse,writeResponse:in t_bfm;
66,13 → 63,11
lastTransaction:out boolean;
/* Debug ports. */
-- dataIn:in t_msg;
selTxn:in unsigned(3 downto 0)
);
end entity tester;
 
architecture rtl of tester is
-- signal reset:std_ulogic:='0';
signal locked:std_ulogic;
signal porCnt:unsigned(3 downto 0);
signal trigger:boolean;
91,7 → 86,6
/* Tester signals. */
/* synthesis translate_off */
-- signal clk,nReset:std_ulogic:='0';
attribute period:time; attribute period of clk:signal is 10 ps;
/* synthesis translate_on */
106,7 → 100,9
signal prbs:t_msg;
/* Coverage-driven randomisation. */
/* synthesis translate_off */
shared variable rv0:covPType;
/* synthesis translate_on */
signal rv:integer;
signal pctCovered:real;
signal isCovered,i_isCovered:boolean;
122,6 → 118,7
*/
/* Interrupt-request generator. */
trigger<=txFSM/=i_txFSM or writeResponse.trigger;
-- trigger<=(txFSM/=i_txFSM and txFSM=transmitting) or writeResponse.trigger; -- fixes bug when multiple transactions occur during endOfTx (this should be illegal).
irq_write<=clk when not reset else '0';
/* SignalTap II embedded logic analyser. Included as part of BiST architecture. */
212,7 → 209,7
wait until falling_edge(clk);
axiMaster_in.tReady<=false;
--wait until falling_edge(clk);
wait until falling_edge(clk);
report "coverage: " & to_string(pctCovered) severity note;
end loop;
269,17 → 266,28
prbs=>prbs
);
sequencer_ns: process(all) is begin
sequencer_ns: process(all) is
variable last:boolean;
begin
txFSM<=i_txFSM;
if reset then txFSM<=idle;
else
case i_txFSM is
when idle=>
if not lastTransaction then txFSM<=transmitting; end if;
last:=false;
when transmitting=>
if axiMaster_out.tLast then
txFSM<=idle;
end if;
--if axiMaster_out.tLast then
-- txFSM<=idle;
--end if;
/* Fixes multiple transactions when axiTxState=endOfTx. Do not allow
txFSM to enter idle until a tReady has been received after the
last transaction.
*/
if lastTransaction then last:=true; end if;
if axiMaster_in.tReady and last then txFSM<=idle; end if;
when others=> null;
end case;
end if;
333,13 → 341,13
end if;
end process sequencer_op;
/* simulation only. */
/* synthesis translate_off */
coverageMonitor: process is
procedure initialise is begin
/* simulation only. */
/* synthesis translate_off */
rv0.deallocate; --destroy rv0 and all bins.
rv0.initSeed(rv0'instance_name);
/* synthesis translate_on */
end procedure initialise;
begin
372,7 → 380,9
i_isCovered<=isCovered;
end if;
end process;
/* synthesis translate_on */
sequencer_regs: process(irq_write) is begin
if falling_edge(irq_write) then
i_txFSM<=txFSM;
/axi4_tlm_bfm/trunk/workspace/synthesis/quartus/axi4-tlm.qsf
52,14 → 52,13
set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
 
set_location_assignment PIN_M23 -to reset
set_location_assignment PIN_M23 -to nReset
set_location_assignment PIN_Y2 -to clk
 
set_global_assignment -name PARTITION_NETLIST_TYPE POST_FIT -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
 
#set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user-hw-tlm-paper.vhdl"
 
 
 
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-types.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-tlm.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/pkg-axi-tlm.vhdl"
69,13 → 68,9
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/prbs-31.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/galois-lfsr.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/tester.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/axi4-interface.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/tester-cdcrv.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user.vhdl"
#set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user-hw-tlm-paper.vhdl"
set_global_assignment -name VHDL_FILE "../../../rtl/quartus-synthesis/user.vhdl"
set_global_assignment -name PARTITION_NETLIST_TYPE POST_FIT -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
 
 
 
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
/axi4_tlm_bfm/trunk/workspace/synthesis/quartus/waves.stp
1,10 → 1,10
<session jtag_chain="USB-Blaster [1-1.1.1.2]" jtag_device="@1: EP3C25/EP4CE22 (0x020F30DD)" sof_file="output_files/axi4-tlm.sof">
<session jtag_chain="USB-Blaster [1-1.1]" jtag_device="@1: EP3C120/EP4CE115 (0x020F70DD)" sof_file="output_files/axi4-tlm.sof">
<display_tree gui_logging_enabled="0">
<display_branch instance="signaltap_megafunction_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
</display_tree>
<instance entity_name="sld_signaltap" is_expanded="true" name="signaltap_megafunction_0" source_file="sld_signaltap.vhd">
<node_ip_info instance_id="0" mfg_id="110" node_id="2" version="6"/>
<signal_set is_expanded="true" name="signal_set: 2014/01/17 17:27:53 #0">
<signal_set is_expanded="true" name="signal_set: 2014/04/18 16:55:24 #0">
<clock name="tester:bist|stp:i_bist_logicAnalyser|acq_clk" polarity="posedge" tap_mode="classic"/>
<config ram_type="AUTO" reserved_data_nodes="128" reserved_trigger_nodes="1" sample_depth="4096" trigger_in_enable="yes" trigger_in_node="tester:bist|stp:i_bist_logicAnalyser|trigger_in" trigger_in_tap_mode="classic" trigger_out_enable="no" trigger_out_node=""/>
<top_entity/>
13,7 → 13,7
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_trigger_in[0]" name="acq_trigger_in[0]" tap_mode="classic"/>
</trigger_input_vec>
<data_input_vec>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[0]" name="acq_data_in[0]" tap_mode="classic"/>
<wire alias="lastTransaction" name="acq_data_in[0]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[1]" name="acq_data_in[1]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[2]" name="acq_data_in[2]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[3]" name="acq_data_in[3]" tap_mode="classic"/>
117,7 → 117,7
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[101]" name="acq_data_in[101]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[102]" name="acq_data_in[102]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[103]" name="acq_data_in[103]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[104]" name="acq_data_in[104]" tap_mode="classic"/>
<wire alias="locked" name="acq_data_in[104]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[105]" name="acq_data_in[105]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[106]" name="acq_data_in[106]" tap_mode="classic"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[107]" name="acq_data_in[107]" tap_mode="classic"/>
146,8 → 146,8
</signal_vec>
<presentation>
<data_view>
<bus alias="symbolsPerTransfer" mnemonics="" name="acq_data_in[0..7]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[0]"/>
<net name="acq_data_in[0]"/>
<bus mnemonics="" name="acq_data_in[1..17]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[1]"/>
<net name="acq_data_in[2]"/>
<net name="acq_data_in[3]"/>
155,8 → 155,6
<net name="acq_data_in[5]"/>
<net name="acq_data_in[6]"/>
<net name="acq_data_in[7]"/>
</bus>
<bus alias="outstandingTransactions" mnemonics="" name="acq_data_in[8..15]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[8]"/>
<net name="acq_data_in[9]"/>
<net name="acq_data_in[10]"/>
165,8 → 163,6
<net name="acq_data_in[13]"/>
<net name="acq_data_in[14]"/>
<net name="acq_data_in[15]"/>
</bus>
<bus alias="axiTxFSM" mnemonics="" name="acq_data_in[16..17]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[16]"/>
<net name="acq_data_in[17]"/>
</bus>
243,13 → 239,11
<net name="acq_data_in[85]"/>
<net name="acq_data_in[86]"/>
</bus>
<bus alias="axiMaster_out.tStrb" mnemonics="" name="acq_data_in[87..90]" order="lsb_to_msb" state="collapse" type="unknown">
<bus mnemonics="" name="acq_data_in[87..94]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[87]"/>
<net name="acq_data_in[88]"/>
<net name="acq_data_in[89]"/>
<net name="acq_data_in[90]"/>
</bus>
<bus alias="axiMaster_out.tKeep" mnemonics="" name="acq_data_in[91..94]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[91]"/>
<net name="acq_data_in[92]"/>
<net name="acq_data_in[93]"/>
258,16 → 252,18
<net name="acq_data_in[95]"/>
<net name="acq_data_in[96]"/>
<net name="acq_data_in[97]"/>
<bus alias="porCnt" mnemonics="" name="acq_data_in[98..101]" order="lsb_to_msb" state="collapse" type="unknown">
<bus alias="axiTxFSM" mnemonics="" name="acq_data_in[98..99]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[98]"/>
<net name="acq_data_in[99]"/>
</bus>
<bus alias="porCnt" mnemonics="" name="acq_data_in[100..103]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[100]"/>
<net name="acq_data_in[101]"/>
</bus>
<bus mnemonics="" name="acq_data_in[102..127]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[102]"/>
<net name="acq_data_in[103]"/>
<net name="acq_data_in[104]"/>
</bus>
<net name="acq_data_in[104]"/>
<bus mnemonics="" name="acq_data_in[105..127]" order="lsb_to_msb" state="collapse" type="unknown">
<net name="acq_data_in[105]"/>
<net name="acq_data_in[106]"/>
<net name="acq_data_in[107]"/>
294,8 → 290,8
</bus>
</data_view>
<setup_view>
<bus alias="symbolsPerTransfer" name="acq_data_in[0..7]" state="collapse" type="unknown">
<net name="acq_data_in[0]"/>
<net name="acq_data_in[0]"/>
<bus name="acq_data_in[1..17]" state="collapse" type="unknown">
<net name="acq_data_in[1]"/>
<net name="acq_data_in[2]"/>
<net name="acq_data_in[3]"/>
303,8 → 299,6
<net name="acq_data_in[5]"/>
<net name="acq_data_in[6]"/>
<net name="acq_data_in[7]"/>
</bus>
<bus alias="outstandingTransactions" name="acq_data_in[8..15]" state="collapse" type="unknown">
<net name="acq_data_in[8]"/>
<net name="acq_data_in[9]"/>
<net name="acq_data_in[10]"/>
313,8 → 307,6
<net name="acq_data_in[13]"/>
<net name="acq_data_in[14]"/>
<net name="acq_data_in[15]"/>
</bus>
<bus alias="axiTxFSM" name="acq_data_in[16..17]" state="collapse" type="unknown">
<net name="acq_data_in[16]"/>
<net name="acq_data_in[17]"/>
</bus>
391,13 → 383,11
<net name="acq_data_in[85]"/>
<net name="acq_data_in[86]"/>
</bus>
<bus alias="axiMaster_out.tStrb" name="acq_data_in[87..90]" state="collapse" type="unknown">
<bus name="acq_data_in[87..94]" state="collapse" type="unknown">
<net name="acq_data_in[87]"/>
<net name="acq_data_in[88]"/>
<net name="acq_data_in[89]"/>
<net name="acq_data_in[90]"/>
</bus>
<bus alias="axiMaster_out.tKeep" name="acq_data_in[91..94]" state="collapse" type="unknown">
<net name="acq_data_in[91]"/>
<net name="acq_data_in[92]"/>
<net name="acq_data_in[93]"/>
406,16 → 396,18
<net name="acq_data_in[95]"/>
<net name="acq_data_in[96]"/>
<net name="acq_data_in[97]"/>
<bus alias="porCnt" name="acq_data_in[98..101]" state="collapse" type="unknown">
<bus alias="axiTxFSM" name="acq_data_in[98..99]" state="collapse" type="unknown">
<net name="acq_data_in[98]"/>
<net name="acq_data_in[99]"/>
</bus>
<bus alias="porCnt" name="acq_data_in[100..103]" state="collapse" type="unknown">
<net name="acq_data_in[100]"/>
<net name="acq_data_in[101]"/>
</bus>
<bus name="acq_data_in[102..127]" state="collapse" type="unknown">
<net name="acq_data_in[102]"/>
<net name="acq_data_in[103]"/>
<net name="acq_data_in[104]"/>
</bus>
<net name="acq_data_in[104]"/>
<bus name="acq_data_in[105..127]" state="collapse" type="unknown">
<net name="acq_data_in[105]"/>
<net name="acq_data_in[106]"/>
<net name="acq_data_in[107]"/>
443,7 → 435,7
<net name="acq_trigger_in[0]"/>
</setup_view>
</presentation>
<trigger CRC="1EAEA6CB" gap_record="true" is_expanded="true" name="trigger: 2014/01/17 17:27:53 #1" record_data_gap="true" storage_mode="off" storage_qualifier_port_is_pin="false" storage_qualifier_port_tap_mode="classic">
<trigger CRC="1EAEA6CB" gap_record="true" is_expanded="true" name="trigger: 2014/04/18 16:55:24 #1" record_data_gap="true" storage_mode="off" storage_qualifier_port_is_pin="false" storage_qualifier_port_tap_mode="classic">
<power_up_trigger/>
<events>
<level type="basic"><power_up>
473,7 → 465,7
</storage_qualifier_events>
</trigger>
</signal_set>
<signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/01/17 17:27:53 #0">
<signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/04/18 16:55:24 #0">
<clock name="tester:bist|stp:i_bist_logicAnalyser|acq_clk" polarity="posedge" tap_mode="classic"/>
<config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="4096" trigger_in_enable="yes" trigger_in_node="tester:bist|stp:i_bist_logicAnalyser|trigger_in" trigger_in_tap_mode="classic" trigger_out_enable="no"/>
<top_entity/>
482,7 → 474,7
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_trigger_in[0]" name="acq_trigger_in[0]" tap_mode="classic" type="unknown"/>
</trigger_input_vec>
<data_input_vec>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[0]" name="acq_data_in[0]" tap_mode="classic" type="unknown"/>
<wire alias="lastTransaction" name="acq_data_in[0]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[1]" name="acq_data_in[1]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[2]" name="acq_data_in[2]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[3]" name="acq_data_in[3]" tap_mode="classic" type="unknown"/>
586,7 → 578,7
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[101]" name="acq_data_in[101]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[102]" name="acq_data_in[102]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[103]" name="acq_data_in[103]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[104]" name="acq_data_in[104]" tap_mode="classic" type="unknown"/>
<wire alias="locked" name="acq_data_in[104]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[105]" name="acq_data_in[105]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[106]" name="acq_data_in[106]" tap_mode="classic" type="unknown"/>
<wire alias="tester:bist|stp:i_bist_logicAnalyser|acq_data_in[107]" name="acq_data_in[107]" tap_mode="classic" type="unknown"/>
615,17 → 607,17
</signal_vec>
<presentation>
<data_view>
<bus alias="symbolsPerTransfer" is_signal_inverted="no" link="all" name="acq_data_in[0..7]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[0]"/>
<net is_signal_inverted="no" name="acq_data_in[0]"/>
<bus alias="selTxn" is_signal_inverted="no" link="all" name="acq_data_in[1..4]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[1]"/>
<net is_signal_inverted="no" name="acq_data_in[2]"/>
<net is_signal_inverted="no" name="acq_data_in[3]"/>
<net is_signal_inverted="no" name="acq_data_in[4]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="acq_data_in[5..17]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[5]"/>
<net is_signal_inverted="no" name="acq_data_in[6]"/>
<net is_signal_inverted="no" name="acq_data_in[7]"/>
</bus>
<bus alias="outstandingTransactions" is_signal_inverted="no" link="all" name="acq_data_in[8..15]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[8]"/>
<net is_signal_inverted="no" name="acq_data_in[9]"/>
<net is_signal_inverted="no" name="acq_data_in[10]"/>
634,8 → 626,6
<net is_signal_inverted="no" name="acq_data_in[13]"/>
<net is_signal_inverted="no" name="acq_data_in[14]"/>
<net is_signal_inverted="no" name="acq_data_in[15]"/>
</bus>
<bus alias="axiTxFSM" is_signal_inverted="no" link="all" name="acq_data_in[16..17]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[16]"/>
<net is_signal_inverted="no" name="acq_data_in[17]"/>
</bus>
712,13 → 702,11
<net is_signal_inverted="no" name="acq_data_in[85]"/>
<net is_signal_inverted="no" name="acq_data_in[86]"/>
</bus>
<bus alias="axiMaster_out.tStrb" is_signal_inverted="no" link="all" name="acq_data_in[87..90]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<bus is_signal_inverted="no" link="all" name="acq_data_in[87..94]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[87]"/>
<net is_signal_inverted="no" name="acq_data_in[88]"/>
<net is_signal_inverted="no" name="acq_data_in[89]"/>
<net is_signal_inverted="no" name="acq_data_in[90]"/>
</bus>
<bus alias="axiMaster_out.tKeep" is_signal_inverted="no" link="all" name="acq_data_in[91..94]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[91]"/>
<net is_signal_inverted="no" name="acq_data_in[92]"/>
<net is_signal_inverted="no" name="acq_data_in[93]"/>
727,16 → 715,18
<net is_signal_inverted="no" name="acq_data_in[95]"/>
<net is_signal_inverted="no" name="acq_data_in[96]"/>
<net is_signal_inverted="no" name="acq_data_in[97]"/>
<bus alias="porCnt" is_signal_inverted="no" link="all" name="acq_data_in[98..101]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<bus alias="axiTxFSM" is_signal_inverted="no" link="all" name="acq_data_in[98..99]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[98]"/>
<net is_signal_inverted="no" name="acq_data_in[99]"/>
</bus>
<bus alias="porCnt" is_signal_inverted="no" link="all" name="acq_data_in[100..103]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[100]"/>
<net is_signal_inverted="no" name="acq_data_in[101]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="acq_data_in[102..127]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[102]"/>
<net is_signal_inverted="no" name="acq_data_in[103]"/>
<net is_signal_inverted="no" name="acq_data_in[104]"/>
</bus>
<net is_signal_inverted="no" name="acq_data_in[104]"/>
<bus is_signal_inverted="no" link="all" name="acq_data_in[105..127]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[105]"/>
<net is_signal_inverted="no" name="acq_data_in[106]"/>
<net is_signal_inverted="no" name="acq_data_in[107]"/>
763,17 → 753,17
</bus>
</data_view>
<setup_view>
<bus alias="symbolsPerTransfer" is_signal_inverted="no" link="all" name="acq_data_in[0..7]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[0]"/>
<net is_signal_inverted="no" name="acq_data_in[0]"/>
<bus alias="selTxn" is_signal_inverted="no" link="all" name="acq_data_in[1..4]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[1]"/>
<net is_signal_inverted="no" name="acq_data_in[2]"/>
<net is_signal_inverted="no" name="acq_data_in[3]"/>
<net is_signal_inverted="no" name="acq_data_in[4]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="acq_data_in[5..17]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[5]"/>
<net is_signal_inverted="no" name="acq_data_in[6]"/>
<net is_signal_inverted="no" name="acq_data_in[7]"/>
</bus>
<bus alias="outstandingTransactions" is_signal_inverted="no" link="all" name="acq_data_in[8..15]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[8]"/>
<net is_signal_inverted="no" name="acq_data_in[9]"/>
<net is_signal_inverted="no" name="acq_data_in[10]"/>
782,8 → 772,6
<net is_signal_inverted="no" name="acq_data_in[13]"/>
<net is_signal_inverted="no" name="acq_data_in[14]"/>
<net is_signal_inverted="no" name="acq_data_in[15]"/>
</bus>
<bus alias="axiTxFSM" is_signal_inverted="no" link="all" name="acq_data_in[16..17]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[16]"/>
<net is_signal_inverted="no" name="acq_data_in[17]"/>
</bus>
860,13 → 848,11
<net is_signal_inverted="no" name="acq_data_in[85]"/>
<net is_signal_inverted="no" name="acq_data_in[86]"/>
</bus>
<bus alias="axiMaster_out.tStrb" is_signal_inverted="no" link="all" name="acq_data_in[87..90]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<bus is_signal_inverted="no" link="all" name="acq_data_in[87..94]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[87]"/>
<net is_signal_inverted="no" name="acq_data_in[88]"/>
<net is_signal_inverted="no" name="acq_data_in[89]"/>
<net is_signal_inverted="no" name="acq_data_in[90]"/>
</bus>
<bus alias="axiMaster_out.tKeep" is_signal_inverted="no" link="all" name="acq_data_in[91..94]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[91]"/>
<net is_signal_inverted="no" name="acq_data_in[92]"/>
<net is_signal_inverted="no" name="acq_data_in[93]"/>
875,16 → 861,18
<net is_signal_inverted="no" name="acq_data_in[95]"/>
<net is_signal_inverted="no" name="acq_data_in[96]"/>
<net is_signal_inverted="no" name="acq_data_in[97]"/>
<bus alias="porCnt" is_signal_inverted="no" link="all" name="acq_data_in[98..101]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<bus alias="axiTxFSM" is_signal_inverted="no" link="all" name="acq_data_in[98..99]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[98]"/>
<net is_signal_inverted="no" name="acq_data_in[99]"/>
</bus>
<bus alias="porCnt" is_signal_inverted="no" link="all" name="acq_data_in[100..103]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[100]"/>
<net is_signal_inverted="no" name="acq_data_in[101]"/>
</bus>
<bus is_signal_inverted="no" link="all" name="acq_data_in[102..127]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[102]"/>
<net is_signal_inverted="no" name="acq_data_in[103]"/>
<net is_signal_inverted="no" name="acq_data_in[104]"/>
</bus>
<net is_signal_inverted="no" name="acq_data_in[104]"/>
<bus is_signal_inverted="no" link="all" name="acq_data_in[105..127]" order="lsb_to_msb" radix="hex" state="collapse" type="unknown">
<net is_signal_inverted="no" name="acq_data_in[105]"/>
<net is_signal_inverted="no" name="acq_data_in[106]"/>
<net is_signal_inverted="no" name="acq_data_in[107]"/>
912,7 → 900,7
<net is_signal_inverted="no" name="acq_trigger_in[0]"/>
</setup_view>
</presentation>
<trigger CRC="1EAEA6CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/01/17 17:27:53 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="falling edge" trigger_out="active high" trigger_type="circular">
<trigger CRC="1EAEA6CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/04/18 16:55:24 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">
940,10 → 928,6
<op_node/>
</storage_qualifier_level>
</storage_qualifier>
<log>
<data global_temp="1" name="log: 2014/01/17 17:32:31 #0" power_up_mode="false" sample_depth="0" trigger_position="-1"/>
<extradata/>
</log>
<storage_qualifier_events>
<storage_qualifier_level>
<power_up>
963,10 → 947,20
<transitional><pwr_up_transitional/>
</transitional>
</storage_qualifier_events>
<log>
<data global_temp="1" name="log: 2014/04/18 22:55:22 #0" power_up_mode="false" sample_depth="4096" trigger_position="512">01010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000000010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000001010101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000000001010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000010100000000000000101010100001110011010100000000000000001000011100110101000000000000000000000000100000001000000000000000000000000101000000000000000000101000011100110101000000000000000010000111001101010000000000000000000000001000000010000000000000000000000001010000000000000010101010000111001101010000000000000000100001110011010100000000000000000000000010000000100000000000000000000000</data>
<extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
</signal_set>
<position_info>
<single attribute="active tab" value="0"/>
<single attribute="data vertical scroll position" value="0"/>
<single attribute="data horizontal scroll position" value="4"/>
<single attribute="zoom level numerator" value="2"/>
<single attribute="zoom level denominator" value="1"/>
<single attribute="zoom offset numerator" value="63488"/>
<single attribute="zoom offset denominator" value="1"/>
</position_info>
</instance>
<mnemonics/>
979,7 → 973,7
<single attribute="hierarchy widget visible" value="1"/>
<single attribute="instance widget visible" value="1"/>
<single attribute="jtag widget visible" value="1"/>
<multi attribute="column width" size="23" value="34,137,20,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>
<multi attribute="column width" size="23" value="34,120,161,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,157"/>
<multi attribute="frame size" size="2" value="1366,715"/>
<multi attribute="jtag widget size" size="2" value="398,145"/>
</global_info>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.