OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /axi4_tlm_bfm
    from Rev 39 to Rev 40
    Reverse comparison

Rev 39 → Rev 40

/trunk/tester/tester.vhdl
299,7 → 299,13
begin
/* Asynchronous reset. */
if falling_edge(irq_write) then
if reset then rv<=rv0.randCovPoint; rv0.iCover(rv);
elsif falling_edge(irq_write) then
if reset then
rv<=rv0.randCovPoint;
rv0.iCover(rv);
end if;
case txFSM is
when transmitting=>
if trigger and not isCovered then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.