OpenCores
URL https://opencores.org/ocsvn/cordic/cordic/trunk

Subversion Repositories cordic

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

cordic/web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: cordic/web_uploads/svn_checkin.sh =================================================================== --- cordic/web_uploads/svn_checkin.sh (revision 13) +++ cordic/web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
cordic/web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: cordic/web_uploads/oc_checkin.sh =================================================================== --- cordic/web_uploads/oc_checkin.sh (revision 13) +++ cordic/web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: cordic/web_uploads/cordic.pdf =================================================================== --- cordic/web_uploads/cordic.pdf (nonexistent) +++ cordic/web_uploads/cordic.pdf (revision 14) @@ -0,0 +1,2065 @@ +%PDF-1.3 +% +88 0 obj +<< +/Linearized 1 +/O 90 +/H [ 872 528 ] +/L 151993 +/E 52466 +/N 17 +/T 150115 +>> +endobj + xref +88 16 +0000000016 00000 n +0000000668 00000 n +0000001400 00000 n +0000001554 00000 n +0000001701 00000 n +0000002192 00000 n +0000002232 00000 n +0000002456 00000 n +0000002833 00000 n +0000003060 00000 n +0000003515 00000 n +0000003654 00000 n +0000030005 00000 n +0000032436 00000 n +0000000872 00000 n +0000001378 00000 n +trailer +<< +/Size 104 +/Info 74 0 R +/Root 89 0 R +/Prev 150105 +/ID[<9fd97f81d1c9d082257520832d2d6273><9fd97f81d1c9d082257520832d2d6273>] +>> +startxref +0 +%%EOF + +89 0 obj +<< +/Type /Catalog +/Pages 76 0 R +/OpenAction [ 90 0 R /XYZ null null null ] +/PageMode /UseNone +/PageLabels << /Nums [ -2 << /St -1 /S /r >> -2 << /St -1 /S /D >> ] >> +/JT 87 0 R +>> +endobj +102 0 obj +<< /S 432 /Filter /FlateDecode /Length 103 0 R >> +stream +Hb``` }``BPC[2Yۙ#820[300Fp]`aOį/ :$.9%;q4]~<ˍ&NkN~.Ƣw䖥t[po\ܥS[E_s|Z늪vlJu'd:K_YmmےRi}~-Eo?Q2OZw],"6X~ڻw L +@_tt00 +v(%XZZ( +:A D9HBR@::&RR2l f`Z C@( v0;v3gHN;[Ϥɴq"d%9f2ll(gXg/ʸq'L +endstream +endobj +103 0 obj +420 +endobj +90 0 obj +<< +/Type /Page +/Parent 75 0 R +/Resources 91 0 R +/Contents 95 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +91 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 92 0 R /TT4 97 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R >> +>> +endobj +92 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 121 +/Widths [ 250 0 0 0 0 0 0 0 0 0 0 0 250 0 250 278 500 500 500 500 500 500 500 +0 500 500 333 0 0 0 0 0 0 722 0 722 722 667 611 778 778 389 500 +0 667 944 722 778 611 0 722 556 667 722 722 1000 0 0 0 0 0 0 0 0 +0 500 556 444 556 444 333 500 556 278 0 556 278 833 556 500 556 +0 444 389 333 556 500 0 500 500 ] +/Encoding /WinAnsiEncoding +/BaseFont /ANJLEC+TimesNewRomanPS-BoldMT +/FontDescriptor 94 0 R +>> +endobj +93 0 obj +[ +/ICCBased 100 0 R +] +endobj +94 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 34 +/FontBBox [ -558 -307 2034 1026 ] +/FontName /ANJLEC+TimesNewRomanPS-BoldMT +/ItalicAngle 0 +/StemV 133 +/FontFile2 99 0 R +>> +endobj +95 0 obj +<< /Length 303 /Filter /FlateDecode >> +stream +HDN0EY&_ +(e\ +*I>)cs̋e3kp PbAY&/Gn F׳'(8V]쫘a95—vZ5*\}0<] +}iv\c[Ou.N)\D;E>!<²sUXÇg>'G#-j(OE4|Gv羹eˡR +7SHz_T3 +e{xΛ;d~q=٦7˄[dO)hZV@l% q +endstream +endobj +96 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 98 +/FontBBox [ -498 -307 1120 1023 ] +/FontName /ANJLHP+TimesNewRomanPS-ItalicMT +/ItalicAngle -15 +/StemV 0 +/FontFile2 101 0 R +>> +endobj +97 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 121 +/Widths [ 250 0 0 0 0 0 0 0 0 0 0 0 0 0 250 0 0 0 0 0 0 0 0 0 0 0 333 0 0 0 +0 0 920 611 611 0 722 0 0 0 722 0 0 667 0 0 0 0 611 0 611 500 556 +0 0 0 611 556 556 0 0 0 0 0 0 500 500 444 500 444 278 500 500 278 +278 444 278 0 500 500 500 0 389 389 278 500 444 0 444 444 ] +/Encoding /WinAnsiEncoding +/BaseFont /ANJLHP+TimesNewRomanPS-ItalicMT +/FontDescriptor 96 0 R +>> +endobj +98 0 obj +<< +/Type /ExtGState +/SA false +/SM 0.02 +/OP false +/op false +/OPM 1 +/BG2 /Default +/UCR2 /Default +/TR2 /Default +>> +endobj +99 0 obj +<< /Filter /FlateDecode /Length 26260 /Length1 43080 >> +stream +H\U PνE D,#(>Pӈڐj4XqZZ6WQGQ(vbba4I3}{wι ^X13udf^M.*lU Tڐ=Ȓ{7i ǼZ0 +İģyY9g#~ 3y7·yH^AQq4Yofg1_PYŅ^6^*ȭ T>c>F648~2 nR F 5Q-c2VoGiUKo]G6Fk^WؿFZ +|Sx֒h,pT<ߴoZԖh[n9[g˟ S>-Di^ =YCad|v/iu>Yٯrh4]K>^x^D%))jEmY/gQ +]U˩Z :1W&#O +!\]>9\avKp~$# +&+uHn<|*TAjf)bE$J#BzJL|n,P}X`{ |Wk\41b09Y[,s09F 9[9 ,8p;T yp|*`yg&ْIۗJh5mdBK%]tOQ"A gD1e弸*n.r|GJ/!aFl4d5BMQjڮvZjPڴ鰩i5ǙWd>fiݙO}F(#-'DBl_h@2Lq2yW%awo.VN]6U#Έ,qBl+:: q[E5k#z\whn7(8W(..q-aFWS109wU\A߉Ngsi܃7rjިf&pqWC`eulƻ8**+2YÂ?^[3ŰfX}W[8TʀwU0܋lzޤ&Q䏓ܽ9媕5s@2>Wj] PljRTڭꜩ7jp[~5,ͱ3ׇqDr$0d~f 8# +s1Le+%XToy;;}qzN'>[}1}ڍ+[joEE>LH]+:ke27=t8/S{~ +UeBo1G3i cː*m6[! +׿_L>{EѳGxkp[.;u޿+>mڴla6)C +BÚiqe:0krrTܚ YXtZx):NK[kMkN?MKM42"-yn<^gN8q{ >`q-Nʴ8IJv6g513*{=[5½0WËA9;ZgS:ricNAAzGuVslB# eKʣy,$tQ7(A ("4PcKLZ.Ӧ2i?0c-iGη.% +:fwι) [Rma !T+Z# lБ7ৎxetZJ{ +a:kLbTkbO`4STkbXsH܌`>5%> *q +F=ķ cͭy~rf[ZX{]{lԚіYV"g'd: +ƆMpn5$#+GFa:$jLgCYVndQ7U-o 0n\ψ̓$`wV(d2E=aPnj[gF"@13nؽFok^wotՑ<+!6IUelOp?ES3R>dtFAJT }Od4Pb_}.:e7@ X{DZF #$^ZA~m- pl#͒Lze|0c.tQÆO +qg896~q>#qcVW?ǜ>KZ;n` +511nÒ{)(f\=Hpr{ǁFuK1yҍ5`~07CÝ,Dp,f~86SLoxœobθ-xZzw">[)yRR!K9|sx M2'j +2ckПߤ"jTi goC[ +ygpFz:M= I[Az ,P/Ӑ:$P$M;m_Np۩2`ƒjoȗӴ!!:Cip%@7$vK5xOD*Wrq*@\7az7^% ׇ\£QKB|C. |F^{ˑo;&d,h`Y./( 3?W:_H9h[E0߽W'W"1"r0eYD i}_?Xs"7q<8Fb͍ba&h-CN3yϐ9/W݀zڠ< E)唇ZqT݋` 绂%70 }=RO3Eȏ;)_!x<7 )N|z.\oxptwjKeo~{QN_~BJAl)_nQ)#P +٤ +5f_D;T8챇upxf <؏*/QpuaS>ONXg ^]ɾ矒oLnq x +^W10' !Gt8%OzH=I' yhUG'! ?y঺8JB_|,a5pٵ?_hͥr߄a}I~ v%efhK?-Z1=n{ϝ &Ps7g[7zw C"hUCy.-VNrEgV"PAJB%Ƣy1:E\Dk ʔ_v1s| \ ti埒WVݦ{']`6G*W +Xmpӭd~ #!"_o@gA7|4 |_ĂOJvX9,/&BIKz gWwVX}C\& __O51Lg1,E GJ:5=@7>Wu1m>LnT2JSQYF xo+Y@(R?֝8ťV +O +0sXC&gzuʒW`+jS:{װIV~WKtV+V^)E(_-ghNoNQnv' KtifSQ\=@ HF˰N"-@ + -[x9k||Evx`4[ `mc"V@ 0 +~^qn`pGVd _N3h]OS$ES,SԤ?UZh/3߲T@QTi=<=M<,{%' k vHLbeܙ/d8~('ypHO 'F㼿jjۉzJ]VFy+O%x5o^pxۼi/ymo/xޜw;۝sOGݓ-6wڝqwݢvFKQ,j`$ +h˯[r]r MmpBzR9G*1UB ?6p`=3 aŒhOYw8fx=Y!!z鱇Bo~o~ʺQ] M[6I[!pLYlP|YDlw'FU|`0,fέtu !L-Ό +9cہ:Pu 4JWr].S oRӎN;]V5Q[{Z|B[mkpu6ved*{,^,{EF'/RIq<~e͝}7d\BFM¨풰A86vF/=IhŮƟb4A㞠 +%bY:3irsx'`0  +sx(ޮyZCjWֆ+}H +"=Z-212S&J:B&60DSXK4A&Iv A.,E +L&k!*y$пgHTD#G:Ւ* 4-(d4Ð_e49\! S}Xk'QLTPd* !Ɇw!ȆShIbL+&'&kB.PKr +7,K.=:-ܑ_1R\TQ>q6q(VkgW3Oɠxۄ])2E6lW8٢u'{:tbw4(zw%ϘHV4Tc O +bKd?6#D/I|I-Q/3Jmm9銮D[ vQ*R Bpcp'm"ȣi\RS uQ +uVEG(.٣j9Oowvf023*Ku/1EǑݎ140=t1]p +m +CEWvP`A7e Nmn4Ah[pfᄐA| +^%T eI4:Q+U"kd`+SSU)}e M( R.Jl 뻳R4+j<DsH4>x׾, B%=A+tȞxٌi{v'<L}ƮSf/Ueg?Jd[Xg*:t ң%0F#8V`E10:D LJAù91о($;!) + +pPf a8iP6 +`9W:c\9_"޵)+/ė8)ov[G|ClaNGF=aOd칩1kdbg +1>2򌆳(Zd"G@{!PF72$%R("#a;3D + +%NZ)JQ[KaS*yJyү|l,<0U n&I6,bb7f _FWƕy"=+mv& +IeJatqbn̊"5 +wBR«pXPb݂mX%ã՞rOloXAu2z`'+NnnEĩcVb!s +B +~AkUrd +:f|u,'WH`iy ]][@_k󷷶ԇ2GAPk>.5pX$ +ơ?5]P*kE[hM{U0$cL)S.?DFFH$HQ^\o(pWHWhVY4`xĖ 7K~:4: 3!;1 vȶ"ڡ[Y "|]·U_lF]bbKR3v$ݽ~$o+LzM {Jr?ᏤcKP\Z-f"ݐfa@҉--0!^88kز1 =|zENApQ^gQujX[*uL6kn8Pn%6S' ,GUM{`OIό4lS$ +i(tg-,v,fY@]rO|goF:%vWUuJ6oE֠&;~vd8}^zG?muZ=տ-dT-[.C  +X>o'3$"8bTE‹@LdNS\Eجc$_+3VL]VI XZ[L>Z/}s׼´ٺǾɫF$fYԂ}-$kNӟҏ8V{Śb +nz|L͕T+0|%kwC#(}ua~t;~oeǤWi?6gYi T2pQ."jc?^vѻXE}J*_M7d_QBc/ *nT܌gHֳ`[RѤjmO\B1K,/% +˫j`8ݝ>6$8-GPfbքlI +u*[ +1 ʏ5ۊ etȱg}OO><7WK +(ϋL懅\u$pӈgт~0!C*woKq5\jWg/`0_5f8i Eʭm׽;~5Ͽ59w;osnڅ0˟,~=p-$h΅("^N:hl]ᅠϓ ؁_T""PA^ (m0aǗ!G+6v$8.t }&i1lb G2#"@?̏0KS|Abz#MV-8)`-ܠDI᪹ﲾi-/dR|lTᚬ L"2~^])9IMJyJPIIvĆoa8:mz&܄D+kHtmar_if+fy/2عPA@㌟į[|= d2Q69N-sC|Ki/TD-mGc&iUu.9H}'~8>aS ?N1¿6&B2򣻶0&q, +>ϰubyz+\"k{o}]1zwλN=n? +}eANm  +PDZDynDC$p~ܶ80k^WiXgq֊0[26ls$2|/J0U-hK#%diE'1;B*8ո "{ gح"Fഩ_\D8U nG0[_}u*3l RoIEqϏ`:n;(RQ-7zw6q;8۱sw}O'NrKH4Q ɨCIC; +€0j2(QAv-*BEUҡmV2iZ*M˘T;Dž1:ϧ{y`|[whJG.GWQr HJ F"뵣jzo{YY!K^EXi(:,g+~ ty\˩fH)$>h[ +IR/T:I̽3!1,F +$5C5!!$ MT4 +e +%0G: ڔw`TQf@T5V;C};(Oq\)sXNXF̋;ʻ}C'ؓMo?ߝܭ~>é @ʐ +v5O^߳M3nڛ63{{2Ù)5F]ꍐp4KA% & •gw] ++jPk4H{‘bo2hO|:PU5+5VVCV+w;N$z*ht`%;iGrm3.HEqax_K%AYͭ:5ʛ&h/{(Gڪw |ٕ1Kp ܪ50>(>qH.;GbPO>&8-w.EP3毬BAT>^Z~1uLkۆFx$7Gݨw+ eA1ɳwqe'"S4EQ2J~V3t,š +K~yV`XKd{|ut<}&MҰ|LR^QDu7E &I#0n֡R]Bhsà=9cyGu(_@®%O. {hv9ʶ<(|:xbh1*-!'ʃ2_#sޅ> +-gق +JsBqA 4q/{st*b9`5 'KXQW,!EuɒOQ>#1pqHyCɍrW80 XLZt+FW>a&0V!ц{N`[:$]Ҧ=$֞as Ja{c3"N4N +k1rM'e%8h9q%/|:o=7X7r#XҎ-$+x׊p7;WQh >凟;{WW܀/}K{z`Oul~h6N&O${@.SJ15I-jڔfצ%SE~C +I9cA]קTn_M[0ssP!󅹡h/.A H'wg}Hlk6MnC,&H\v|t~@33V . +9vTuʮU6qjr\C+,*}!G[n?y?.ئ;sƏ{ؾu_bM-P.+L +doQ%(PYCGʔda-Z{R?mP(lQ{kYvL^R?$ƳٻR)z?I?:Y/|!z`9Ϭ-0M4g=ՙspB5w!!XSq P`%a%YfDj1:i=:Zp7V#0Jfd(YYQ a,s.e|ԇ .[6\/!w/By U+Q.2=Q`\mea8Ef068>̎c;_jra_hQGKtN-5i͖6_t4b?γ%/dҽr˦ˬ7*v[E_@PM*ݭ>1wjn']kg!kX4Fί̜zYHp ubwAv J_fw_4LnJ{Iul]M]^X<H!VU:JtCd#''3L]׻˓x2$Ns/0G˾ˮ~y_'Ż^P('}ZL +LсBVPDNiydC䙩WS6.i(hN|+x`ĄsMBĹ! +B&JF\(n" eNd1Ty %;Rp#HyO`rѧ`Oo Ȫ~BW {֥,rrYjy ]WWy'q֟صz;N**W*㕙83}3_[Zĉ`Ϳ`5Cwy_1T@Aտ_LQ~V?ӝipXSׁ8ݺRnH&B$7P&dcXG +fh@G +C?hf%M<V'tDŽu.-F̈eQ3%m@5Mס=Vb|(ͦIC xS,:yl 'lP+l +fgJ׳S6.pj +ds_]"] +3+B"պQ!f&Rh:xc7,D͗|6iez@ +_k"Z#K6+z0Ӱ#l#͹ +}ӷG^XMdQlWW.jџ=Go{]${ȉٷ{GGrjicÀpX&FbY]jykW+ +6Wk +2!2eb 0y%Og*X)z2كV XxSa$83N2j`NÕ[0r1_'ފ_ oD)6EReCaEl^xUX,$Z˸vUsoOZPKzhn ~R7UԽ)o,#,^-T]X+7Ol׋5b=lة^~=;, +ɠko܍i4v޹ n~|`]SϺ7zslq1bP*]fr +6ҬE(k ?xf /*SeAIʊGG*a/4q=XUK9(N*N#uQNC6նm +N?|}lǟ|绳r/7Ǯs@x Č[Fn@8HAhWh5 + +R*X&&uVэiZB7i~gA7isg} +@lVPf-蚵¼d\Q! L29,{›I`^i5%E($KW< +Y&7 W;$P8*g«#2u9t5co$H"Gɑ(XƃxMp&HwFMK3iY-j);R|h6\[53L$]hTq*vKXN&/|̑B%.hFSpԛnYCEkA>: +~&奮#,_5uS=; f@ l|{bc?N_t Z^|s)){O"جb;WqE$*{|-dӇqs:0)Ѝ%I@ # 98ɍəN8MlR-)x5.H0kM1 s .`&PPZM' ŵ$q0$O'ө/熨W҆ؑn PǼ{St>^Q]~OfCeZq/p+?a3qOIID̶ +HAh(&[7gn%}Tߥ7?;h&gGMBCmݔ[ݡ8-|(H3>9hm7֩|#\R7j܁NIQhE-.J0,W0)!86}+`۩ EoτƱnc +fRd˜\ v"eI[VJU(>fՂL}h/!i +o#\3aS\ h kUf5[.enԼmoMޯL4 ݖK2?@&C@K8p5O.* +GW6Q[5 +{sT5}m+( +TZ !:%9=|p!&v>~߱Rx;txs,U|~KGc!|Qq▼eGy=^*o;B<Ckk֪ї;_t|rOq4nw;]~v, +"EQ}<_DeT +UkwAqY<*/Oϡ4 5ؚjm[y.%P^~!P'С'1Q)RzwRw 7itQ]YƐ*LahFz{9ڈ[婲ahSe1}fS'sJSeHe&1Ԙ=2.{޸~5Z2鲏m>;_r}~}Nr&44Tn7FYڬv/mykD%:T40M0M4 I64mLA5MK"y~yֳ5t d(Kf:w+F܀!̧'pDž\ $y;SE82FubS9ldgYAN٫uPL(!2uS(<5>Ȯ*9 +858u썀H4jJYyFؔHG`*̓gnV +T} ~78[TU\,j{3fwz +S9iRh( + ƪ N-' +cXTu[=;Skkf?x_Ѹ7[;kbׅ[_mF!*u@j6z2[aI \?Pys=P +4ܯ`1 NhMe,e +$T5l(pe'(N9`BBى)/5TUd],SZ"f.so +wa:;h +j(<ˋs+Y6흘;<\db1Fn|~?װ)c1:>Kxƶm]q)񊢬7)dKǵR_%cKƁti '%Agl`A%^^Qnm164M +0cH>x#EٹWrǀ =x; +FK<\31f5i\ b +'mj~ ҉/%x`mC킄$!%)iAAV9/^"+7>BjVPYRv* ENcMqޘ7\ecĘI˸iH$pܝ)T:në+2;"ZB3i1ߗzix-=|7qpe|6r´Թ*~H.7~1˹d6_']9Iz: +k}\ ۸TDhaSaa4}$#fOm bƺ6mӲm,KᡠQ#ÃXU?J갗7RIx䩘\y1bjGm}TQg*C.~- QSAzjL T9R@{\AoVR~W\% 7) C3|wyuu8%Hߔbj2!;",x;$;;hH>|J +oiJϙO'Wxjߍf!N3H1b)ĺD4א*rMKK2S0aBz,xR}TOrGO,8ahNFe^>ejyM(k#ڜnjF_ըjA&+Ԛ`=&uKFx T2;9N*(?N1iI|ƠG +qun AІmGmنm֭j!"y"- A9)XmsԲYgCƍ\F.rsR}/|MY#[VՄj!bؕص@bs1>v;-[#gۜ:h +sl@f?ߘoBЈ5.dh)*v!Dkve LY+T zw"&.W)/J\2WK)9n _4PB1:1uip x#/LKg\ҏNJcB'zG{Z,M.yuZ;j>>^L 5mìF[rӵG]OL|oNt>b4ɼD_< ڳeɉ}:>}U'^@532RXϠf6w}G$𘥅 +.ޭ7!Kw L8H땄‡q!, + ' ^S\fgpzEDRMC}9 =+ +dԗIU5;_XB-{W|a(_yB@S t +NPTS*:) +3egdt9Y?˅N ٙN[u} ̡r[ .@뀠]ŞXAƁթbr]\-t1Lwh0F* +UJ*AQt/E2k7G ?Wgv!${nx;c0D37 +C8 \!( s@Ώ8w$zq4"bMu!Wܢ/cafahSZbk-k9X,:[fjFd +,lMe%PcYcR~`Q9;۵25רj +qP}í({^H!^rd<K +)x5SyTyd|K<1bX(@ƄdXCChچO(4ج4oMߌ{?DܳYu.AG tچ5-׌@9̡?|ɏ|S"Yr_ƅ߸^}]vw_nze~: +S]Ch+$=Ĺ)a7Jnn +2 7VIrDA +^͙~Oq/5 ÛjԒ +pT+URNW]U%~(eBi)K*9L,.)2;;;331cJ EliElCrQ@<Z4"F1TlJh0;x$kgL +W\Z3KŻ}}wyya@|z2wDR%0?"Qu]{<מk@(XIlü$Ū3K%r + t:EC[2iu2Ɣ1slҘ#m}GitX^JWk'Wk}8(m )B&>X8\mIb!%3:^5ۥ&k6=}bЯuٶb+کb.$dJN {[q=ZȲ c(+eTry)AɌjWv߄Ns]딤h?Zy9Pe5\fQ ߾LJr:`p7$,ZXõ TqWpdt +f#0 BpsE&Cg pw]Kx* +~ +x.lٹY +!爖4-C'ϋr[:1YxTb +fp:X(N-? Z v",yR …bɤ>V*(YJF쳡TǢrC#Ȧ;(`Uˇ9^{?:&RՅG02rw?ش~|UW>_>_EhNxS2Gx!D)t$&~FlwvlxBB (ѯi%/?F ̊MnĘ&@pe=Z LL 3|sC'QjEuW{hEtЉ4;W4FDˇ| mF+51u6>GE8aPze^7ntG(ք|ЉT/9|.AS1ՏcZ4ruP5] `) +KgY,aw`;K%vg _gȢ<{)4K@>h3 ohfwb'*װFxba@A[6$5$,, ^h-N @,aZ!0-C'C7zpFZĬQܚYEm&`^ގz;e~߹|۰R+g\ yYk}wPb +h}mϢN:JS4RQVF#abrWҡ} +yp3Ć&ᄀ(II/*ȯ WSwT6fWXE( Q+!+ <&bٍLLR`Q@,4=c,g>ĻEG%m/y2I2]G &;`;%[q¢'[+ux:LBUh̍BsԬ۫I<:Du +.uUC{QMQxiolq"1'*d4d ;&kgQ85 y0gY( 5I-9VGK<;HB)~ig߯b?8*nBigm)w\YܠgR +jߤDž=oCN/ pr]$> +dnFΒW/&7O_j)j j!R50KQ+Fc@"7gR@])]Tϲվ.EqG]>eaXs+ +fspCxH<`r$V>}y5Xm_\WklU쓶GivwЖvv v!.j)DDDA4 +$F@ &QĘ~5CIjѶY**11;;޳w9~ևdlmg{Q˼qt_PPUҴYWo;o~{G' E,Ų;oI!z{`T1A;kub(}Q_ :у211qO7*?U:tPͲ+.G$ŔO/UbU`YYG#zOfe#b0'o %׮KoL7_r7ܼ~`UWwdNX!$ɐFf2zj Zi> З[F3 +]fbdf [!B?J +cǬ'Ii]o&V改F1ns ~\B/gkڔzcOXj*he՟ +endstream +endobj +100 0 obj +<< /N 3 /Alternate /DeviceRGB /Length 2328 /Filter /FlateDecode >> +stream +HNwTi~%=!C(!K5)CPB + "JQi  +)e,3΁0ȺLAl˸3=wϻ߽d!JLx>|> p 3֢0ЄuSQ:aċݕmejce332e⤩9 O0=5]Έ p4gp9n;|߇g +D4G\$I@b +i@:~dFBf%5E(v +LA:~Ρ{ 1bpL"&Sitcb01 X,b^l2[=a`+8N gp9 +\2.n +O«H_o/d -OB%tF  D9"hO &&K~1I!ĤmF)55F'R1/ +EDPPz((d2F2x!2/*LD-6PPoQd :qŲ-dʮLjz=h:4wZa'ʨrrr +CNER2*ZzDKS-CImBmd9YKEuX^ly3;Ȟd/kjxiH5:4f4jr5C444hZ ZZZ~E}r>'s3YyU +>c]nn==_/Em}XR?IEl`e 68dpchc(14|#y>ޜרh腱q>i&&&]&Liަe? ZS=KG0YY|mIi9nUUբuuC>_ظؔ\ymkec;h7;]]ݳuuu]5;gf5;wrwvz|KYUW[׭cn[ی;=Ľ;MD>eOKB1/>5P#X=C󽯾ozza/$p>CiPQ +^? + +RCB{BWfÍ÷߈PGD"C##W6ow_ًDO%'O\LrLjHZ?%{%'K:OM;'IR$;2|FNFMtt.!%U^hޙ||I-[*<-(8Z + Nj4J:o(7h,lvXR2_m-W+V>sG_LEVÝv;wĻf*+*WW}YmRPFXnݍ?I3SkU۶W>} +gWz ă҃#MM{5'5oqihUml]=nS[Z{u_wxv ut6=CWhQўnߎI:>cӫ[IOD}H?c9P} +t>gg|z~j2<4<;1rQѳqKK>\.21t%x{3S>S׮z\v|څ1ɹ8ʷ6˶5̵5͵6ζ7ϸ9к<Ѿ?DINU\dlvۀ܊ݖޢ)߯6DScs +2F[p(@Xr4Pm8Ww)Km +endstream +endobj +101 0 obj +<< /Filter /FlateDecode /Length 19787 /Length1 31712 >> +stream +H\V tW>o$DH%͍xgJS $jLn"!#m0JRAV:Z13CRʒٹ5׿>?{_V(BҘq#2RLW2[xy>h[B}X rk38ns5 0b[nc%^rf@l,N :(7hId] x>3Ӂ:%QT౻e(6ť`م'y*X4@g3W + Og5 }C͏kn4TQrz_~؉,B" +'1 +HF](b5=&#)RV+OʛWF,#d⯰`Ck 951KNV?^_.}PJ(L|, +PH ")اE +Z" ~NI*FnJE9@uz7l*xpO}#1g\^4L5_|ryu#m$b ] +)J*uޘ)KPxH4Vq +yz>&#X%X/sI=u$ZOB3iZG.fuInQ\ƿ 6temck @o(*R,9$'$u~TH؛[t~+{Uտouީ߁vHVIvcԠ''dS5~yѬ7-^`H?($Xf`d+ygԉkJZGt~%| +rFYn^$[ +& ʷ o$Y‰%{qG4H\| BcBZE%<TeW2#4f+ĕ{7†!;UȖ[拔Kd븰16<{Q; +n'2^JATBP%]+ߪY-YSЦ!`#xKu5úЦMW]-]\\\rEzުw=J׹+U wl"K*'/cYX7EvDŽqg +-ܖuS{S_K$M ʦE6frt.R=]Sn˾ܛ#9G˙:o!d_R%q*^NMj:ΫzuC=@ncq.qbxY,U}SV5ޚdкתRFW?aN +JE_~zN^{SWp#L8[\)JQ'7+7oQX~юvrߜ$2FGFRJ:GzC]ܒOS=m.0Hyd^jd:jL7#xM4FC@w`;縌j m1~GoACF=. W4<-F% +~JXGM7QIsZŹt\|2MRG-ޥi3ΙG#QAQ$7*R!Tr1e^M?Htf> +1 oGs1G!ۼcbqFfQB(q)cuC' ?(URAIt*N5e6 +)+k&9g}v_Δ9Kc2% <9T˿$|*ӴRã +2xۧw𰞡=w w +<ߥs{ηmޭZzyZLC1!,>2 Iw!!_m;m56N[[h?_,gI>fۜcZ*4[Otz+-}nI8ȹcs^1,0T{z%^PMQV?np5ãrv9;c8Upc3)95.S``Zxb2NG8[t }㴺Mm갺+j}ګ* +]X%[@AD*T%Y8.LlckT&5i4͊h%Dжj5%cm$֢clL ξ.6T;Ν;ŖKK|AQZstOü}\{ _[-Mo'] +V;f[TՓr\L7oay)Ev{ +y4sqb]|^⽮@ϝ.*WO +\կ$}许յՈݘέ#TDA XH΢YE8'MⲙA3) +|B8vwsJ-#)&G$ `j*Džs>~]G}._u/s`Ԋ3牉|B*C'X5,<iEAϒWKlIDF!Iu;7;/ayޣ>76/]/,ϊȬV$HЭ X_lHŸCEryPT͕O uJLGZQ f?Юν؀F_tj'E rݮ܀?PUenW;P'^0O4$J."j\*KTm1⌮1V-F +"Jd|:jhc,ih] [D9Ԡhyr?/.`2>૰2p)*5.mif<Dk5?(}fwq8۩y>1v(!&1#E2򩧵Wyݼf{MOwvٶ'ao_XK~22hAm{=iy^mbr 7)>cP 6yF$diiSL(ӿKz1q}[b\:|m'9h}{\Ccjg`8Za[-tG}u- +C^D Wqc^9EpE:l [%8,އ? :؈#9^ñ)@1Zc,|o%)P z0FYӲ±1cS+6x7:9,JQ>`[{DZeih*,ی&}&GO^B+ڋ#FRGnDpRt{M{llWy2pakKlAgqn6f4keE;h˸}7{,SFxʿRʹk%m8)u@mBC* +eA`R; a53^n" +dG-K3>Hc-ۦwŒEx@qkSuW-kϦqms4#H\n@ +N|Sy;*>_̎qE/0k 柅~8GrÝߑFk{U>A كVA=*VB*c!,T +jQ'r]KUm"utg7d=OU7Q?Z8%~!O)s_ EeȽTdgiX=Cn($UJ1&(c|&jeO57!&p#| +9KvSSFZN=FG^8zqKaoXB֫EMG%mR:0s02ǣL +DYr5n19-s;R ':,(I,o r'nOo~o%~R:ND9b'; [ Cֈx߄'F(PRiQ*1໏8\Z\\%n417 += +xa GNh:?7 +4߁},^GjLE-u]1F$$i I1u=1E8>Aco~#wfFO[y@3D; @?֏@&}մPtI+]m9_}4kݍv6ˀ]+P2;֔/ED Z.j탽(SkG? +G +޿ +SnaA|򈪜b?x8~دM)4z'(PĉVctV;5}s:w +EHF\ {IUux1q$׉^ +I!!N'kݐGFײB +mHmUuGVm%yy@H&iV}C ν +퟽s~;{}5*[,^%dSd +; &$""pƺ +N-Hm4#fl$C2DLWqUGfwaãpYxWSVX7rM,G +Xp/ 79߲j6'jwsPs. |U +a߼pde֬9oVᆍZ>mKѣEjR9tcuvjK +ҩ{5[5F4K~.PVͺeB\mZjmZu{c{[Q JM!Ł '-l+f,>@riJ"#);i8 P=,mA6ըsh`> ސya1ʜ_Uz8A,BuDfc=asbtޅMȺ>a ɡ^uzڢi +b>OO~'nz܆du uLř2Tt/>$ ӰnDR#4G*,5఼hᰆkP@Tdv)!?i,g܍ E#/V&ym%¾ ^ (t^䐥6i+P%a0--I:둗.QEW,O=G G&-=޲0-z3 +#3sx͟+R 96 +pE5]]ƉWkONC1O̵|]OP;t 36'Ҧnu;6(?y"^!f?a(yԴMahڋ"%gR0Kq\\"g\N0CMC/'NwypcC)sӪ4wvCIϾe(e!(Ƃ$)i4:qXr^ 6NEOeYB4?]ߺBG +Kg4=Ȣ!W!( +o +-%!x.c9 *v;c٢߃onb}(- +(x>}Æ!x%eQ B7,{ $X7ܻu`s[ +B+Q9%wwh7ykH<ѽO$ '%bM?͑y@]dzDkvU_# \^"L8yK؃$juY5[)ejnûwzX-aI'': Rդi]6h qCq-i'2 ?h&-Ҥ?iLa +qso~v|9|P؇c. +;M/o^3L/qufgd1YʳvJ\,g絋nSdQ&v‰U( -nΤ\ chҔ *^c9dhle>LٓGg.LҖoe#ፕZ_oك}=_Yzw43TX>xp(s~^޸T-d~P}xS)_]pǩ +ƿ~`zno.9&/7v60)>aqU|Qx9t=0fN!⺄wauxQxU8_|/LEbT 2LQWF4$â `g 0~. 2 4 ")2d Ў8,ђHQ6\ `p-`yH~Vt9.n4?+,IcSkQʺ`ANལBCx?@ޏjZ<{I.p@r;" lw*r3i u;#hvkNKYFU0uZ29ct*U=(xޑ7-yEUzJ#v IoQ_wp=r==}$)@% f9 +)$=Ͻ8q +xyWd5502*KT_?6%3}@qӨt*EJ6aB5A4GA?:%$Z[fY-N*T䔤C@AjVmMF8 ;AEsYEzF'.I:Gi,Qw.Bvl-'d eC媼LUhʀ?u<.~svɍ,\G[rev"/J0W;CO\(<<[F +Ę+,SSL |1TaO7Fb5Z?"o-O +$ +.GF +t O=ک-ẫ7s{c^qpg~z$\;_K)=ҽqU^5oaJF"Q ".@unz<ӖU5))+Y#F1E?QճyjQ 4{-Q=!ӎG<@DzB|UflLeCjARQ(2+XV]>#;{ƫ8'HC͟KYD;L,؄M8&/Hz=zZ"?xv(꘣@(5Έ$o[O'/8~o|n;&4v )/| +8jלld#k;pف'M}lb#8_80)f+] tՉH*!#ʝD9<3] 9;]eqQTtKM'S6`Ҽ"})G.;)/#x^mqBG+37 Y"q|Nt:$$jkK_>g>s}yN%4xix JShДU@e +l$u˦ mդN4MZiL$Z垟u~e}rYShwv&qJ%帋2b"DBT>SHtťJŽvwUVUU.U +~AԥԛC1"=F\7NX,KxHNJo) +¾TK\8l GLTG0_"@dI0cwB$(yu/ߵzj&]Ǝ4\ +zЄ$_V+=F\sϑuYTHpUC,%Ӗ}8X!<7-M hv]$4784B$Qlr9G׿O9O7wnVӡ:Cmzrz{Y3<4r3-'L@!Fdp?0 Atr4nt!dO嘐JAjCp:y)H`)dTN!$^B^{CZ 4@I dr"E2"LD%@{].;} +;z +-%P>a$јno[O'>f6o&Kk~㡭Ï?̃kG[v`9y( axW^>]~jq1h(]&b/;'X%Z?qRTὲeF +6R\j)|0Ax!URʀyF""·%<ׂUS&(ʇ"*ްaA$?ʛ7B W&4~P«ă~pq-~!m.^,Me)?|Xl4 +-p|\rdHJϵgg%NvT̥z>( pMR|sl<tñ ̯L,5|q';ӡ +Vn7UX5L5p,u]׎nEzٖ73bСgsJJJvwocr7|'X.I^Nc~>|j-]ϐo=˝2]BW=?]ㆍ[" nOwEќ65lΤ|RLwrݴا:" ; "2|>OXܯ;Uo/2 dŜZQ*ݟ90uGM-\Hb;qued !nVf6Lu/'8.];Q/^(,,P2šb\kΡGsFE?G4A[)oؙfQyon|pz׵.\vCIJi,{=={_7W&!v/APQƌQxyUz/e>倝'UCP4&TxrD؎E\sCAI +"Et 3@c7%-֔ +RJ +$j,UJUM&$IUiHrAsI_&u̠wωk%ynTʷD\晧zQ(C D RjR̚ +8 mt8cڋk +B3q\5-|>#3zٷ_wn2 +.) +؎ب۪0Z4-tv ,)_4-B(dP2H09{ +P2bNflDkRE&|.SCͲJdQ6"gKI]t8fvBkw!qB ܱ=\vz Krv,YlTMe}ew 4emeWoXþzoa*]ˇ9o7f-2=fs +YxATFOY)5M#*nAH eMCcּW;u10V +0ov?Б-VǞB陜wej^-n}_ 6GVŵk_i DGz 1!v3{"xe x%)C|ɋXCy^e#A =d*U:*STU_}wOgQq:CtQAEVYLKjX?«>g؉I|_V+miBY`[B;:E@GGQڎuh7UKG -BvBZUWAIiZg{vVכ;=6DI"b&јCtyEхr9Jܫ(qsqfZ[rqLR6 +mL>+ݿWU7nNZ4EZsx'Vn14KxOIm tYنkܹe'2 PYxu*[L +7qN"]W9=GeGou8u*r< "xS@o*7plhfmk$'$7➹B=½̝E?@)˾b)fhإfAODbhC:)[zJ21PH傦ʢpq/B E#ޟl}2ix2dQd j!|>B|d}4r#BEZ _.pTr-QcYR+EЂjuËU Tt&NVCҳ)<(!&wFWMC/GCe',;9o}(6s +fͅu$dvf6fsaogJ9tlANݡLg:퓿Pmoٹy*9qXytq'.F[v.;mmkCu=/Ͻ +{Cȏb}al0k_QǨTۂq< 4_p,iWDK10JԜ-  x?Yn H $ryh]͢<^0s<x\?U#lO> d/z&SaUx@ 쥘p:MBYW\+)\F_ZUUNLCF7bYJk":%nEăCxh!WiR-s&rFĬmgGz=|vb;>Si]tuPڮO@C`bԮHLcTikqU^0l!ilcT U&͆ߝi}}Nt:>ϋD}h![v>ؽ[Oρ럮„ը -r@ qj6Jн Y<`L\f/udX?*ە[ SO?5˙}vYyqℒ7?VܽfKsٙ]$S}˼ s3]\U(cR~TUUE)־yD*|UuNfڟ6%C+pġB'N"Gm$VMp@"1; Ql!J625QL% +)wqc KAVFeɶywLJ=uOX{(QZ<าkB͎wjX7⤓:|FlEEnԦ,.dOo?=QBa+/GȥׅI73uu,G=>']}؃’y=f<:hޮPpxluxAT:'0@H6%Db82ቸcĀ8Aҧ(蓗<h2kG{ 2\fRo3ѧ*(L7g?gI?ӥ|OzV !GB+Ǡeu- 'l{y?.,koa@h=< +Z5ˢ[.ѡ2T;Tcúoq||FRڜ\hi{(:>gc~;`sX\ӟ)V%WyӘl-Dj$?^ɴ{`r~Ls#VDU`W +KV]zyxH(&:ob/> !986Hloecb%Sn[hMC&L:bUjOɪ\{GQL}EYoeؘOʰje5eUhsij{ic}W4BnZ_i菼̨]#ϨPf.<{h +P>V(JR{l|! $8L$pF$m\Q EHGhJ$Jo81 V Ŷ&&_0,̳TLEhjbۚ6Zzi1[Fv2jmÍ!m +[E黷&s(XiWT`嵣Al;((mNoP':>{_.|3!`c9@M 5qU!:6GFQE%Bx^^ik$c$3ǘQ/K`!&@Ѵ{Dp`D)",gsړ6dM A1#Ex: &-礝t +@i!=aE9I%IhaUHOaOqMpg5ҤW_b#=(r=ITFj~ CdQwTKAы$~[[d\oBgW7pvtMR5_ cc'cZܲ++y@N/<x.nfp ft#ֻp8b(B^o=j6hmgc(Q-!B J2!/ +]M?{0-ûՕW< 3^o^HiIRlǦ_ wCy\%|CKsьpp\4 .|dg";ti= Д)O2J&'\yfܔsR:&p& +IV^q59AJ'LcTI33_E(ݮ: +bB:jt/Zz-<1xԸܷ:ܹS$_cE&n|^nTn+wkw&{I$0^X*z~OշuhH뚄SDd^tGׂ +[[뗎ve &bg_kQN^Su5Eع*YQLeRUEi_>g;xyv8g1/|iFj,̪=y`""[\5W2G^UDj;CWŦ○VQW`O3jmCfE~k +~ԙwy.o +I¾H!S?d&IKPy`UXdB8-[ +IVVE:\"iI AZS>{gE~ό <*Bsx+z5ʘ+c>W]2gT;7\,B-c\-a>.!CzkHi]=MdW4/2wyM<[CKKM+ct"|S;PU +kxNx?Zzn6o/&P5'.Za>ڢWv'*: +:Rc!ʪMP܍BJ΀Vr%S.iP%"zLcszf d-#*ץ?$t +qf0W~y/nzFĶm퉡_Ǟirt5 z?eVYI0)=TX]w5'X%*)?7@9;o C}9TAclԽhjo5&-řxN̥R-OHdkR&T +Sk/xH,Z>ikH1cWf$3Ff)zitZKm̲pc-xw{{L$&hkdc ]b L-:izSUdZH}v<X9z;cD.Й-PyeT٣0Wddd\:ׂ($3cq夬ʌL\kt*@ڟgi9QG8]ˌ z}Ssʄ@?BNPthZ +Qkr\[e +%[b{/tYNTUƳl;SuN\s +<=1ڜRk[A}kOEjH6&ƚ9l +@%ܭg03շolwgt8~B 1"@h&OB;Zs@wq$>HqAG)]E*S+ +* +* +?4PZ]( +1fƍQ,ȼ}m +Qm|cpǝ_I3ޛ_ }``n<qn.0|}}}Ͼ^sw9 N>QhPObP*iO<) +E'>:~c[ +GfFC(1Iaf4Ea9LlQS([ys fp>[6 s pQ,$H0> +endobj +2 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im1 4 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs10 57 0 R >> +>> +endobj +3 0 obj +<< /Length 428 /Filter /FlateDecode >> +stream +HtRMo0Whݭ6ʁ") [Xu߱ɖj0ԟ%=.'/RwE[Ӏp?$~"_ɇ@,4T ` p)]Tɭo4DžWmxj+4sIЬZ.WRao5"[+U ɟg18T("Hffuo t78=+LhPf.4l-X#a +Ӕ"4h4|y#ېNiJ FR*vXJ> +stream +HC@:;2*(֖ƪTAQ +"R7(*7{uo2l}w_޽KÈi<*hZZf9^FrS.4p<Ei$i2vY4&M2NӴ$ݴ3f"wYŊMؒ94}\7,\"EYL0,bXRtY"rhUYv 5rzv]Ez!佲ڥi#+wl2-1*ZUmHm{͎*عKqؽGn@@iY9"VM=4 + #]?!cŝt/OiHqϞSԅ\t9udk wZhNJD)\O Wo2| +o+o;ʧoN+^ݻ@fy'?y2I዗J M? 3o4- +!N'B>F%6Sτ52h_jCmw +endstream +endobj +5 0 obj +<< +/Type /Page +/Parent 75 0 R +/Resources 6 0 R +/Contents 7 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +6 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT2 92 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im2 8 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs11 60 0 R >> +>> +endobj +7 0 obj +<< /Length 1213 /Filter /FlateDecode >> +stream +HWMs6W౵&ut*tŒ$b7 $> b_?)/.jbjQ{VK!-2ͮl?3VOdu-* V`p߃aOdf_,`K)lSK?}wlr+'E˅|X +(iاC+҈Of}j˅0a$n#wR~+ޭ+B`RMQMb--Ph,"A(T"N2`Z3g fXV.fc mkk qMLpx۶twȃDRH:oGiu~.P+a FXS;1&v̄j%6UzN_={ƙS)!GR:?vwY3䂮)mJwU*I=+7 +‰ìg9a?eyėS󺯠aȇYg~. +}=򟾗 '?}PگfX{%l8ו 3D˦AjD֜f4@3ePa9@bWZ~Lhh!P&AH]5toRBWrA)4C|%ȥ})B0dT3UzF$ÛkHYN+4_-X +uåt%StbMD)wލhr> +stream +HC@:;2*(֖ƪTAQ +"R7(*7{uo2l}w_޽KÈi<*hZZf9^FrS.4p<Ei$i2vY4&M2NӴ$ݴ3f"wYŊMؒ94}\7,\"EYL0,bXRtY"rhUYv 5rzv]Ez!佲ڥi#+wl2-1*ZUmHm{͎*عKqؽGn@@iY9"VM=4 + #]?!cŝt/OiHqϞSԅ\t9udk wZhNJD)\O Wo2| +o+o;ʧoN+^ݻ@fy'?y2I዗J M? 3o4- +!N'B>F%6Sτ52h_jCmw +endstream +endobj +9 0 obj +<< +/Type /Page +/Parent 75 0 R +/Resources 15 0 R +/Contents 16 0 R +/Annots [ 10 0 R 11 0 R 12 0 R 13 0 R 14 0 R ] +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +10 0 obj +<< +/Dest [ 17 0 R /FitV 313 ] +/Type /Annot +/Subtype /Link +/Rect [ 84 610 523 622 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /I +>> +endobj +11 0 obj +<< +/Dest [ 32 0 R /FitV 310 ] +/Type /Annot +/Subtype /Link +/Rect [ 90 587 523 599 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /I +>> +endobj +12 0 obj +<< +/Dest [ 38 0 R /FitV 170 ] +/Type /Annot +/Subtype /Link +/Rect [ 90 564 523 576 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /I +>> +endobj +13 0 obj +<< +/Dest [ 41 0 R /FitV 242 ] +/Type /Annot +/Subtype /Link +/Rect [ 90 540 523 552 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /I +>> +endobj +14 0 obj +<< +/Dest [ 50 0 R /FitV 170 ] +/Type /Annot +/Subtype /Link +/Rect [ 90 517 523 529 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /I +>> +endobj +15 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT2 92 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +16 0 obj +<< /Length 697 /Filter /FlateDecode >> +stream +HUM0Whb>Ҁ[Uo/V(–wwLbtTH *7$DH;HpZn%d=[zo;^"F(lfk4-V +܈m7 +/n e|)S&ZO_2{ʄ+RXO-yLF\%@' + Q(ٞ+Yۉ@ A*eEXӾ0CyF1rVZç=/{}>XP9J0*t-d:eQS5TtUp3ΥΕW C۾.!Dяgt \ r܃Spl"gwuKH܌+0:Uݸ Vt,RlN6,M#:RܡM Y8$W&| +_> +endobj +18 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +19 0 obj +<< /Length 1529 /Filter /FlateDecode >> +stream +HtVr8 }WQĴHn'[f>(+$$=I_t'u̗L(J|Rf?āň#ob\u,q*c9k_w~hi,p͌T_oY]5- ҄XCq"u^"ˍ, 1Ð4M/p{ZZ΀O޴I4_fivqƻ$Ԉ qǷ | T"SU>,KiׇyV~YSQAu$>?dM&.~X#0&+5Os#a3U;Z?Lfhiۯ=6ݳֻYT9d}ΔT9)u`s蘇QF"`D<7-Pma}Raiz0 +VTfoD^ZD'VH)SK&3d2LG#R: F*K]vzluFHD +6Ŋ?=۰r84c=20tb zsΖ*0tp*(&e MC³jxFUCFD$jsC3-3($jz=xM'jQA{)ڙw$U&fv+ +k'[ka ψ)8~|XX[nI +&]X.LB\ڪaG*)MATSޔr *•;P&ad0zK?(&)N |[z\ +.!"Zv2\L(hZTOMm>sx)LEQDfڶJ,Tut{$3?&77ѭ#jD 9>];{tq u+CT.1Ke³[sxv2[eE[Z +K ׃XmPwUW<.oִvP +M޼y} M?6;#0|C8|jnCCH7x~+> +endobj +21 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +22 0 obj +<< /Length 2779 /Filter /FlateDecode >> +stream +HWm۶i?ɎGH$3iڜ:#o:ɾD],忮zʤȴJLJ +oT$-r3zcfW|V6l?e3Y ÖS.4IfYn2nWm5/|Ҥ6w?/r|CÊ]M򨾮C_hfm +642Oof/波HIUf]b2о]ӱ}x|8)wwwIC,cQ&` +0dBۤ{tRͪxv{3X/VQ ǯbĭM]O!IpqY@\+m?27>$P +K$^8ɼ +S<f=Mst ؔ̔NnSW U0xw#ʨ%6N p^W6ͪޚ@WaP(&+/@A p`idII^"FpXXK + +iS7 +%O+$ܞt peH}?XH3 !}l? /x/~GxŒ@ۜ|LLc@ ! +ݠ|0䒀жi%mrWfr`WYjK!z'5<$~dzl:;paaw cxiyCbT+gxq|<1x?x"{@L>,8"mm퀶倶msA[dzw[?[^~js>Իu2VQ\d+Q&`mP4VmiU!8D`Sﺓ-|Zd]VQb4|:ĩM1^ uT⨍UXG=FAß-E!h@Zxۋ )}ٟ:M[&}(j|" !0-u'LJ&+ YĠ 0KVtڒaF>~_:>ޝI8gT +QS FlٿIOmT{Ia ^ +]YJlUiQ)Q<"u_-&,$y=f_엁J݉V,Dh>1F6" 2 > +endobj +24 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +25 0 obj +<< /Length 3359 /Filter /FlateDecode >> +stream +HW[۶$(4_Hu,|8֮wgjOYҕ&?9EIǞ;|xS޶fQ)U_4fƩ?gfreXVOY<ΠpBTfHuP[ͺU՜lwU;_OuNًE'skiZ: ];]$EZXm >%3iڇ/gta\ekvLx~"$pssHbEFd77:$U;P tY`|xQ{jN\HԺQ wsy­M,y2i= 88 +X*as<:csYԖ܇b.9nMݼIT5v|(͹*ԎD3^[U7ÿxP3:\vɧdp|&F%ߡ +ϧZw'ZX zD1 +v +݉rPQdxR^ФtkVN?'qjPЁ G[(GbVo6cϐYu׸yH;NhdǭEKsʍ8f9Ya`/4 %JȗDqB\ӹRťیcыʱ^tb1bf37j^7t*Ktt#ڶGPrY?O@eêO 8!/p"'Bg Di6x‘u^%|܉LgeS)҈anXm}^ojY)Or/-o8N+ +oXܚof? ʱd>B) ԣ߮eE3 er&9Na|OPTKYGI`?X_nԥM56DDί +w9o?i U$nT[o1}A"cv%{K28?WZuMKV:-iT4<`+)IW&,xԅƋ=w])eAbAͩ k~ ,ihMȴݓ@nihY&ohXGYrdOD zT6#2k=9_nQ&UW}sjf@a`JgNR:)L0˾v30ľjjׇvO0^]};m:&¡u0#zq x`6P,mPZ M(aB6lD:A}ɕ}2ݓF2%ju0RVPv]wS@&Qdh؃ʃr#j[ѕÍTmpͮZmWR:]=dn^5Z^ihR7՚a0%};zy=H)8|psY_a^g󅗏фa0_)%7xd*+ u +kH|Xv|zko7;O ]SD#$9 d)#+tH;w1Ǐi﷑ɥ +r~x%oj;M{1L &3$Lm8roqtx˧VNmz_DKeg6A +q9~= +͂o`fPʲa)GA lG2KCd4KN!ƞ"-.|B) +l=W ?eJxHԞF Ĥ<&4ӑ6_BO=Q2D )يN+n7 + irlTk2z71x/MiH9wEEf΅Fo#f3Zd +qbI%sKr.Z3-TtxL?P$1/jKh=< 1#ۆ(*HC,A,SNczPΉ~DdA.v~ϋF2'>Ym呣^`q=93Mr%û1'ܢlWl53lztANEZ<(][a/Ej׎?xNC~4oo[3[̙(ZHFנa)ד_0'˜EAѺ;u + ULɐm38[f+Ԋc`= (Ga9&C(Y%:Y*(f\\jښԎ~(vd_wma%p-lahZY\x79M\eA3o3"f 6ksa/2lA :*]oTL&Gq4vEi) +Q*Yd +ˢk1 ,5XgТM -@|Bؿ +0ӥq_O~X0~zY aܹG$7o?{?l|80DrWdrriB'zQяSWg|ޟ| L{(>9*}EvSlv/? (m +endstream +endobj +26 0 obj +<< +/Type /Page +/Parent 75 0 R +/Resources 27 0 R +/Contents 28 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +27 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +28 0 obj +<< /Length 1530 /Filter /FlateDecode >> +stream +HWMoFEn-o= 㲁.NRPd*ac(GhHoC̛ofɓϴxFBN*߈̥*bXY~~F'өZL6?E7LƖ']$QI`"GwOu*iyn:\hgr.&"4I<ΓG +mB G$G-TeC"A ޫ3A.;SAnF=rh޳,C˓Bit2.vIS, +)^vAT(0#$(1 pҨ4b'2Lqh~$!}c\AZ9If1(՗ +˂CͩD=VZQY4,guvSސT7z n6M-jQbѬnn7啘b.( +$CpcW:1R2BTND7<4w*,r׾cyh/R,T}oի5 =p? +K:|J &f}F}Bvʽ< qަuZ(TqRtOؒYWKC =#6>5x`vޠtOMlΜFf4nYpHżBZtUM'FCZLB+}W-nT^. lRel€ +unΤYv + Nh")~}ΓA"Gqif.f^7; +K|]9C~ +Xǎ*( + +ݡ +wp5g2&ˆ쮩 >b;vξmDžTOJ;vz2 )f2 .czQo3ŏOD2b$aE#ղ>{F"puCѓ0DdLs"y +,~tp{vݖ${PŎP%$a6|~%3TX|j?aeq5~0GY0)|])FT٠ +zYNƊ1n Y-xr}ASh5^Gn\bK~ +Nmo+j:ay? +%ݛ%wh\#q!ARQni3YwQ#V%N.q@,%Nׁr[ou}Kӑ> +endobj +30 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +31 0 obj +<< /Length 2477 /Filter /FlateDecode >> +stream +HWnEg$pHOv=vfK* dH&89C0"ZzDqު[w9{k8&XdN{:<=Sv|`LL?!4VpלWٜ7sfUy9}=&T\5'i-%-32cG-,Ujոj}^-/\Hm7Np{ fZ̼oGqie];;kI<0[ \^^6[\bEծ}!,! OOJM)V/DeJ5ٮ6~[[$t},OT{1öL(:o#)xms<;Lu)%Jw[Z⎦:ANkWmkW-'g0ۯ}8;n)ZRٵ8I i)l/05{~PUd0qaZ;MzZ zf~J~m. J&_mhdduϋ;tК9TKG?Qo&246H +,*u;>ͭ/dH(}ZL;zt+ݘA٘Wգȩ TGu@ǔ%1 .lqԜEn$ %'# +|fte/uWh ۜ!,InpBKX#Ѡ ^M Xؒ,[p;qa"7cEؗ٢PIT4fz7qJpB@y(N-|C4v%5ݽbuSgI2Q`# +\rpSk@)ݭR ש~t[6obTl29n{pC늪aM5a^upҞE&Y/O֫~8m7@ +T؋I-]YͷYLCL# ++sdB BL߮K'Gg + m +DD'\̜4;5 +$Ѵ=ͷp2>Gl#RC{kBIOO)PNbZV@*Zٸ/&nҘ˘0vL7e0@wfLdo'.gED[GRQīIjʤK{ ӤR$~r550Q%HgdЍn:7*X FfEԜh.3~Cz)N7PU~s Ǫ0 # +:ЏrXnT_??/_pO`Ƥ0\'ݤ"0q} V^(%ݠ zJUV@7Z}UГHjdjW @bRлX\з֓佌 arhRz<Zf 9PfyHeyt 1iBnQ݇ы"BQ="@`j@x.&OtaQ9'$wM҅D!G_91Ykޑ -_ IGP)R<_'$O8 +y8=A߇kMiO~Ԕ̀.Z'A J\%$A_ABx⟔?D>,!.>lLX^U=ZJ,^m[Y>H{Jeu.hLκEe?8.}g 6Ia'laa$uMfE9dvZY D9mIi3'q1Ȼd; +T^ +v[oJt*&/ɧyؒN"~ݱ~=?G_¡~0mFXf(@l|O$K%Z9r @v]QJ "y{ q9Qrq XڀAihٿ\_ +endstream +endobj +32 0 obj +<< +/Type /Page +/Parent 75 0 R +/Resources 33 0 R +/Contents 34 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +33 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +34 0 obj +<< /Length 2186 /Filter /FlateDecode >> +stream +HWKs6Wf0|3rvkjwnh8K +IT{ )YN*r6ף/ckPj_T߈::wnM} +Plf#mb Up +I~:ݦ1Ū0J>߇QBc,M Z1a>*e=Щro s2YQ4KTvl$(@W܅iW%gZY5T.__M]zqf6Ie./XFG^$:Vcr:Hbn6ǡ:4S]MFSJ0%)\Ďq (HmEcɕI82IC_ vɁku7iܴ(tjJB=cM,[G%Z"Vڭ.D{ N^i0ޅ<NZ"Oye]"JTVyly!6.B?C5(|Ț|h ?LgIzaP !LLWIr>t/!^j)NͩnY+](z5?TV]??z5mk1NI4ݬ'9 +u"D8-ʒVSw }fD+It@ +8x LR>$a#0rxG P% +!||cN??HD*HJk\P-=is +[=47@?8|Ӏ5?ƿzx=XoH +Ł:oQ+Yx%KɄ.:>e=K Sjt ک4Vpbg.֚徵:N) +EJx8jJ༌ 23h2KIf'ϼ'H +T^^Զվ8LLM/"Ea|bհJg[̯GbqN 7$Y  D +,~ܡg|S{Fԫ !Vp(a%PAn=n8)iRupm[f9&UuI(n<0ʇ s@q9ӟ]lc K-ow1GϹ`P4;oK,vpVjsH(FD9|7wZZ 5f(z52Gr9KaChS >aʤ)>dm +S`":>TkzfP;?+LnEggh'22#VoIF q`Ohf=tGEq9o=mNoDGOȀy\Io&`_$VD--}A  Xq pu6x$B:.yy`C``+ H0Ggg ^? fE=| ˴ +endstream +endobj +35 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 36 0 R +/Contents 37 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +36 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +37 0 obj +<< /Length 651 /Filter /FlateDecode >> +stream +HlTMo0Wі6`𵛨J/IP&T@dcv*Zi㽙/&9YftJ7PdT%4G9pճos6UeACgڄ|8'm&T0q + +cJ)Lkj/~;nۏ~-r%ߊ$_0@a0x)oD* ۦ[h2ec6JWv]Y,,di.M\g{u!%<࿰b8NGM 4|ZO :$t*]vuPEh)TvɢPp7{aqpvu0@Cz@~ ;=.` ـGeqVSF䤵P>XZAv +saʜᙅ/(-ܵ4ⷖc}˧dQy<#3 +#`p~"1txa~$]Q%F>9P2onnZ@0~M;с'Q.) +tsrrC.^bŵ3 ~ `ľX/kI$XwH IN҃ 0# +endstream +endobj +38 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 39 0 R +/Contents 40 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +39 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT2 92 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +40 0 obj +<< /Length 627 /Filter /FlateDecode >> +stream +HlTn0+x$Z)4-AeCE,R%eYFj 5۳&T/Bt4ਨ7Dl%|ɦJ +N13KB S;JZN=u6+筀ȶ <~srmÝY5xyy%Ҁ+1mך& BQ-(CEgZ+3By$%-Lt:ABmB ҈`0p(V%q]=uMS^"A9+oU +-C^c?4e 3վ`.LhS,FW\O? ':!hDWT_"b^f.%vOj ƜXqE묻Y(^ &k%ȯTIPrIV4ҬZ.<k3D33]%A]SҶiƩOC|˟sL,p|ݟ8+E0E{zFa&X3mu;:%#FD|HGYzjmd+|^ؑs fG +> +endobj +42 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +43 0 obj +<< /Length 1983 /Filter /FlateDecode >> +stream +HWKo#FnYdwn3qԚ~O6xm>0H"$oΌ$ˆjU?ϕ~EZ%EhEN6XN1v|v:{r4_"0{܈r3;id4[MnsuX'F^'_ZY8߁SjYj8AnpL:Z6qmmaa#8hfљ佷ҷd͌G/B^$N,0BI]j%۪ƀp&#ӌf3$E lxjW7͂aE~7Ql/2;z)ѳ=ҧOρ=gOD?XC#[8ÊC[sS*+I}-6[(]~z2%ߡS8K?mQҩ-nզ|GI%&ٗvڧC]e# gۧhFW][|$((m%יr,z֦VskXY]]w7NM" ?/`%rYt+zspd +BDEj$ηEґ"1߫E!5j>;R7=)_lWFc(D·U}1:@dU0r6$AGhvH"K %F|z<;උNJR'sX&4WgTnDr#r˵͓b@>7K`4S~O$ĐRv4:*kmF!)4!MH2,@jL&rƺ I7>I$ %M[R(S *DԶy9?q$ GFj=|5U:sU}if!P +'ġ9y6.qJapYz}+FQHpN\n]WUemXmy:;ٿ]_èwSHPxc#.29-aFzӋ_3'?0|i Cov9Nߛ\jz*аUGJIFv4)I٩5rIv3c +lUX,Cz{# +P6*9p.G̛*ҁ**fh&0ChoXO1PSĐ|BG +L- k@?ĠAJZn\n:ڦ;+!hP +KFzXԴ@B[oC;uAQVItʑ4#wqџ5L+)ǫ2xz"㛟adj l{`CPW՗/_! %"ހ{a,mpGV5<ՁCr]v&M{|*X|Tg,@ZR4Ȧj,W4v{Yy@L9B.|#Cz/b^&-&2DS_gy=nryqQ=,6c͖skIyоBX,F˾n(^^.+*_b$zգe$Hv}ĵ C9@ַunPmUұ6Hp%kJl&*`H8[t6us_F\tR2ڻ_Q!_k|rӥ˕3:]幥-E:EƷ^zn +endstream +endobj +44 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 45 0 R +/Contents 46 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +45 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT2 92 0 R /TT4 97 0 R /TT6 56 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +46 0 obj +<< /Length 2748 /Filter /FlateDecode >> +stream +HWM=G;{"_l +l q ;>h%H$NDifG{?HJjlc +U]]u_{&آւqVWJb;yVv_fa&B-gmat0Æ;'[&S^pαm1O/OO7]>5U SU짟oir)i{jYlΧBbL]r2[)r2q~6BaZԌҾz Sۉ[ +Exyy)Zh(dEQgMW{8G€P m2 +4GO(8n %iӼs +uT }@~3,azװnɐ.-Zh_q w12[fe5!l}w,_SYNrQ7^ܰUXZt}>5l1Ex^96e.krw7G|~@!cl ɚMq%eND- _7QBV"5H$ĖǗcDℵPǮs*%YM~Ee֔Z_اJpkeXS<(ŐUeNE4kRD1ڐٲy |u*b6ϋRͻt!%Ksb4ֽ<{d)UATК +G2av!ytQ[MA“*1ZFFVTծ PH3j>;b"|r? +8?4L-{pDm5!r@Mp<0$jj 1pEVhFG9BkyRABlڗ55@,5;Cqgkds +ݭwC wLkGdpb^Y!2PdvM J +Dv8C֪=9.f/Uj@=nyQfq@L;[tW:vФ`UXi`;n`xA@7s._?7K6Bf*y:v̧G&1֘bASfPy2O[:{2RES01 f]D#N z>9'zӲ* +YFk=tGxJx~utꤹއ&L'$sL9T&V +'N|MG&u\G^%?c\hdJI.j6lxYA46_b<98@o枉ЄDlJ,U{,j܀!#=s+Z:YkJ*k\(rZQs y^yEM .`V7UpFhE٬0PSۗ(d8WiϦ/֚3v֜A6C^Rk$:ʵ +p*Լ$`,/$>5KU*b fr}#[$=dQI|%[ +AYAW_GZ#SE!< Cįhd z4MG:}&.j+.CV";)k/塩4VivZOK#W!WsV;A;t_&;W)i"tsйq\]*(ZtMtV}->^5oIWu +}t}&tUz.uf7+<1%v*s! wFNҾR.JҥK"]HKXIN4L8-HB]:}riT.v^yGI4t"5Ҩ/]t)[D)% +Ez Ip^+Ґ4"FHCl_݋KC7U +IY:%8d,3 2gY= yQ wˠO >I vu?Gꓻ9HϾ_B$%>G!G魽o= 3 +γ=$83vHzg/ á:g@g@.u *.&+zRxzAԠj>m&|Zf }+ qfRx:O1uʞu-%u&b"آ_Beml{/gM*15RyCuBRasax?Doغc͞~˅z6_S0Y8#*13m +kvy|>9{Ae;q,i]`7$9DdaeY4.TΦ%݆=QDL6>!o7}^ +u|Gp$ɟBpo?7l˪ٱcA  u>71;ge k}dJg_d}˱k&} +,!8@$>o& +Z4M5b4@ d%p#xP=h *ȗI%z!Y"Rc@Ks`Z`xR +endstream +endobj +47 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 48 0 R +/Contents 49 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +48 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /TT2 92 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +49 0 obj +<< /Length 2370 /Filter /FlateDecode >> +stream +HWas۸_TgD -'_ۤsjkz7qe:Q*K$Ǘ]$ER&3r.vvħ俓:wF u^[Wʔtb4zT䟓 +%unMtXaR엛LRJ-'u1yn6Og*e^gUvs{=Uv5L=7XrZUz\-LinWJWZ_I5}nb\nʼejLi[fɭ#O~Kh~XnB:MUfowBDŽ*:MJv|BL_&u+z/Zi7S ֫oCXlL 0QnM֖3}>0m2M4~J<ʺf[>f&W^{RFt軗eˮ ۝)v>' a:Ʋ-Nf>jF"_oɀ$mNófZ2BQtHB :8E:Ci.1.*< m"0}~ +DAJT3t9C/eh6^nݯ2`Jbu_vQw1Eڒ!SٚDvYaD̂%>Q:{ԬŊсd +d#m#\l)2 +")>+VߪA>wĉVDVLI /F;ܡwGIrJ^x=Ic'i%Ce>2Tv ~I5=CeP UG U~RQ9Qaލ02XTTՆ Fwpߴk4 +'=Ox6=uA9@msuYwZf4AV,=F\aaN-ވNد +{y8hDa"j0r@C>7^pZfSFR 05֡D1OZP]2rkXP#) ^}OiJ:VoF?ɃHQgqc8UcuulνԢıB=% vF= <J0)Er9G쒐;oi5\4FdAFΥAn:>p5zFf.bR㑍߳ڻ1P=#@U]:%7ვ|y RUڍAꌀT.BrG|t_⼀8zFR NK6re:G^NATz29ָ5NM +wq>/C֫͟:W4=dߦ}Y]ȵp~Bf$))eGInz(b)#`0ҀO8#?;K{l,3ջQ)DI6Fy#^/`|Ae.&s +rqm:FF+}xķz.U98Bչ% K7t4X?T<?oZl45f"Oʤ/hw5lY}zYaSscBPÔt\NS濠+&ދKh]RJX#'qrܤ-ٳVs;vDQƐ8Js:q܅=rHatǮ_RݖXeK8|{RR{?J!,xcz 5~_CL]Tk컷eE`.{U +endstream +endobj +50 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 51 0 R +/Contents 52 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +51 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT4 97 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +52 0 obj +<< /Length 1648 /Filter /FlateDecode >> +stream +HWێ6EhR>AMԷf 4 +ǫ*%G̐e䜹\z>pZ(+eEF.Urteœ6y<%Znm +~Rz0Å{DhJ)\[$.y>_WeUdL2LWO&cH,蘸ZW8)mXMoTg0BٳYR@d4N(uUrCf {'}A0W VY v 4i7ѲH^@!<,sgO;%}U٧D׬>ܢƎ0&̯&[֫)vcn lo;=cȇg@2;hOBL9i> nߛ/6˲4h{Z:L!ƣ>;{dY1;P2>ѹpv2EϤ%6n̛Vh jӌkmsWu}6t\mCx1!fL*RBtFptv2MĶp|u.Dd?Ӥbݵpu/>lFkuXo}hK +p|r9fV|0ujbQߊ|[,4io݋_L,ߖvmr*YGzCLO&˫HWO.i'ƗZ$Uq^B$l5RZDr=!Avh47( +ҙ](:uuD73#l/%=l.-u[8ɗxt jU0W0Td ;6gV@*Q`d$O끉7Q(xMOf^e:A(cѹ6YA)Vu\0bgG{^:9O@$Nhʚdhс O +2w1$}B =v8Jkb֫XO'OL?b3)1;f +?Ds>mpZBnżunz.}]7ěy7y$UXӂ߽m 3hm@. +AA |Z 6hE +[Ԫfb.^U +R98O+Θn;]\uf5!>oj"g4`4怱Jy. +^ +¹||w'~Â)%6+)^xvN_b<:hdPc+5L8p`/O3<2$<2(ߗ'7XLK~;{s +endstream +endobj +53 0 obj +<< +/Type /Page +/Parent 77 0 R +/Resources 54 0 R +/Contents 55 0 R +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +/Rotate 0 +>> +endobj +54 0 obj +<< +/ProcSet [ /PDF /Text /ImageC /ImageI ] +/Font << /F2 65 0 R /TT2 92 0 R /TT6 56 0 R /TT8 59 0 R >> +/XObject << /Im3 62 0 R >> +/ExtGState << /GS1 98 0 R >> +/ColorSpace << /Cs8 93 0 R /Cs12 63 0 R >> +>> +endobj +55 0 obj +<< /Length 2977 /Filter /FlateDecode >> +stream +HWr+fW4.$&Oy6qv73df@K,jIɇ{H]@ySrLэW\NnLm&2- +X婰l7LD*Re,(AaցbGbf2:?lͬi."igTN$JgݶD_=nLo\a2ϓifH 2*c?5ƝdyB߇S<;k! +m"S.mZ iY:N +_f_٫TK>Mr8~ nz^m*z,d=H͚&5{frX`3m&S@vgK  ΘSbA!%F y]F#I46-1@'c=w,rH1DȖ蹅̯!0zӫL +XTc0Q(e=[oF/JgzT2zEJ܂a2\_ +,gͩ +t ;ICYv H寁$U=-DlphoD*ѧY +(tA!޳0,;3b}R>b "ٻcϑPĨП&* h[tPB}Y+,uR˿KKrHVo|3`]FauTӆM5]CބNdTj\ DӅQE ]8<6&qhDQ(A2(Fl!Ő,gB<ȉ AMLJg>Z@t*ƓIDz'. Y&lG~)\ڼ;} +BS+8bfzSݯkֹąժqKrn#xE,2BZ"̉!O+8, + +gWQgi%;".K[ᲔݭHT^j ގYԴƓY8qc5.Ʒj&@,EI\@'!0*Fe1>,Ϯ +2&sJ^%|{|ش;=4-hB6uղ]>&Ri2cum|vΰDYf#\yoCG߫W#@%{h>._l{pgZu)}ǝ-t^#Eܚ6/f"I$,-|,y"f$?ɜ2ȒyӐ>wOuغyuOmA~{qmw GyXrcQۂ~w+`bHlXMW ik +>VX`j± +lQmؽ_]' +wPQh˞:%Nz̯ +xưHB  o]=:{opTZq^G)|Bʖc[(yEU$lCK,@(2%!b|͐qgp+,H^F'ѸqVHCv' * ږЇU݁Y_9NŸnMQt[wp ׻u;_)K} +̺c] 2dv/a53 634Whz*$nq}YftP8}p3ӊu$@kÊ;Ou@!Z6e0u}Nq.)uZ8|o +w,c;Ä;9nIy5{ +=ع!z/spG$" +sz6Ne_ٺYĺm]/=-4jn~`0nx1OYڙs*<70gA8DQ|Y/$P&O71L"Eޞj0 +PLwoR `N,bX ʎA +BTy ė`1tAi_ } K4*%raƂJ.iqP9iiǥp#=OaǞ7\v׻u%Vn +;\L%`#SE^CҦhѭR I14O}s@!bH3S=M [eTdc#>&uHaGs,BbV0n, +S^m%VLq&!oI%9]5=081x1jLHumPMڵOP-sbWK[-/7Z2f| _-r}HtFt`#d:9d,pO^D&&k,#uT;;p+n-P0`c?\y$z3}{v48%;=}ٱLqmVR> +endstream +endobj +56 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 150 +/Widths [ 250 0 0 0 0 0 0 0 333 333 0 564 250 333 250 278 500 500 500 500 500 +500 500 500 500 500 278 278 0 564 564 0 0 722 667 667 722 611 556 +722 722 333 0 722 611 889 722 722 556 0 667 556 611 722 0 944 722 +722 611 0 0 0 469 500 0 444 500 444 500 444 333 500 500 278 278 +500 278 778 500 500 500 500 333 389 278 500 500 722 500 500 444 +0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 333 333 0 0 0 500 ] +/Encoding /WinAnsiEncoding +/BaseFont /ANJMCJ+TimesNewRomanPSMT +/FontDescriptor 68 0 R +>> +endobj +57 0 obj +[ +/Indexed 93 0 R 214 58 0 R +] +endobj +58 0 obj +<< /Length 660 /Filter /FlateDecode >> +stream +HzQQ|vEE{~xBB22zTTy??==::::,,--NNpp;;nn}77XX``55..22w + +00便..TT++iis11u((ff""44rr>> ccϊIJee~&&\\##oo88 qq3366ssMM}""33vv + +QQ$$ + +77''$$~((~++^^΅~##LL33攔ə焄ss88!!&&== << + + 5] +endstream +endobj +59 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 121 +/Widths [ 278 0 0 0 0 0 0 0 0 0 0 0 0 333 278 0 0 556 556 556 556 556 0 0 0 +0 0 0 0 0 0 0 0 722 0 722 722 0 0 0 722 278 0 0 0 0 0 778 667 0 +722 667 611 0 0 0 0 0 0 0 0 0 0 0 0 556 611 556 611 556 0 611 611 +278 0 0 278 889 611 611 611 0 389 556 333 611 556 0 0 556 ] +/Encoding /WinAnsiEncoding +/BaseFont /ANJMIN+Arial-BoldMT +/FontDescriptor 70 0 R +>> +endobj +60 0 obj +[ +/Indexed 93 0 R 214 61 0 R +] +endobj +61 0 obj +<< /Length 660 /Filter /FlateDecode >> +stream +HzQQ|vEE{~xBB22zTTy??==::::,,--NNpp;;nn}77XX``55..22w + +00便..TT++iis11u((ff""44rr>> ccϊIJee~&&\\##oo88 qq3366ssMM}""33vv + +QQ$$ + +77''$$~((~++^^΅~##LL33攔ə焄ss88!!&&== << + + 1] +endstream +endobj +62 0 obj +<< /Type /XObject /Subtype /Image /Width 41 /Height 42 /BitsPerComponent 8 +/ColorSpace 63 0 R /Length 669 /Filter /FlateDecode >> +stream +HC@:;2*(֖ƪTAQ +"R7(*7{uo2l}w_޽KÈi<*hZZf9^FrS.4p<Ei$i2vY4&M2NӴ$ݴ3f"wYŊMؒ94}\7,\"EYL0,bXRtY"rhUYv 5rzv]Ez!佲ڥi#+wl2-1*ZUmHm{͎*عKqؽGn@@iY9"VM=4 + #]?!cŝt/OiHqϞSԅ\t9udk wZhNJD)\O Wo2| +o+o;ʧoN+^ݻ@fy'?y2I዗J M? 3o4- +!N'B>F%6Sτ52h_jCmw +endstream +endobj +63 0 obj +[ +/Indexed 93 0 R 214 64 0 R +] +endobj +64 0 obj +<< /Length 660 /Filter /FlateDecode >> +stream +HzQQ|vEE{~xBB22zTTy??==::::,,--NNpp;;nn}77XX``55..22w + +00便..TT++iis11u((ff""44rr>> ccϊIJee~&&\\##oo88 qq3366ssMM}""33vv + +QQ$$ + +77''$$~((~++^^΅~##LL33攔ə焄ss88!!&&== << + + 3] +endstream +endobj +65 0 obj +<< +/Type /Font +/Subtype /Type1 +/FirstChar 1 +/LastChar 34 +/Widths [ 521 384 384 384 384 384 384 549 549 549 384 384 384 384 384 384 713 +713 480 480 549 823 333 333 494 494 494 549 549 333 333 549 460 +549 ] +/Encoding 72 0 R +/BaseFont /ANJNJN+Symbol +/FontDescriptor 66 0 R +/ToUnicode 73 0 R +>> +endobj +66 0 obj +<< +/Type /FontDescriptor +/Ascent 0 +/CapHeight 0 +/Descent 0 +/Flags 4 +/FontBBox [ -180 -293 1090 1010 ] +/FontName /ANJNJN+Symbol +/ItalicAngle 0 +/StemV 85 +/CharSet (/greaterequal/bracketright/bracketrightex/bracketleftbt/parenleft/summat\ +ion/braceright/bracketrightbt/parenright/bracelefttp/parenlefttp/pi/bull\ +et/braceleftmid/parenrighttp/parenleftex/plus/braceleftbt/bracketleft/pa\ +renrightex/theta/parenleftbt/infinity/minus/equivalence/bracketlefttp/pa\ +renrightbt/less/product/equal/approxequal/bracketrighttp/bracketleftex/b\ +raceleft) +/FontFile3 67 0 R +>> +endobj +67 0 obj +<< /Filter /FlateDecode /Length 2149 /Subtype /Type1C >> +stream +HS{PSW>$J7hǢB**O#φKJ ($+EѥALutխȪ;tʴqMNp$9g~}wPd +LԦ(ҒH0? !?Ǎ#({N{PZƗ8~У~; d |40,+ ā4TA+8.0~v&HT+c9ZIflBԪ2klL\FfJJ,JK}+ǪcW0k)Tw~KhѝlR>]W&71꟨nk+Aȣ _nnfUSwfzx]Kzʞ?_;NmF]%ޱXˊG#])ǣMF{εXLZ;/Z2Gu=b +oo2(0At"$B؍>u2?.x0mS/\/ռa5?~_{ jR^,tٟrşKe >ni6-=}{tw? ++NirHBcG"Y:;V8ZMKrtˍ(E%a9HG(nɥ>S}D+c8y~CUg!?T+Gm9$NJXV!G vL5ԕ6FrpYCӰfmX)ԅfd +yŬh7PH9Ax ;~!FY}GmX(9hIdI=hɼ(үg1(EDX3mDF%vS/aliQ_{uݒ\藄d/so96)E}HO#,p!b\DOMKǼG;F}d_.{gr4_!DHq9%z.1#HY3CT<y9C4`(ĕBWD; ^ +} y?Mg"?|D~Z +endstream +endobj +68 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 0 +/Descent -216 +/Flags 34 +/FontBBox [ -568 -307 2028 1007 ] +/FontName /ANJMCJ+TimesNewRomanPSMT +/ItalicAngle 0 +/StemV 0 +/FontFile2 69 0 R +>> +endobj +69 0 obj +<< /Filter /FlateDecode /Length 32836 /Length1 50332 >> +stream +H\U tMWϹޏɕI$xJnx31mIpѢīZ(ceet(jaTmTRƨv%ә9?{{ +P1vY9ˁ#od׷*r; GN-u}]G +|%T$6.@b`ƵQ_GFӡ +Z7(Vߪt?W%'qJ^ۋ^ \ޒѡ[[xgoRs87ilA,}#Jz6g o s-ws{܁nѿ"IX8rHVDJ2"6 +^$ + ץ!l񛅅f?8 2 3U22SĘ26ප2I[4@4f}4X|iWgrCl-2{pAhtr=(-",h.nT:*_4$jMxbIXE,el#C2ea +f60{؎$I*D0[!h![r%KrRr;146Mc9d1I#]jJv/pq<3㩴!nw,3l5ɥ  ka?䙪MSQ{Ȭ`nЃ{..>"W@\\E''Pj'Wrp5Q~}\_:ضILMђq"; +w+4(.rJ'S꺞YEpz2SwiJeLo|kn_Ӄu^W/Ikͺj670>pd dtdƑEXzYMuc7$0z n,%v9$Gܔ"AuWi*CyJuZ]Twu =Rҳzb,c#22qtm^侸@YՁC;fNG[2]@XGĽ_R +ǢfŇ].=W!0Nx%_Y2[ޕ,U5X˵ˇDJXf5Gh:sijSTPXWu#WO}Xg%X]V5:e.[0cÎdXZN]s9<4"VOLE d3Pu^H,c8Egz UISt6uS=Qw&2Xݓ}DMiQ>g5wu )mTG\=)F73pY7T#TT/Pk8ʐc%0yО|wS >ommV#YM8!VMYQq_HK<*ʧV]!}K-#yr^!D&2@=RCAi^*q3DK"kOyVhjjrN!kO۾l68 D"PǑ³q|B$if(~_> Z,MUp>IϒxK\ٟbu,6Є`yB 2o]&CxCU!T0 B)DӦFK<55{WvIܩcɯKJLhۦuLtTd+wD+/Z4orhHƍ6_njr:lK+AǝG(wff|O.6eʫqs3yJ}WWtsy.tk Ρ$ݝ?k:9 -Jw%gL-ZKti+(f0-۷KBIB<)j!)3w;_Gzs% d4m::)4RSQ͵?:'YN/JdIvRCSʯ +[X:>X `Osg\2VE72;q] [v/7]Aog Gt$[qY"N,+3KK Z(l\ UT3냀q#^S |´1f}+⦚`͠5=&5<Y3=ũ152?W@Cc[1I6)] +8ԂԢ@+QPژ(PvI-r*=53WbY<%;X(MۿQ~}>QRܓYS6>oD}yYZfF袸 #E1`㸈6p3#MVj*Hnp{MyXil=rIuש^ +OCTNеѾK{4͐ />ʁfb9}M;<_iZXr@JuGXoL(AW➌KG"ٯQ Ps` 1AAZWQ;dA.ARE5dD9M.Y@nφЏE]X7bqA)P=r h g>Q/}lz۶;f`-jKr{3V췮R@SIܓEcT(s:+_o:i:*-Qi=_ISKr!KO +ξ~!Q<ǁ?Wܕ> ݳ:pd0ü}{,qC }%}yǣM]ZJe>rUr`NS%Byne(F{4>w*w6Up +2߰={sDpǠ߅< +4l+WߡVka@.}Uw +rok V u7[FNg.g,qybrlVwyvwWښn'xxWCM"} hFu'wKI9g^*IcUst~lApخ=s>CmKut +hd-a(׭12k[Uk27S{# ̙Q:hͽs4CF~x~ v2d1bUj+֔z|s<mxϞ͐;2}16SuK.ecysm:\ۘ4P $ɍ! f]pGMZ$T烔Z,T +Vv +d6Nkժ4iӄ lۏ-=CKV<{{;okQ=3:R>՛2 +&@1ovz4S`s[)b 9 ǭ؛7S9n'_Hcoj  ؽS?3 +\Sh߼|R:=@{q=sOz~C|]w̻,*!=|;=L} cA# -~@/ +JxI2HUkA\Ӎ/N5WF+䯀®ğ?h0P-⦔p҇ (`L. +^d{l޵yA$|Ap=Φ=g$@x&ic?%]@70 @ P#,l +`m@7`#?% ;BCg] }<+ MOBD z Q(߇7}l=<@):,SmSVRuu&_Òvb;rXNbc&#u 1=Gl .&x {>cOc0Cldk`<{Km'rwz9b#B3ۚpܔ"H&k! +.Dk +KALޥt.[W4J. Ž,P!Zlww2,uZgFb݌qV¬YFrz̲]16kW\k501u\P' ZjڨOu=vbU2\ n}Y<5lX8^8ǯR<.Π`G{qnĹauꆕh^gf@W2aN'E BOCOCOCԸ2z^`6`׀g|i#Jb2e4-+f+=E#A㾸?ok|m~[^zm%z_o:q?uWW_TmWUwU2t 4('hqyU7%@h2aiu!Rā 0OWDK{ ?h]Um7 ѩְ iII8ql +H@Ķ9 6րVUwPdC[9 pɚD<\ +`%\hdVi7_ViUiP$+h5(!$h|ɪ`ɏHG||1n Ú-%\%y\ʵ2E4z:)'9G0;&CE&jxR!R@nYVV0@?FoXyd>GiV$t;4 Q5+ Ɵ@URZ9VJq?ͨz +|UOz +\8 |b;rGBEĶ"fR%d ݘ\aĨ(-}%dZY[\K.'C|R3[N^#R,g|?BŅSuo X._ +$~0IX|,tq1TY>E6s|8ۯË7K>-lم+w}a^|I +B1c_yuV&¤J)r Qq.7~ڛ[WWؽ\{#qd::8as(NNO8Sz66(APy)M̊r8O?f.گԍPzL`uؠt Z_7J(-9bЎ/Ә9Bb^&\ǜG |!Y/05Pc|Ykm3_ϭ71[37n~nT٭UDGv!uewcN#J;p&oO%H#4!êeiAEt A>oɠ\(\BBPad%-l2F|e=pǭRө'>YGeJS1ŁZi򶖊VQh=l,^-mlnyRhSyYowewp7RnhZMFSOZ֡۵w͒K$[/j iQ+&jMv7u{_zl}K`K%OI 򂟍Mj PZ#t`6_:6PbhIҙtډug&Є@ XI7owOwO{ݽcN'64A[Gii^%'RcC<*{'xǖ1=i*mGbC5!N6T!ESM$H_D"( +"Ol_6f}Oפ6Ln`H->tEmZڐ6iJucoG{}!߈o76ǥ0 OKsVg +%g2`A `*GU/`ؑJ:wOGHD:zeܲeG3d՝ ׭Hܺ=';{re]Nju +3 +yf,L!HDI긺\v'H"h>I$ d"$X oHBAe{o)&IH4 u,V%z 4}ɓX +q* ;-ym>l[!ZV?2=w._B6fZ^z ӳVwT`G +|"Î0%yM8NQNҽG=< +u8[غ/3_98D`aWeǙi?EІMYzY_ixPPY"[ +';~F@v]6HHWѪfo?1U +*۶03og`#y'~r̿AdL/՜jTq :"z"q$"qlF# ;M 9W* +` \^(Q:Rר@rތfczn2hsw~6ozRw>퀛Al+cސgI,$nҩwn'5Hf~naeMP_Bt鲾2, +^ 3}}kpw0V[Z[ jrWUl^na]&;% L|9(E1$ +%pqqnDçaLM֠,Wjk@WepM+8i]ν*R"[.Ed6yȲ'=cY|-p[ܮ(BɞcS߼$jʢ+Ʊ3og~~S~,Q}33> '"b.rDwJ\Wf(BE!;%8lM̰:%T mf1ͼYuO2]7[0kw,a{y0M_ou\n7l7k׶dh|+O?+Oq}ƓbX?-)ƨ[Y@Hё] +I^Dݳ1lv(; +Ik6jYO +r|@︝xUȩTREFŸ4~E}=RwjR|N1_$;tYa4W,B +cJ);x8 +|"a{}>dit*$3qY>躣!:B/i!Z2ϳZ.cVXsAa~08l(h-¾+p0;`n8op!JF&urd +㻶_ĝU)!sMpN_{q.sc9Û[u50s tm[| +*P<4 ΓH=U겏j>w_s{M $nB hџUTqҕ"B"#R/`annַ)ň]w[7^fVX[bg[WpϽ9'$}>V0Qn?|? $+,Qu).MeWhVc{&H;`u܌2@B!=PI<Pq*W6=ߓ߈Dُ˨2ڒvFٜQ +'~=3؂8KxcL""LyI7._)0fF@G)n3D)ЈB Wڈ$2B{wPjm:QNvXJz94t}>˴͋GNVMo;2"ePCMxkNp-5/>`|s"3 +լ~]cZ_75B{AuHBH]K1ČC"X3IB(1(A+oyfxN4< K " g`QdJI4>AEStdzqhL9cޙXWCYK+"+*yRa;dIifx^g,>p8 +L_?3j7濏tgɹ +A]l5R0G:`kgza7bssZ5wە::{>'$|>3alڲC&UZKū@e+'zp")~k/A9xŕ8t :akQ>hTB%D9!S3zƦr]SaZkXo( .DXjgׁUєq9HALwo}}Ͼս +DV?}ta/$[EelgYSQPa6*D3$]a*xt9JC!>g#ƽ~bFf1Fh V H"P"4bBT~6FlC9_6N2d~ҕ\Us=gQ%*Fd@T`7\pBF8+[2kAysYN;{`w7ۿlw]늧jeUG?;irǰ{~nu +TENqSSз ]c%l$ C4` UH +RB~zGMJDlH"M 8Me4KV/+bm?K'L cDS12CLaԇHLgZۂtj/,τSrƞGs.,V7_ +o/JV?)i0inP!PQw:XyP`k;?nqWLF/$ ޲Du0~Q?>\ƏbhK/EeUڕ1gJEUym"Nң{|hQw,OR-KlQ]Iz +l#!OyYey|g'}}!vrvB\)E&HФ kR8ji@BA1>TbhtMڪ +֢M0mPXƶ{.l{ʐOD_䅵5(S{1NPuń7JB?ӗp\pd5ziU +ih5 {ӻQ  +ȁWZ1'#G2a05"~ap?vY8t;1-n +Wŷ; +ٚ$-ŧ9ix_82eq!!U/gMN#քJ3{p`qdh +t`B稬|=CWp2 @d}Y!t^=_zjs^!璠 +觎~#-l$syW(^jH>OQ[DoyxݥL +mngрL?.m*"e7dԢtO6gG~$$C̰m3?L~e#M}aR?E> .gWUrU +ܒ,bTe $-2{DqewODCvۡ6:ۑDHic 36-f[xtЦ'Ph t]u[ +~\,@7W07oTOBvVn԰iq/ yH>q8eH{H ++JKIC +K'Ɩ.GB<;¿ن' +ku/ZrSUޛtٹ12JUHń`PN@Azr X"mM.0&#eb6F]K;vV8=+c2ˣ|_]H +(eXo.OJӕ*:TA G櫛sy1Zk vw̕}((-@vH +.f3Cj[&b",ymcdw3z5 -ý^MdA_z)2]{ nF̩W_E"VZւ*9JաX:mqόy-3Aq'6%iKbC"^<m1@w$x؈uY'pB鴠Q!%oۡlo#d^{e/.T[VyawjjV7M 'bZIV:Ί]b΀96J(ffeLJأfE +lP8&°ƴ0_ +$7ls!Lx ~ۦ6 "RPp!`ۡXkW_Fw'wq*Q@@kq,QNv7 ~q}J<}ӗOy@#_gz.>*[䥴s-C7bX"PB,ƕYdhdVDuFウήYy{þ?ǀ1 +m, qk˱R\ +R)Qc!TjT6JUQBSEDh qB+إjm;tC +v>iI$Q$+5c庺z5MӎN^'AN뤜͚HFj%>(au_!N,Ξ,K + +ZwkmU`VR&(f:YGWЏ.h UWf +ܫϰӸiG,DX6ؚ.ç[Wu]B u[/VI7I鲚QoS宯BRt$o6#[j| HDW"n0E.}1j! M::9+Pf4O%c?r ρaA+B[W82I~Hr~x_Dp{M-5 Kg(ϸE@Qk7}j[9H)9#3He_ɇ?BwV$I8V'υ7{_i'aO"˳Cdm_?h{6y<3yj% +?Q&jRVC<8W\X/0 @o7p'` nb_TrC浼Yʪz5jsR~W{H<kQ/hoŒ;pۊ\1G{"lUiG͑\x"9M4$XVoڜ\c&?LhKUfR Yخ|cg˥}?XuKz0G뫟_#k78#cWU ̀_+myP4SFۿy':F#+(JV- +BD-U *[BVs./ vMD]@b(KyeVq(E"\φJ=%חݐ%٩ڗ&EKJm>|*7@fӠ򽞷 +u}W1 :Yn)YKo諣үR"p0!k{/7ǥ-fr)Hhx.,(YE! +aQ E4V\z;4>zM=Ii +<+S'oL̲> +ZŵDR@M|NEkHJJ.m6Jf[V2`*?zZ׳3.{r:{%lis:4[Z1>r81b~hcu=eۋd5>L08")"6L-~Y%<^Jm0cCÁurm\HA\ ؤ,&,3.`eT&ѕZXfX?-{qÝL[^.ޙ=-18v .޹|] jg^ͼ +K۠M !hR+%?-y%N _+drf}dYw)-+|\/׃|4T^lT +`o0k+O ,s!KH†_r 4WYAk߰(bh5? +Hi`Lֵ,$( )4кvj4MJ0iLXV6i^N=s UNO>^'semfS35:k`wEz:HO[E)NFE+^V>>W$͒{}>/Z,jR_#:*R$"OTo!=9 suj1dABD.-[!@vjuti%]CBF"~ҏ%~Bw@ua5wij+W# =:03Dd9;Fӳ`l|1;9k46çomϳ +9)Zl|(=P!(kXbkgAyO`#8% wQ  x̤j![gπ4d`o+"Q|D>%oRϹX`]m+hs}gYb{+[|X[ãKM= H!bV +o}kV||]0VKZ]V5ɧ}ʐbH]jFeMصUXm@ea,FT6Q47hwQsC؀-f _>S)+|XP&Fi8Q3 +L\-[H8`2l8l8N6U HyyȒ5!.3 ΝgΆU1Vhv؎ ?,mp@8O\j#;Ƀ7 +|4v23dj?dSKҦ>{:[d4(, Fb㱘CH`sSM3k^n~̼j>bf$໺3't8Mp"xt"OC,e[AokleZ PqNCD`:Mِ!;ˆ١X.,u>?[si~~֦ٚjQyJLK(g<ȢHy\P Ā1I/81Ԭ=djf=|YVSY'L$OBnIH5+?{ +$ +FN v~r'Gz_^>mކ*UDZM;/߇_8&lh揦Jǽ Npb&jЍ1XOk3iηοN8PDi6htlv}>u%۰}ر95YΞ`>XS$ R(0>5UqAaAq}ISm}%"" C pQh{|=չŵkq06[mRQ[= +p MWmw<[  '}\DcLn/l ް +] _ +tz~S_H79L FaY+>zH*f].|I +'Bit& |Xq2CDN_\ԕ)E%gR.""$/d +OӸ6e)dh{yQ +b#|.O6T5rlͣ +,fߌl|˝>H}]rNh7@ B"-I ae4ʀRW` mnƺItSlABTII>`hLwѽ<| +c͆²olR8X)@o%jC00a.c[0,L/:ůwwձ4L ~ ymsWso73{*/hm*/r7*gB9D`?Y*m㋻i7hiZ- K̂dd-DbεN4UԉNzYZVmP;}6fg/W%JJMde>#ij2l^G75"hr6@Z, ++%cQ5my(q.l0>aBtPQz`Y`KAESrbcCj1U(怛M(!`c[P~G&º8`P=>#m l×sFvIX}l7|{3X(֙3ˮo]‡KlF#$'I'AudӤo6y;/mE}iKz;@ 0fF輞ltNɢX@0~b_@%72#amw; L&R;gWS{ͽ־~0s??<$ԿgNRS̩Ia2uy[67g#Q#,{L5s#!%1?ԆTlJ5f +t*EѴ?}:Ma0>BUA e;'0~qjn(R 0$d*~!)$ [Y H=IY8-H1)~H+e? +(;]yh^,|YVXUX]s'Ӆ;17)Fd."; x':ˎ q'8;s#c#r +;oS?;hPnF{$y>hlfT=UevlG9ix.D:,4)OeRb=]]=J>sLV$1g-kqؕm,c*Vꍪy-gzrRI.r-Dz _&gEBU0*K +DRQuNKpq!JXV0yq(ĻE3,fK6yHv 7O&F*b`,c4SNpUq[1騄KSՋN|wϭ=<]a + < +6 ,\A7M(6Hv7dU:vp7aXlO}[3v Q[bԖar6jQqC8 g)ΖD./9>Ff9k4؁+ J{(50:PU +&xV̓0\LEns6{l1pf?th +;.?@e1iڇ?]z~OQC+|,ZV"bGQ|lrip8󴤔E@]DH@W0t6fۤoasdX++}936kiD— p̊],7UY/&؎}IvK[ҴI4[(ـ؁ +#ʺuAݦn]7u)kD> Z ,J'k=wg+=}VA2n¡ +laOw_x,6 +֙Xc±p;~yn0f@.?211f sLYNYki9"k}>Ri]F"LvͰKv6@hr2J+?}8i+'Ef2 +AyWNUU ģW!W7}!`N=M\<-fO0s;M:{kp9M8`e? YQ7s3n^ƦuLjr||UG_ȏ& +r~b-_|$)UYiR)y*TNPH]i(j k +KzI&̃'I2PռŴ֐Vo}_~g[b F{+?7q#h;|sw;PM\/Uy;ߗl}&9+i HXuc֡NQB+%b*kF֏A +$J6um +*)Stwz{" zgBoo"*ީF33d%v.X%c11P3CI[r;WCgbBlYeW`񌐕;AyMIit^b_Ev{;~t34Ei =GI;]mִuq1 ϟHm"5Z +>kl1B0bГ6`xH ^a@f83d9C1gyM¯[%š5k|'0^eXބz1dˈԲ%C=|p]h BH:9&@vxO2znj +iI v"=S{qeiζ7ð bqQp!45M2ie. +@q~˜X t`4_w{͋{-y(%fbhNE|΃ZVlVE8a6FT bBbc5Q'y>Η~xw +AtjXBBu>]moGJ#cLUun*Mv18&{Vi +Vt3~r:+qʧN'nOx9/դW^ +76v}oIwl?cÃ⯰Fi?f,"p,DEdf`aߺRa2q>Jx{/8_p VˆyzzBap\B+^erm&msgיlvBުM5՘U)B?V:?'Sgt4x7[ث$,Vq17Ȼ+n}#4,1uGE-y +"^\BOgD<!0 ޳޹&1Rp!$B`(hJ=EY,7 Um&2-q"Oy`@GJ4a.U"mA em'7]eepr~|l|w 6/+7=l:u@V`ƤTtC@y%BP +P$ JXr@:AF62 HVuH] lo);=pg=%'\Mó2ddmm[dۙt \sF/:p:BCu4tBN +p$+H˯[u-52SWTCêS?Wun੯kgM`7 ɡߟ9R0MrWoK?lhђj8r{ͻ[|:Zkg-@5+U.iEI֕N96KdZ| +cY>8;íJq㡾(`u}ʮ +Ki$*B`V́Jj:EΥR)B@>UL--dT fYi6r^!oEMM o MmV&i%,siq̕YGrh+Eb!+>l" /62w{lߝs󥎝\6$NM$ 7ClP'`IS544&H BW!FxQUb7HT۪Z^;'@"r;+R|c?,lmPi"S$;d.QHu.CBr=1K V%IvG[+TwcKd-`s[@ܫV4#fP mhɰ19 +q$} X̷`yl)J?:_<މI$lDx$Wo+*Yi(E+t|7V4sP'^U @2|MON40,Ive눎IWus +gjb +46]m~j Sՙ0hYXP&sf?j\f+oZȑ\MBB5͖;3+Tfo<<>bg\t\yT<пatZ[{n|Csêfc͎f\{GQY6f/qCAm}n + ݖXVS$-ZMyCޕmVVSeKAW3+3ؗF?߅) =ZEj[f &DOh)D_ g0O&uX!2* +pw?]HQ .yV|TjĶ翏񖖰 0?)X%l> +NomODx[Z7#I7YYyt wH-}iUW4,Wqzdz{a0af`N30cUU賈uG).IcaA@i'9Of' )qQ:@0 9l$E[&5(֏y RH, $<>+t38M2 G0{t¼~kr%zډn~&WC#=G|ɍ' 0{?(*eϕotrG* +AlggaOD)T'Ӱإ_+.kc`9b. +5X` +ɶ6HPHL&*:3P[ 0N3ezئ@ME +jrP[ ;G +Z>9z/Nt՜8cK3"iĶ 4/h/@U]NT'9I*.4UoۼS5UPj6SgG]jnj #sDϑH;Bch_IeВ7e\IԜ KU,%tהiިvL#>Em).ke}e" +*hG;{cb/ +E{өJĀNҏ +@/j267` x>0AX +kSǖ/9tS ,ifq<<2)LG~_ꔫe4%'tّI{h)P`Cw3Wꄟ*J< μv(ȓ3\yҥ +8`u^6u禾:h‹+-"EKUɤK}*hYdky0RЇJ*E>(D6]v\?.Qu0d%6Ֆj_UjTFUnnX&jKۜ4occ¾Pex [O:>~WO~0y +;9Hk_|=\|s^-_Y~_ġ>Kώ +:86PX`5 ~y?[ZGck +(!]:5\nJIcvyvA +q]Mwv~ٱrNȵ5)$@Hxiy+VҲvK6,A[;UJlZJX+ VӦ"*V)X=w.%Yk6(kG}Ł; +\YͲ0Ϸ7YiSb;?qLWwñ;`xҊO\F1lUGZx?Fa mڧiTOo$Φz^lK(]bWjCvp5էvgAxxP<<@y|=Z;w)S( +'F}if/{ ~p$Hl6'D"D(@A L |on^g?c1]m?nІ2I.M)-B3r oM&. /b~I/)TE5LTEAC/([;m{q{lu;6y\(]:b.f95߿|q6= +E%+A:,nS/"FdsBa UL>8W2""!6.}Vt@ +a$ +("PefEO +Hʽt:4 Oa +Cƻe>XG7kJ[XM{ݏ(k-,6G\XKTοBdgi3ܝ̡'j@E&;558_ѵ5>N6"A$RJ槁"݌UߒnEU'ӦIUG:_ +B'#7 H.kPV0 kɠ:#ƅSҩQ C%']*hǑVG/ߜݓw:_8zy@W;=<)?/ _xsH9Sb)/ +IdUQSM[fYn)w)#Ϲ_^IͼMy-z~AX7SM@47#.nb=]4.jZ%EQ2 +xqIiLor +!?niBt*U9Xql@P '%u"0+,уy*.&xTj}Hd](,-_=zkQ FJ>C3~MSWj]P`(kb +2zLYCx6n[Ѝ^4&B-" _`i#DmN$ +í7F nF +]׬Bj!]U=z#c񛷒\@X67&yiUڞ +bcyf2i[}e% ($bcGC梖{z:(TOk^mPB|Ad4dd8P>`Nܑ~Df +~&*Z~z\/O/ߨD l9elA|RUسHT}}/ +AQ@*YByUA +dAl9E*ʲg3,U5L՗=o36/ +]^mA֍j@NxM[UmTxt=Q6 F v]XOĵkqX6U1f * ENV:|Fj4n +N4nH0 +U**Y[mTnω6K# !r-U:$I>|\&|鐍K0Џ`,]& +=']& Kؼe t$q{xr>2?=uTa6H$kZ +&wOK!iYu4W}J9ӝ}}7Kio!d.~Wml~fwϾݻ]Ww˝}ž>;}&N8qoMCpHҖ TjR @-P EAT% PM+dbiH鿛3׼3-@[1ҹH#kЅ |Q.yʦj6pz~A=;zITIUM߱=\m~Ĉ;2V&w2Ǥq}_`,~~R:O ?"=Y'3aATÈb7DLЄaX%1(H8:p.닚'ѺpKҨi~<OHO+!ҩNOy]2Tg%Ȕt >1gu8_ =O7#C[znhbnq.TCu38gd=YhڱC~ ͌ggVzf]-vmfU։d/yW ]yо`j/=67'B-RyOkJHW2hYtR=-:\Br#;k(Q:vNsVԆ젆NCd^6Z >aZvM/ +iUMء{a@"\T +qUU6~#;YQ"ywpœNm̖p9 a) I\d\G*γi⦶먋wNO5g# qzL6f& su*imahNJqtqjZH%V[rc<%V:3aҠ\ۊ{ŔHQOP#F"BIO3%-B$/xZn<=n|^یH"_Vn v2>d!/ ՛vۻti8 TX|e7iK1=\߻TyR8<@ {K(d({MI=(0^E]z/ E_E6l_GǶc{*"9 6'_JޤC*cl(=b=C_:|'Ѳ\jCLf\fm_p:(0ZvHFAE)W6VM?2O-^ReNoSEf\)ťU*8W՛p84݅bXlY+b.'*pMcklG$YK&,Z[fm&|L~M Ȁ,MY]N%P`z^K@J{.Hzo"YDW NƲXuh;,Z׎@@7ogO@OX +i> + ^wۻ9`/>yiôfq8͙z8-;o#<#<#<#<x +pz=ͧeHeU&fQ[XU߀dSjU]w{ +{7mNg2u;wg|mo؝*R*X} +ЁV0p&XekF5 A6a۱#x"g}:][ΰcn'>jYO|TtQ SӂH# ld</G D?GeF=> +endobj +71 0 obj +<< /Filter /FlateDecode /Length 16098 /Length1 29640 >> +stream +H|U tW97oA7T&S܄HZMN$ R PSAGRХP͢FU,.1 r2Ykz}go?/@ ^Dΰc +LM +W{ViUeY<:cß6 3.:;H +n +s粊7~ׁ>&p0(ggkz7DWEi蔼MdSR天UC~55n xo=U,>wyWX[õwJ:]EPp-x !x˩:#/ a!0oN5F濁Op|IfP +?᏾ȥPp-ư˰^5԰ @syݰP-NK,fYNA3O"X͌)`06EOz k1ZkRF`"~ZljG9ik",h' +TIo +AX{(KbX$x)qZ00iq2AfɑPNd,9#Dn yQ^-0If?A5ii}Z֤[%mag`9gX{Yshurf|K.iUnByLg܏8,Mf +S$*gO{TR[{ωQ؋$(=*f>g,gpmDɢ<Y|6<4uƋ(a C4?I +z>Ągztwu{S]:cl:u Ҿ]6A~>V 82E[dX. 21"d*K$)XOAJCϰ1]A#3i/Ѝ/=K/ҁLl@/sF洲ڌ"'K;PϤ?SFa}|iwfv!dJ( aPz}{&+tÒnXfr7X;kƘ{kT!]mخy>GV.=(Y^{uqx\oA෢KfQm&^A51 ߠylRx M8jqj"k +ΰmLlFd^|f !͝-"Uxn Amx^+>,yٳ Xg$v)ܗT?+Y`'āP'6͍Etq%]d"svfX:cSmt(ޟ<ˣ?^||-Ig~J% +UbEVeYCZ7DP@S)zqup(--4q"KƚbsD|ڤ<=yQ>|4+OJnP]AQŘH+ +qr8ɉV/OCoȜBRd/s&ros Ŭ<;]orey/:YаJØP`S)&5Ɯ}|k ^FHzZ<>>Ǻ"\}`ֺ>ڒD?:X +>9%8cp:m"Î 4@9e B3MkC;&nA{u&泅+M>" =iRg6ȋϫ`,O3U? HG1^O񔬜وwq?n +Ҝ[Ku궬>wa5wNpO=q7,Ѡҫy!cG.Ntpmn]-اG3>39nSg9Ee& q>G:y{i5;f|Xi60 ;5besj>ZEY/bq*o^}aho<}W=E1KVLTkF:tοE,S:awїtj_qK8M+]$L;@%V<yy{>SG +OQڇ9G0cz⺇>1)\GM>ۅ/"GB#?u8j|%J4p"_]GXk 7M{O^څT/c?J/ųF0BƟGڤGnX^e%DI0Q6m>{oň(ÏQ WxR=Βy^zFF``HZVJBD2z]nWd/`y>Sp6QɃ.PPq5+(WT?8!%?Cj)GR=H( =2AG;6tFz~.'L`Ե) c~:f/±FizvQO##2)(OZi;+mz@͋4_jTI@7hGi#}0/pv"Y*ɋ^z,_hP\ˤ7a:][~MU9<J +3m*LrŵC]EcPHodC M ޏ>_~OE 說 + +&66c˅?`zZ?het70NܽCK8:p02(qO0:k,]1N<(S\qKky5-: *+=wĠL_Kد뒳>Rrƛ6oK8%=2Wսr69S/o[|cADW]~Vp*G`V6.C.WCшXuDZX{qD*4BhQZyo􇕝" c:8~w9!M%{`l'N]7 P^pVk iehN&e6 LH$4ISŭy>j7A*U:MI}0۔A'Z{wg3-6oFl[ +1rV!)Ud" J^_-m@x(6*%/:k?| ~Z{<͒x 6҇f b𤶃tؤho3)z1U;Tģ ܥ"ƍLMJe]t҉ R۹JANJ-؂զ6ǰ,sdQ@GoDI] ?xuߣeG7$\OK+cUEBch Z/B :4j^m9lf[3YCY"O;O9-@V*{{c ͇`((˙DVckdbDi/vvE [y@^a7`܃NmZ%'??ewZY*0Yf^v_"j5V` +Iex4#S^f sGͲ5ѿYfe{wc]1=;p&ȧl?9UVf[$lK[NixWف"W{gQs6!zxޥO +d]:`dA؂鋛3b.i@4]Ҍ1ƒay<ل]E'Lh +Gܶ{ ʂPU>lR2"q<]ހTW&IyyyyEAAAWOBȁȁȁ)""""9" ҊHHHH+" +" +" a*a0A0A LEDADADADUDDDTPa0a0@* «ڟIHuuuuEAAAQQQgZH +H +HM!5 5 5 5ԀԀԚ>LA $***تbxMBA l"l6 +VDDDDAE$vf\pe3O4|+A_ 'qHP9S>A +X]xB/AgEhv{}T_ԗ;e3sԹ\vqnZv̰֝[=Gh!or"(`wd/az7LrV {:ԓ qӌP<“i\ta}f?>TKPA(qu-|r +A!CA}cˬ07]*}&-rP/"4 +PNs"!5݀/ ~7엂 >{QϸXӏ㾥Ğ/BAcZh܃H~~P]$$7:IDMo$]+aB*4f1XXύv7P/|53-.VC|)0A_4p?#e._üg_2솹(g)~uOU9MvOo.?()&ƪ\_ro<*W? +?/-fXR_O!ݯw=zu\.r:uv:ҜY:TdB cȳުX0M3$uڰu_}vGƆq̎l=}"St>6Rd,SnkBez—rh1EY?}c$uF^dϿ~ZZ#Pξ+>{a&eak3H?5nOǓ?iӼ&"Y0FRpf92rfK6'X˒c%̄k;ܧ3E9܊3ޝ䁮Au?km7$"VĒMxj +n޽]J-$a䧬F/H\Sad )&5q)p63ieNMJ;`!I) Gt 0NЦث+L3e=9"+mEO +FEgUj]<ZQe5`W؃om;s/[u<|DW恊Uu+a&Cmhj9t$iq&; +dñ\GACK$}BHX9W!x}BG({h~1_:3)ħ,`84eiLj [ܻ7Wܷ/.v~JtV{oПe"H`91kGιw3F]Mr]&l<" +S8<{`S3p EjbI Ix{'=LN'i$j62Cz10h*ȍN hH>HO&*c( +X[Ws%ݟ}*& ZԻ8z͠! X3;Wp^yƼc>KcAca'"LFOg {ްs +тG' +O-vA8r fp2Ā!?*ػ1uii`Nruwݽs].pIcp%E $!N &@ڒt +<$GC[KF-%$#ѪaKEDZɦ&A?w +ZG +JID8q{ <1m,]ށE*^e~xg2h5ڱgIoY7;/w!*l +2At=utL$={Iz癝iL-aY%/~Є,i*.JsQ(&6{I [8%5&lJ +F#jQ# mǦ/% y_<Wf3nR>V6Ǘ~e^mqҒ_/v f֯=+'ӧ8ZoqBD4ث,4Q(e&903{WFn"P% +T*!!WIO dZaZ9i +ok80\AtI +X90n#ᯖk'$gL r2r +{jWUo3VQ FcV*ä3Ls[&IfK\%XpG=c +m+Os B2PQ +wg%Kڢ].n~HI4[M޸weݴ޶Fw;6qtxnv.&G`e(w NBa}reMVGfCmx0e{=gZ1v jq`[䴏65#+:LM߬(C>|U򃫻'~__V+GFF}ݴ(cac瀗͠yb()fǝ.ȒuTcO-tA?w Cځ.!,5S/\7cIya3_>޿>,^Rcπ&ǒ +CG9*^pNtdvZxP\+>!v{EF Sx+2%T&"LqfѕBcVtWkp޻OVZiWd+Z Aap5vB;yyŴXm %GH3&4$bbC) B;m'd%5v -=w6a2:+{}|-H`E@pAtpi/IR pIS@D ѴJh8rTCBX zia[-54e&۵v2}@LWбʿiz.XV{le:Gڻ;ro= +<׈sz32foVΆ֪k}>'Uut +{l`Z`2[U3BVSCSUj5ڻݦCr_l8AC*-f7ӈ&܀& %LG@ë0S'JE|#$$ExP,tMARR irNLæՔ f6PE̺!}.v[Lu.|F|jo\WPшCݘ7#eN3lƝjXGNtfS5lF;A.SpNJbaxDd'NܥEVp1vs]+bͺ)O xð?Lzuօ +Hɥ5/.ݺiwt. jWI߃vt~`:p%H䣟>IP;tgS+fϱ1\rVp+|̋) +rNP +0D&,^jHIqM T.}lgH:15щjM/$Kp$D擴GV1ER!QilIlE+FǼq)z +|$ץkl$A, U3H*vEM-"`DcPHv@ /'ÉkU<"k86K&$I% \k%5\ؽG=QBae(Qo!:X29~Xf84<-a +:{"GT$"*i2T,L4w99& ~!2*H"/.JqT!.,,2C&zإVvuX%oH%bKVа-vNjPf8 aCl@54[ֳK;@ᜧk-]rujߺ3,n\ms&l +pK\O𰲴ߝ6zO-)axP,G04$./ n`3.^dКkd_49NhU* ++HF!zp61У'$^Sϐ _OnK~qrpN7ó9ó Wq4uUpUU9iTPDzޡS^O괮rڎG+@F&F)zFGL][N}c o_OZ։ /Y\?!d{-}qBpfBDrpONW֖DVxb("l!ۓ$( +c0Uk#b*I1 x5P4˦o +Dխ~:|Aة.u9:p +UKu5FɌ!ʨ~> W0 E__. + m&Y c4"yyd'9whF糾ZYF8!ö%Ƽ(Q=\aݗP޶={~6u]s{~;NClC< O$|TtMvP6DSf->4$NӪhЖnlm:eJ޹nU&M~Ϲ;Y^z쏞΍422pv+wu"qStk}ٙ;-3d'^}q앯B<&"JF.[+?e.sVa+1Gl9d1qzٌ25SH +NO̠W>#)sb5g}d 7iV9v۝'ݙyyS쀶Quehy açprdKP_SŎoiNu΢P$ub_]|R rh`VZF4a~7rCRA:*_&lE9I[; Pk_(cKUtkf"VoKZ:.7VK +isW?fۭrD}|7Fym@D']"a8Q²t{q%!v 71\K\긵Nq_UMU=]R좕LT19+ 0Y +{p$Ճe2/x,(}jTL.]$YMjIui8$:J qI> +qY71 q(;/-ID!Qf +BHܰQ:~ c'oKb9[OgŌQ0v^t1-USF2KV-h,I|>xg_/{xomP0F~7;36ֆ<s>)7/FrW^32XX+ +V{z@ +QeF!>V0[6=hpAeqÛiDZ X zTӤR(쐲O2@q*!%p?{Vo;( obΟhtNiPNbw9x@<bTFI]t]:b\+u['# +O>I\JvS`媺s_g>_ˆa.AW׸6XLis1ʼn^z*n% +fvѽ$o2`-dB,w'tL>NiL)[N e;TﺇOc+v$Ң"rPO=x6eO; c8d:hHϊ&bl@X5/6hSzP +&Q*1 +DrU82DLJJIpZV:$uc2"ur,:DOw@BX]DSIگ +`w,{C6!%r`Hokz$Vثw Nvq۝op +2o-0gqq9RHig ի -tD;dsncY=Qje!$=C`F썥Lj/Ke.0J2ɀ +Ab%gS&I$vlwNO"o23ZǕ1c9NU0@ۨ/`^s^MβN[L]r5n?vɄ?ϡ߫vv6UTP'DdY]foWwqz~Mfb`Wcpm/E&ܸy +޿ +ԇTm]5l?CypA\p(xxMxlҍe]! ܘyXT"("("("(" MH=;[pb8$ +i^NҖAk +ήлzM_?'ADUm9E ˡ V qx"U!v ,N聵 N6} +v{c ƞ?9=YN'> ء0gq`a9Wu/H,ڹy֚۶o_=Bst@+: N4Z4 tR +(K.C>N6Ua``Ð + }b6_ϙ@8(dx0!IУd$&h?%|^FDoׯ?\9\P> +endobj +73 0 obj +<< /Filter /FlateDecode /Length 407 >> +stream +HTKo0>{"Bj^R}l"`CYH=0^v&wG *]UAՍ][:s!ejDU:Ne$jZSwyO*yօƟ|0(n}q*ϕu$پ[:Kagݵ/+Jv*&WQ&%:>dzQf4g@f99<5`)`X"La` + g;kMU6%c-y-0ay1+)/[& Ig% +̉0`ThQ@bnE^Ȥp.p"Жhp[G2G[9ɲ +k{Ľz W?\e +endstream +endobj +74 0 obj +<< +/Producer (Acrobat Distiller 4.0 for Windows) +/Creator (Microsoft Word 8.0) +/ModDate (D:20010622113504+02'00') +/Author (Richard Herveille) +/Subject (OpenCores CORDIC Cores Datasheet) +/Title (CORDIC Core Specifications) +/CreationDate (D:20010622113444) +>> +endobj +75 0 obj +<< +/Type /Pages +/Kids [ 90 0 R 1 0 R 5 0 R 9 0 R 17 0 R 20 0 R 23 0 R 26 0 R 29 0 R 32 0 R +] +/Count 10 +/Parent 76 0 R +>> +endobj +76 0 obj +<< +/Type /Pages +/Kids [ 75 0 R 77 0 R ] +/Count 17 +>> +endobj +77 0 obj +<< +/Type /Pages +/Kids [ 35 0 R 38 0 R 41 0 R 44 0 R 47 0 R 50 0 R 53 0 R ] +/Count 7 +/Parent 76 0 R +>> +endobj +78 0 obj +<< +/Dt (D:20010622113503) +/JTM (Distiller) +>> +endobj +79 0 obj +/This +endobj +80 0 obj +<< +/CP (Distiller) +/Fi 79 0 R +>> +endobj +81 0 obj +<< +/R [ 600 600 ] +>> +endobj +82 0 obj +<< +/JTF 0 +/MB [ 0 0 612 792 ] +/R 81 0 R +/W [ 0 16 ] +>> +endobj +83 0 obj +<< +/Fi [ 80 0 R ] +/P [ 82 0 R ] +>> +endobj +84 0 obj +<< +/Dm [ 612 792 612 792 ] +>> +endobj +85 0 obj +<< +/Me 84 0 R +>> +endobj +86 0 obj +<< +/D [ 83 0 R ] +/MS 85 0 R +/Type /JobTicketContents +>> +endobj +87 0 obj +<< +/A [ 78 0 R ] +/Cn [ 86 0 R ] +/V 1.10001 +>> +endobj +xref +0 88 +0000000000 65535 f +0000052315 00000 n +0000052466 00000 n +0000052668 00000 n +0000053169 00000 n +0000054003 00000 n +0000054154 00000 n +0000054368 00000 n +0000055655 00000 n +0000056489 00000 n +0000056690 00000 n +0000056838 00000 n +0000056986 00000 n +0000057134 00000 n +0000057282 00000 n +0000057430 00000 n +0000057646 00000 n +0000058417 00000 n +0000058571 00000 n +0000058786 00000 n +0000060390 00000 n +0000060544 00000 n +0000060759 00000 n +0000063613 00000 n +0000063767 00000 n +0000063982 00000 n +0000067416 00000 n +0000067570 00000 n +0000067785 00000 n +0000069390 00000 n +0000069544 00000 n +0000069759 00000 n +0000072311 00000 n +0000072465 00000 n +0000072680 00000 n +0000074941 00000 n +0000075095 00000 n +0000075299 00000 n +0000076024 00000 n +0000076178 00000 n +0000076394 00000 n +0000077095 00000 n +0000077249 00000 n +0000077476 00000 n +0000079534 00000 n +0000079688 00000 n +0000079915 00000 n +0000082738 00000 n +0000082892 00000 n +0000083108 00000 n +0000085553 00000 n +0000085707 00000 n +0000085934 00000 n +0000087657 00000 n +0000087811 00000 n +0000088038 00000 n +0000091090 00000 n +0000091674 00000 n +0000091723 00000 n +0000092457 00000 n +0000092898 00000 n +0000092947 00000 n +0000093681 00000 n +0000094516 00000 n +0000094565 00000 n +0000095299 00000 n +0000095619 00000 n +0000096210 00000 n +0000098451 00000 n +0000098668 00000 n +0000131595 00000 n +0000131809 00000 n +0000147998 00000 n +0000148466 00000 n +0000148947 00000 n +0000149223 00000 n +0000149367 00000 n +0000149441 00000 n +0000149565 00000 n +0000149629 00000 n +0000149652 00000 n +0000149704 00000 n +0000149744 00000 n +0000149821 00000 n +0000149876 00000 n +0000149925 00000 n +0000149961 00000 n +0000150038 00000 n +trailer +<< +/Size 88 +/ID[<9fd97f81d1c9d082257520832d2d6273><9fd97f81d1c9d082257520832d2d6273>] +>> +startxref +173 +%%EOF Index: cordic/web_uploads/index.shtml =================================================================== --- cordic/web_uploads/index.shtml (nonexistent) +++ cordic/web_uploads/index.shtml (revision 14) @@ -0,0 +1,89 @@ + + + +Project Name: CORDIC core
+(COordinate Rotation on a DIgital Computer)

+

Description

+

The CORDIC algorithm is an iterative algorithm to evaluate many mathematical functions, such as trigonometrically functions, hyperbolic functions and planar rotations.

+

Core Description

+

As the name suggests the CORDIC algorithm was developed for rotating coordinates, a piece of hardware for doing real-time navigational computations in the 1950's. The CORDIC uses a sequence like successive approximation to reach its results. The nice part is it does this by adding/subtracting and shifting only.
+
+Suppose we want to rotate a point(X,Y) by an angle(Z). The coordinates for the new point(Xnew, Ynew) are:

+ +

Xnew = X * cos(Z) - Y * sin(Z)
+Ynew = Y * cos(Z) + X * sin(Z)

+ +

Or rewritten:

+ +

Xnew / cos(Z) = X - Y * tan(Z)
+Ynew / cos(Z) = Y + X * tan(Z)

+ +

It is possible to break the angle into small pieces, such that the tangents of these pieces are always a power of 2. This results in the following equations:

+ +

X(n+1) = P(n) * ( X(n) - Y(n) / 2^n)
+Y(n+1) = P(n) * ( Y(n) + X(n) / 2^n)
+Z(n) = atan(1/2^n)

+ +

The atan(1/2^n) has to be pre-computed, because the algorithm uses it to approximate the angle. The P(n) factor can be eliminated from the equations by pre-computing its final result. If we multiply all P(n)'s together we get the aggregate constant.

+ +

P = cos(atan(1/2^0)) * cos(atan(1/2^1)) * cos(atan(1/2^2))....cos(atan(1/2^n))

+ +

This is a constant which reaches 0.607... Depending on the number of iterations and the number of bits used. The final equations look like this:

+ +

Xnew = 0.607... * sum( X(n) - Y(n) / 2^n)
+Ynew = 0.607... * sum( Y(n) + X(n) / 2^n)

+ +

Now it is clear how we can simply implement this algorithm, it only uses shifts and adds/subs. Or in a program-like style:

+ + +

For i=0 to n-1

+ +

If (Z(n) >= 0) then

+ +

X(n + 1) := X(n) (Yn/2^n);
+Y(n + 1) := Y(n) + (Xn/2^n);
+Z(n + 1) := Z(n) atan(1/2^i);

+ +

Else

+ +

X(n + 1) := X(n) + (Yn/2^n);
+Y(n + 1) := Y(n) (Xn/2^n);
+Z(n + 1) := Z(n) + atan(1/2^i);

+ +

End if;

+ +

End for;

+ + +

Where 'n' represents the number of iterations.

+

+

Implementation:

+ +

See the on-line documentation for more information about the available CORDIC cores.

+

+ +

Current Status:

+ +
    +
  • Design is available in VHDL from OpenCores CVS via cvsweb or via cvsget
  • +
  • ToDo: finish documentation
+ +

+

Synthesis:

+

Synthesis using Leonard Spectrum VHDL compiler and Altera Max+PlusII:
+- Altera ACEX(EP1K50-1): 2190lcells@68MHz

+

Synthesis using Xilinx WebPack v3.2:
+- Spartan-II (XC2S100-6): 704SLICES@93MHz

+

+

Author & Maintainer(s):

+ +

Richard Herveille

+

+ +

Mailing-list:

+ +

cores@opencores.org_NOSPAM

+ +

+ +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.