OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk
    from Rev 173 to Rev 174
    Reverse comparison

Rev 173 → Rev 174

/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment_6p.vhd
1,10 → 1,14
-- ***************************************************
-- File: hibi_segment_6p.vhd
-- Creation date: 28.02.2013
-- Creation time: 13:54:32
-- Description:
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
-- File : hibi_segment_6p.vhd
-- Creation date: 10.04.2013
-- Creation time: 08:14:08
-- Description :
 
--
-- Created by : matilail
-- This file was generated with Kactus2 vhdl generator
-- based on IP-XACT component TUT:ip.hwp.communication:hibi_segment_6p:3.0
-- whose XML file is D:/user/matilail/repos/opencores_lib/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.3.0.xml
-- ***************************************************
library IEEE;
library hibi;
15,171 → 19,171
 
entity hibi_segment_6p is
 
generic (
ip_mslave_0_addr_end : integer := 2; -- HIBI end address for interface 0
ip_mslave_0_addr_start : integer := 1; -- HIBI address for interface 0
ip_mslave_1_addr_end : integer := 4; -- HIBI end address for interface 1
ip_mslave_1_addr_start : integer := 3; -- HIBI address for interface 1
ip_mslave_2_addr_end : integer := 6; -- HIBI end address for interface 2
ip_mslave_2_addr_start : integer := 5; -- HIBI address for interface 2
ip_mslave_3_addr_end : integer := 8; -- HIBI end address for interface 3
ip_mslave_3_addr_start : integer := 7; -- HIBI address for interface 3
ip_mslave_4_addr_end : integer := 10; -- HIBI end address for interface 3
ip_mslave_4_addr_start : integer := 9; -- HIBI address for interface 3
ip_mslave_5_addr_end : integer := 12; -- HIBI end address for interface 3
ip_mslave_5_addr_start : integer := 11 -- HIBI address for interface 3
);
generic (
ip_mslave_0_addr_end : integer := 2; -- HIBI end address for interface 0
ip_mslave_0_addr_start : integer := 1; -- HIBI address for interface 0
ip_mslave_1_addr_end : integer := 4; -- HIBI end address for interface 1
ip_mslave_1_addr_start : integer := 3; -- HIBI address for interface 1
ip_mslave_2_addr_end : integer := 6; -- HIBI end address for interface 2
ip_mslave_2_addr_start : integer := 5; -- HIBI address for interface 2
ip_mslave_3_addr_end : integer := 8; -- HIBI end address for interface 3
ip_mslave_3_addr_start : integer := 7; -- HIBI address for interface 3
ip_mslave_4_addr_end : integer := 10; -- HIBI end address for interface 3
ip_mslave_4_addr_start : integer := 9; -- HIBI address for interface 3
ip_mslave_5_addr_end : integer := 12; -- HIBI end address for interface 3
ip_mslave_5_addr_start : integer := 11 -- HIBI address for interface 3
);
 
port (
port (
 
-- Interface: clocks_0
-- Clock inputs interface for hibi wrapper_3
agent_clk : in std_logic;
agent_sync_clk : in std_logic;
bus_clk : in std_logic;
bus_sync_clk : in std_logic;
-- Interface: clocks_0
-- Clock inputs interface for hibi wrapper_3
agent_clk : in std_logic;
agent_sync_clk : in std_logic;
bus_clk : in std_logic;
bus_sync_clk : in std_logic;
 
-- Interface: clocks_1
-- Clock inputs interface for hibi wrapper_3
agent_clk_1 : in std_logic;
agent_sync_clk_1 : in std_logic;
bus_clk_1 : in std_logic;
bus_sync_clk_1 : in std_logic;
-- Interface: clocks_1
-- Clock inputs interface for hibi wrapper_3
agent_clk_1 : in std_logic;
agent_sync_clk_1 : in std_logic;
bus_clk_1 : in std_logic;
bus_sync_clk_1 : in std_logic;
 
-- Interface: clocks_2
-- Clock inputs interface for hibi wrapper_3
agent_clk_2 : in std_logic;
agent_sync_clk_2 : in std_logic;
bus_clk_2 : in std_logic;
bus_sync_clk_2 : in std_logic;
-- Interface: clocks_2
-- Clock inputs interface for hibi wrapper_3
agent_clk_2 : in std_logic;
agent_sync_clk_2 : in std_logic;
bus_clk_2 : in std_logic;
bus_sync_clk_2 : in std_logic;
 
-- Interface: clocks_3
-- Clock inputs interface for hibi wrapper_3
agent_clk_3 : in std_logic;
agent_sync_clk_3 : in std_logic;
bus_clk_3 : in std_logic;
bus_sync_clk_3 : in std_logic;
-- Interface: clocks_3
-- Clock inputs interface for hibi wrapper_3
agent_clk_3 : in std_logic;
agent_sync_clk_3 : in std_logic;
bus_clk_3 : in std_logic;
bus_sync_clk_3 : in std_logic;
 
-- Interface: clocks_4
agent_clk_4 : in std_logic;
agent_sync_clk_4 : in std_logic;
bus_clk_4 : in std_logic;
bus_sync_clk_4 : in std_logic;
-- Interface: clocks_4
agent_clk_4 : in std_logic;
agent_sync_clk_4 : in std_logic;
bus_clk_4 : in std_logic;
bus_sync_clk_4 : in std_logic;
 
-- Interface: clocks_5
agent_clk_5 : in std_logic;
agent_sync_clk_5 : in std_logic;
bus_clk_5 : in std_logic;
bus_sync_clk_5 : in std_logic;
-- Interface: clocks_5
agent_clk_5 : in std_logic;
agent_sync_clk_5 : in std_logic;
bus_clk_5 : in std_logic;
bus_sync_clk_5 : in std_logic;
 
-- Interface: ip_mMaster_0
-- HIBI ip mirrored master agent interface 0 (r4 wrapper)
agent_av_in : in std_logic;
agent_comm_in : in std_logic_vector(4 downto 0);
agent_data_in : in std_logic_vector(31 downto 0);
agent_re_in : in std_logic;
agent_we_in : in std_logic;
-- Interface: ip_mMaster_0
-- HIBI ip mirrored master agent interface 0 (r4 wrapper)
agent_av_in : in std_logic;
agent_comm_in : in std_logic_vector(4 downto 0);
agent_data_in : in std_logic_vector(31 downto 0);
agent_re_in : in std_logic;
agent_we_in : in std_logic;
 
-- Interface: ip_mMaster_1
-- HIBI ip mirrored master agent interface 1 (r4 wrapper)
agent_av_in_1 : in std_logic;
agent_comm_in_1 : in std_logic_vector(4 downto 0);
agent_data_in_1 : in std_logic_vector(31 downto 0);
agent_re_in_1 : in std_logic;
agent_we_in_1 : in std_logic;
-- Interface: ip_mMaster_1
-- HIBI ip mirrored master agent interface 1 (r4 wrapper)
agent_av_in_1 : in std_logic;
agent_comm_in_1 : in std_logic_vector(4 downto 0);
agent_data_in_1 : in std_logic_vector(31 downto 0);
agent_re_in_1 : in std_logic;
agent_we_in_1 : in std_logic;
 
-- Interface: ip_mMaster_2
-- HIBI ip mirrored master agent interface 2 (r4 wrapper)
agent_av_in_2 : in std_logic;
agent_comm_in_2 : in std_logic_vector(4 downto 0);
agent_data_in_2 : in std_logic_vector(31 downto 0);
agent_re_in_2 : in std_logic;
agent_we_in_2 : in std_logic;
-- Interface: ip_mMaster_2
-- HIBI ip mirrored master agent interface 2 (r4 wrapper)
agent_av_in_2 : in std_logic;
agent_comm_in_2 : in std_logic_vector(4 downto 0);
agent_data_in_2 : in std_logic_vector(31 downto 0);
agent_re_in_2 : in std_logic;
agent_we_in_2 : in std_logic;
 
-- Interface: ip_mMaster_3
-- HIBI ip mirrored master agent interface 3 (r4 wrapper)
agent_av_in_3 : in std_logic;
agent_comm_in_3 : in std_logic_vector(4 downto 0);
agent_data_in_3 : in std_logic_vector(31 downto 0);
agent_re_in_3 : in std_logic;
agent_we_in_3 : in std_logic;
-- Interface: ip_mMaster_3
-- HIBI ip mirrored master agent interface 3 (r4 wrapper)
agent_av_in_3 : in std_logic;
agent_comm_in_3 : in std_logic_vector(4 downto 0);
agent_data_in_3 : in std_logic_vector(31 downto 0);
agent_re_in_3 : in std_logic;
agent_we_in_3 : in std_logic;
 
-- Interface: ip_mMaster_4
agent_av_in_4 : in std_logic;
agent_comm_in_4 : in std_logic_vector(4 downto 0);
agent_data_in_4 : in std_logic_vector(31 downto 0);
agent_re_in_4 : in std_logic;
agent_we_in_4 : in std_logic;
-- Interface: ip_mMaster_4
agent_av_in_4 : in std_logic;
agent_comm_in_4 : in std_logic_vector(4 downto 0);
agent_data_in_4 : in std_logic_vector(31 downto 0);
agent_re_in_4 : in std_logic;
agent_we_in_4 : in std_logic;
 
-- Interface: ip_mMaster_5
agent_av_in_5 : in std_logic;
agent_comm_in_5 : in std_logic_vector(4 downto 0);
agent_data_in_5 : in std_logic_vector(31 downto 0);
agent_re_in_5 : in std_logic;
agent_we_in_5 : in std_logic;
-- Interface: ip_mMaster_5
agent_av_in_5 : in std_logic;
agent_comm_in_5 : in std_logic_vector(4 downto 0);
agent_data_in_5 : in std_logic_vector(31 downto 0);
agent_re_in_5 : in std_logic;
agent_we_in_5 : in std_logic;
 
-- Interface: ip_mSlave_0
-- HIBI ip mirrored slave agent interface 0 (r4 wrapper)
agent_av_out : out std_logic;
agent_comm_out : out std_logic_vector(4 downto 0);
agent_data_out : out std_logic_vector(31 downto 0);
agent_empty_out : out std_logic;
agent_full_out : out std_logic;
agent_one_d_out : out std_logic;
agent_one_p_out : out std_logic;
-- Interface: ip_mSlave_0
-- HIBI ip mirrored slave agent interface 0 (r4 wrapper)
agent_av_out : out std_logic;
agent_comm_out : out std_logic_vector(4 downto 0);
agent_data_out : out std_logic_vector(31 downto 0);
agent_empty_out : out std_logic;
agent_full_out : out std_logic;
agent_one_d_out : out std_logic;
agent_one_p_out : out std_logic;
 
-- Interface: ip_mSlave_1
-- HIBI ip mirrored slave agent interface 1 (r4 wrapper)
agent_av_out_1 : out std_logic;
agent_comm_out_1 : out std_logic_vector(4 downto 0);
agent_data_out_1 : out std_logic_vector(31 downto 0);
agent_empty_out_1 : out std_logic;
agent_full_out_1 : out std_logic;
agent_one_d_out_1 : out std_logic;
agent_one_p_out_1 : out std_logic;
-- Interface: ip_mSlave_1
-- HIBI ip mirrored slave agent interface 1 (r4 wrapper)
agent_av_out_1 : out std_logic;
agent_comm_out_1 : out std_logic_vector(4 downto 0);
agent_data_out_1 : out std_logic_vector(31 downto 0);
agent_empty_out_1 : out std_logic;
agent_full_out_1 : out std_logic;
agent_one_d_out_1 : out std_logic;
agent_one_p_out_1 : out std_logic;
 
-- Interface: ip_mSlave_2
-- HIBI ip mirrored slave agent interface 2 (r4 wrapper)
agent_av_out_2 : out std_logic;
agent_comm_out_2 : out std_logic_vector(4 downto 0);
agent_data_out_2 : out std_logic_vector(31 downto 0);
agent_empty_out_2 : out std_logic;
agent_full_out_2 : out std_logic;
agent_one_d_out_2 : out std_logic;
agent_one_p_out_2 : out std_logic;
-- Interface: ip_mSlave_2
-- HIBI ip mirrored slave agent interface 2 (r4 wrapper)
agent_av_out_2 : out std_logic;
agent_comm_out_2 : out std_logic_vector(4 downto 0);
agent_data_out_2 : out std_logic_vector(31 downto 0);
agent_empty_out_2 : out std_logic;
agent_full_out_2 : out std_logic;
agent_one_d_out_2 : out std_logic;
agent_one_p_out_2 : out std_logic;
 
-- Interface: ip_mSlave_3
-- HIBI ip mirrored slave agent interface_3 (r4 wrapper)
agent_av_out_3 : out std_logic;
agent_comm_out_3 : out std_logic_vector(4 downto 0);
agent_data_out_3 : out std_logic_vector(31 downto 0);
agent_empty_out_3 : out std_logic;
agent_full_out_3 : out std_logic;
agent_one_d_out_3 : out std_logic;
agent_one_p_out_3 : out std_logic;
-- Interface: ip_mSlave_3
-- HIBI ip mirrored slave agent interface_3 (r4 wrapper)
agent_av_out_3 : out std_logic;
agent_comm_out_3 : out std_logic_vector(4 downto 0);
agent_data_out_3 : out std_logic_vector(31 downto 0);
agent_empty_out_3 : out std_logic;
agent_full_out_3 : out std_logic;
agent_one_d_out_3 : out std_logic;
agent_one_p_out_3 : out std_logic;
 
-- Interface: ip_mSlave_4
agent_av_out_4 : out std_logic;
agent_comm_out_4 : out std_logic_vector(4 downto 0);
agent_data_out_4 : out std_logic_vector(31 downto 0);
agent_empty_out_4 : out std_logic;
agent_full_out_4 : out std_logic;
agent_one_d_out_4 : out std_logic;
agent_one_p_out_4 : out std_logic;
-- Interface: ip_mSlave_4
agent_av_out_4 : out std_logic;
agent_comm_out_4 : out std_logic_vector(4 downto 0);
agent_data_out_4 : out std_logic_vector(31 downto 0);
agent_empty_out_4 : out std_logic;
agent_full_out_4 : out std_logic;
agent_one_d_out_4 : out std_logic;
agent_one_p_out_4 : out std_logic;
 
-- Interface: ip_mSlave_5
agent_av_out_5 : out std_logic;
agent_comm_out_5 : out std_logic_vector(4 downto 0);
agent_data_out_5 : out std_logic_vector(31 downto 0);
agent_empty_out_5 : out std_logic;
agent_full_out_5 : out std_logic;
agent_one_d_out_5 : out std_logic;
agent_one_p_out_5 : out std_logic;
-- Interface: ip_mSlave_5
agent_av_out_5 : out std_logic;
agent_comm_out_5 : out std_logic_vector(4 downto 0);
agent_data_out_5 : out std_logic_vector(31 downto 0);
agent_empty_out_5 : out std_logic;
agent_full_out_5 : out std_logic;
agent_one_d_out_5 : out std_logic;
agent_one_p_out_5 : out std_logic;
 
-- Interface: rst_n
-- Active low reset interface.
rst_n : in std_logic
);
-- Interface: rst_n
-- Active low reset interface.
rst_n : in std_logic
);
 
end hibi_segment_6p;
 
186,461 → 190,473
 
architecture structural of hibi_segment_6p is
 
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_AV : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_FULL : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_LOCK : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK : std_logic;
 
component hibi_orbus_6p
generic (
comm_width_g : integer := 5; -- HIBI command width
data_width_g : integer := 32 -- HIBI data width
-- IP-XACT VLNV: TUT:ip.hwp.communication:hibi_orbus_6p:3.0
component hibi_orbus_6p
generic (
comm_width_g : integer := 5; -- HIBI command width
data_width_g : integer := 32 -- HIBI data width
);
port (
 
);
port (
-- Interface: master
-- HIBI bus <---> wrapper master interface
bus_av_out : out std_logic;
bus_comm_out : out std_logic_vector(4 downto 0);
bus_data_out : out std_logic_vector(31 downto 0);
bus_full_out : out std_logic;
bus_lock_out : out std_logic;
 
-- Interface: master
-- HIBI bus <---> wrapper master interface
bus_av_out : out std_logic;
bus_comm_out : out std_logic_vector(4 downto 0);
bus_data_out : out std_logic_vector(31 downto 0);
bus_full_out : out std_logic;
bus_lock_out : out std_logic;
-- Interface: slave_0
-- HIBI bus <---> wrapper slave interface
bus_av_0_in : in std_logic;
bus_comm_0_in : in std_logic_vector(4 downto 0);
bus_data_0_in : in std_logic_vector(31 downto 0);
bus_full_0_in : in std_logic;
bus_lock_0_in : in std_logic;
 
-- Interface: slave_0
-- HIBI bus <---> wrapper slave interface
bus_av_0_in : in std_logic;
bus_comm_0_in : in std_logic_vector(4 downto 0);
bus_data_0_in : in std_logic_vector(31 downto 0);
bus_full_0_in : in std_logic;
bus_lock_0_in : in std_logic;
-- Interface: slave_1
-- HIBI bus <---> wrapper slave interface
bus_av_1_in : in std_logic;
bus_comm_1_in : in std_logic_vector(4 downto 0);
bus_data_1_in : in std_logic_vector(31 downto 0);
bus_full_1_in : in std_logic;
bus_lock_1_in : in std_logic;
 
-- Interface: slave_1
-- HIBI bus <---> wrapper slave interface
bus_av_1_in : in std_logic;
bus_comm_1_in : in std_logic_vector(4 downto 0);
bus_data_1_in : in std_logic_vector(31 downto 0);
bus_full_1_in : in std_logic;
bus_lock_1_in : in std_logic;
-- Interface: slave_2
-- HIBI bus <---> wrapper slave interface
bus_av_2_in : in std_logic;
bus_comm_2_in : in std_logic_vector(4 downto 0);
bus_data_2_in : in std_logic_vector(31 downto 0);
bus_full_2_in : in std_logic;
bus_lock_2_in : in std_logic;
 
-- Interface: slave_2
-- HIBI bus <---> wrapper slave interface
bus_av_2_in : in std_logic;
bus_comm_2_in : in std_logic_vector(4 downto 0);
bus_data_2_in : in std_logic_vector(31 downto 0);
bus_full_2_in : in std_logic;
bus_lock_2_in : in std_logic;
-- Interface: slave_3
-- HIBI bus <---> wrapper slave interface
bus_av_3_in : in std_logic;
bus_comm_3_in : in std_logic_vector(4 downto 0);
bus_data_3_in : in std_logic_vector(31 downto 0);
bus_full_3_in : in std_logic;
bus_lock_3_in : in std_logic;
 
-- Interface: slave_3
-- HIBI bus <---> wrapper slave interface
bus_av_3_in : in std_logic;
bus_comm_3_in : in std_logic_vector(4 downto 0);
bus_data_3_in : in std_logic_vector(31 downto 0);
bus_full_3_in : in std_logic;
bus_lock_3_in : in std_logic;
-- Interface: slave_4
-- HIBI bus <---> wrapper slave interface
bus_av_4_in : in std_logic;
bus_comm_4_in : in std_logic_vector(4 downto 0);
bus_data_4_in : in std_logic_vector(31 downto 0);
bus_full_4_in : in std_logic;
bus_lock_4_in : in std_logic;
 
-- Interface: slave_4
-- HIBI bus <---> wrapper slave interface
bus_av_4_in : in std_logic;
bus_comm_4_in : in std_logic_vector(4 downto 0);
bus_data_4_in : in std_logic_vector(31 downto 0);
bus_full_4_in : in std_logic;
bus_lock_4_in : in std_logic;
-- Interface: slave_5
-- HIBI bus <---> wrapper slave interface
bus_av_5_in : in std_logic;
bus_comm_5_in : in std_logic_vector(4 downto 0);
bus_data_5_in : in std_logic_vector(31 downto 0);
bus_full_5_in : in std_logic;
bus_lock_5_in : in std_logic
);
end component;
 
-- Interface: slave_5
-- HIBI bus <---> wrapper slave interface
bus_av_5_in : in std_logic;
bus_comm_5_in : in std_logic_vector(4 downto 0);
bus_data_5_in : in std_logic_vector(31 downto 0);
bus_full_5_in : in std_logic;
bus_lock_5_in : in std_logic
-- HIBI bus wrapper, interface revision 4
-- IP-XACT VLNV: TUT:ip.hwp.communication:hibi_wrapper_r4:3.0
component hibi_wrapper_r4
generic (
addr_g : integer := 46; -- addressing settings: unique for each wrapper
addr_limit_g : integer := 0; -- Upper address boundary
addr_width_g : integer := 32; -- HIBI address width
arb_type_g : integer := 0; -- Arbitration type 0 round-robin, 1 priority, 2 combined, 3 DAA. Ensure that
-- all wrappers in a segment agree on arb_type
cfg_re_g : integer := 0; -- enable reading config
cfg_we_g : integer := 0; -- enable writing config
comm_width_g : integer := 5; -- HIBI communication width (HIBI v.2=3, v.3 = 5 bits)
counter_width_g : integer := 7; -- greater than or equal (n_agents, max_send...)
data_width_g : integer := 32; -- HIBI data width (less than or equal)
debug_width_g : integer := 2; -- For special monitors
fifo_sel_g : integer := 0; -- fifo_sel: 0 synch multiclk, 1 basic GALS, 2 Gray FIFO (depth=2^n!), 3
-- mixed clock pausible ( use 0 for synchronous systems)
id_g : integer := 5; -- used instead of addr in recfg
id_max_g : integer := 0; -- Only for bridges+cfg, zero for others!
id_min_g : integer := 0; -- Only for bridges+cfg, zero for others!
id_width_g : integer := 4; -- gte(log2(id_g))
inv_addr_en_g : integer := 0; -- Only for bridges
keep_slot_g : integer := 0; -- for TDMA
max_send_g : integer := 50; -- in words. Max_send can be wrapper-specific.
n_agents_g : integer := 4; -- Number of agents within one segment. Ensure that all wrappers in a segment
-- agree on n_agents
n_cfg_pages_g : integer := 1; -- Having multiple pages allows fast reconfig. Note that cfg memory initialization
-- is done with separate package if you have many time slots or configuration
-- pages
n_extra_params_g : integer := 0; -- app-specific registers
n_time_slots_g : integer := 0; -- TDMA is enabled by setting n_time_slots > 0
prior_g : integer := 2; -- lte n_agents
rel_agent_freq_g : integer := 1; -- Synch_multiclk FIFOs must know the ratio of frequencies
rel_bus_freq_g : integer := 1; -- Synch_multiclk FIFOs must know the ratio of frequencies
rx_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.
rx_msg_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.Prefix
-- msg refers to hi-prior data
separate_addr_g : integer := 0; -- Transmits addr in parallel with data
tx_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.
tx_msg_fifo_depth_g : integer := 5 -- All FIFO depths are given in words. Allowed values 0,2,3... words.Prefix
-- msg refers to hi-prior data
);
port (
 
);
end component;
-- Interface: bus_mMaster
-- HIBI bus interface
bus_av_in : in std_logic;
bus_comm_in : in std_logic_vector(4 downto 0);
bus_data_in : in std_logic_vector(31 downto 0);
bus_full_in : in std_logic;
bus_lock_in : in std_logic;
 
-- HIBI bus wrapper, interface revision 4
component hibi_wrapper_r4
generic (
addr_g : integer := 46; -- addressing settings: unique for each wrapper
addr_limit_g : integer := 0; -- Upper address boundary
addr_width_g : integer := 32; -- HIBI address width
arb_type_g : integer := 0; -- Arbitration type 0 round-robin, 1 priority, 2 combined, 3 DAA. Ensure that all wrappers in a segment agree on arb_type
cfg_re_g : integer := 0; -- enable reading config
cfg_we_g : integer := 0; -- enable writing config
comm_width_g : integer := 5; -- HIBI communication width (HIBI v.2=3, v.3 = 5 bits)
counter_width_g : integer := 7; -- greater than or equal (n_agents, max_send...)
data_width_g : integer := 32; -- HIBI data width (less than or equal)
debug_width_g : integer := 2; -- For special monitors
fifo_sel_g : integer := 0; -- fifo_sel: 0 synch multiclk, 1 basic GALS, 2 Gray FIFO (depth=2^n!), 3 mixed clock pausible ( use 0 for synchronous systems)
id_g : integer := 5; -- used instead of addr in recfg
id_max_g : integer := 0; -- Only for bridges+cfg, zero for others!
id_min_g : integer := 0; -- Only for bridges+cfg, zero for others!
id_width_g : integer := 4; -- gte(log2(id_g))
inv_addr_en_g : integer := 0; -- Only for bridges
keep_slot_g : integer := 0; -- for TDMA
max_send_g : integer := 50; -- in words. Max_send can be wrapper-specific.
n_agents_g : integer := 4; -- Number of agents within one segment. Ensure that all wrappers in a segment agree on n_agents
n_cfg_pages_g : integer := 1; -- Having multiple pages allows fast reconfig. Note that cfg memory initialization is done with separate package if you have many time slots or configuration pages
n_extra_params_g : integer := 0; -- app-specific registers
n_time_slots_g : integer := 0; -- TDMA is enabled by setting n_time_slots > 0
prior_g : integer := 2; -- lte n_agents
rel_agent_freq_g : integer := 1; -- Synch_multiclk FIFOs must know the ratio of frequencies
rel_bus_freq_g : integer := 1; -- Synch_multiclk FIFOs must know the ratio of frequencies
rx_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.
rx_msg_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.Prefix msg refers to hi-prior data
separate_addr_g : integer := 0; -- Transmits addr in parallel with data
tx_fifo_depth_g : integer := 5; -- All FIFO depths are given in words. Allowed values 0,2,3... words.
tx_msg_fifo_depth_g : integer := 5 -- All FIFO depths are given in words. Allowed values 0,2,3... words.Prefix msg refers to hi-prior data
-- Interface: bus_mSlave
bus_av_out : out std_logic;
bus_comm_out : out std_logic_vector(4 downto 0);
bus_data_out : out std_logic_vector(31 downto 0);
bus_full_out : out std_logic;
bus_lock_out : out std_logic;
 
);
port (
-- Interface: clocks
-- HIBI clock input
agent_clk : in std_logic;
agent_sync_clk : in std_logic;
bus_clk : in std_logic;
bus_sync_clk : in std_logic;
 
-- Interface: bus_mMaster
-- HIBI bus interface
bus_av_in : in std_logic;
bus_comm_in : in std_logic_vector(4 downto 0);
bus_data_in : in std_logic_vector(31 downto 0);
bus_full_in : in std_logic;
bus_lock_in : in std_logic;
-- Interface: ip_mMaster
-- HIBI IP mirrored master interface revision 4.
agent_av_in : in std_logic;
agent_comm_in : in std_logic_vector(4 downto 0);
agent_data_in : in std_logic_vector(31 downto 0);
agent_re_in : in std_logic;
agent_we_in : in std_logic;
 
-- Interface: bus_mSlave
bus_av_out : out std_logic;
bus_comm_out : out std_logic_vector(4 downto 0);
bus_data_out : out std_logic_vector(31 downto 0);
bus_full_out : out std_logic;
bus_lock_out : out std_logic;
-- Interface: ip_mSlave
-- HIBI IP mirrored slave interface revision 4.
agent_av_out : out std_logic;
agent_comm_out : out std_logic_vector(4 downto 0);
agent_data_out : out std_logic_vector(31 downto 0);
agent_empty_out : out std_logic;
agent_full_out : out std_logic;
agent_one_d_out : out std_logic;
agent_one_p_out : out std_logic;
 
-- Interface: clocks
-- HIBI clock input
agent_clk : in std_logic;
agent_sync_clk : in std_logic;
bus_clk : in std_logic;
bus_sync_clk : in std_logic;
-- These ports are not in any interface
-- debug_out : out std_logic_vector(0 downto 0);
 
-- Interface: ip_mMaster
-- HIBI IP mirrored master interface revision 4.
agent_av_in : in std_logic;
agent_comm_in : in std_logic_vector(4 downto 0);
agent_data_in : in std_logic_vector(31 downto 0);
agent_re_in : in std_logic;
agent_we_in : in std_logic;
-- Interface: rst_n
rst_n : in std_logic
);
end component;
 
-- Interface: ip_mSlave
-- HIBI IP mirrored slave interface revision 4.
agent_av_out : out std_logic;
agent_comm_out : out std_logic_vector(4 downto 0);
agent_data_out : out std_logic_vector(31 downto 0);
agent_empty_out : out std_logic;
agent_full_out : out std_logic;
agent_one_d_out : out std_logic;
agent_one_p_out : out std_logic;
-- You can write vhdl code after this tag and it is saved through the generator.
-- ##KACTUS2_BLACK_BOX_DECLARATIONS_BEGIN##
-- ##KACTUS2_BLACK_BOX_DECLARATIONS_END##
-- Do not write your code after this tag.
 
-- These ports are not in any interface
-- debug_out : out std_logic_vector(0 downto 0);
 
-- Interface: rst_n
rst_n : in std_logic
 
);
end component;
 
-- You can write vhdl code after this tag and it is saved through the generator.
-- ##KACTUS2_BLACK_BOX_DECLARATIONS_BEGIN##
-- ##KACTUS2_BLACK_BOX_DECLARATIONS_END##
-- Stop writing your code after this tag.
 
 
begin
 
-- You can write vhdl code after this tag and it is saved through the generator.
-- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_BEGIN##
-- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_END##
-- Stop writing your code after this tag.
-- You can write vhdl code after this tag and it is saved through the generator.
-- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_BEGIN##
-- ##KACTUS2_BLACK_BOX_ASSIGNMENTS_END##
-- Do not write your code after this tag.
 
hibi_orbus_6p_0 : hibi_orbus_6p
port map (
bus_av_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_av_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV,
bus_av_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_av_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV,
bus_av_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_av_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_av_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_comm_0_in(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_comm_3_in(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM(4 downto 0),
bus_comm_4_in(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_comm_5_in(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_data_3_in(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA(31 downto 0),
bus_data_4_in(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_data_5_in(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_full_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_full_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL,
bus_full_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_full_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL,
bus_full_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_full_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_full_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_lock_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_lock_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK,
bus_lock_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_lock_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK,
bus_lock_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_lock_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_lock_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK
);
hibi_orbus_6p_0 : hibi_orbus_6p
port map (
bus_av_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_AV,
bus_av_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_AV,
bus_av_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_AV,
bus_av_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_AV,
bus_av_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_AV,
bus_av_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_AV,
bus_av_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_comm_0_in(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_COMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_COMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_COMM(4 downto 0),
bus_comm_3_in(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_COMM(4 downto 0),
bus_comm_4_in(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_COMM(4 downto 0),
bus_comm_5_in(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_DATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_DATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_DATA(31 downto 0),
bus_data_3_in(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_DATA(31 downto 0),
bus_data_4_in(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_DATA(31 downto 0),
bus_data_5_in(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_full_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_FULL,
bus_full_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_FULL,
bus_full_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_FULL,
bus_full_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_FULL,
bus_full_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_FULL,
bus_full_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_FULL,
bus_full_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_lock_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_LOCK,
bus_lock_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_LOCK,
bus_lock_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_LOCK,
bus_lock_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_LOCK,
bus_lock_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_LOCK,
bus_lock_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_LOCK,
bus_lock_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK
);
 
hibi_wrapper_r4_0 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_0_addr_start,
addr_limit_g => ip_mSlave_0_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in,
agent_av_out => agent_av_out,
agent_clk => agent_clk,
agent_comm_in(4 downto 0) => agent_comm_in(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out(31 downto 0),
agent_empty_out => agent_empty_out,
agent_full_out => agent_full_out,
agent_one_d_out => agent_one_d_out,
agent_one_p_out => agent_one_p_out,
agent_re_in => agent_re_in,
agent_sync_clk => agent_sync_clk,
agent_we_in => agent_we_in,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_clk => bus_clk,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk,
rst_n => rst_n
);
hibi_wrapper_r4_0 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_0_addr_start,
addr_limit_g => ip_mSlave_0_addr_end,
n_agents_g => 6,
prior_g => 1
)
port map (
agent_av_in => agent_av_in,
agent_av_out => agent_av_out,
agent_clk => agent_clk,
agent_comm_in(4 downto 0) => agent_comm_in(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out(31 downto 0),
agent_empty_out => agent_empty_out,
agent_full_out => agent_full_out,
agent_one_d_out => agent_one_d_out,
agent_one_p_out => agent_one_p_out,
agent_re_in => agent_re_in,
agent_sync_clk => agent_sync_clk,
agent_we_in => agent_we_in,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_AV,
bus_clk => bus_clk,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk,
rst_n => rst_n
);
 
hibi_wrapper_r4_1 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_1_addr_start,
addr_limit_g => ip_mSlave_1_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_1,
agent_av_out => agent_av_out_1,
agent_clk => agent_clk_1,
agent_comm_in(4 downto 0) => agent_comm_in_1(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_1(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_1(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_1(31 downto 0),
agent_empty_out => agent_empty_out_1,
agent_full_out => agent_full_out_1,
agent_one_d_out => agent_one_d_out_1,
agent_one_p_out => agent_one_p_out_1,
agent_re_in => agent_re_in_1,
agent_sync_clk => agent_sync_clk_1,
agent_we_in => agent_we_in_1,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV,
bus_clk => bus_clk_1,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_1,
rst_n => rst_n
);
hibi_wrapper_r4_1 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_1_addr_start,
addr_limit_g => ip_mSlave_1_addr_end,
n_agents_g => 6,
prior_g => 2
)
port map (
agent_av_in => agent_av_in_1,
agent_av_out => agent_av_out_1,
agent_clk => agent_clk_1,
agent_comm_in(4 downto 0) => agent_comm_in_1(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_1(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_1(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_1(31 downto 0),
agent_empty_out => agent_empty_out_1,
agent_full_out => agent_full_out_1,
agent_one_d_out => agent_one_d_out_1,
agent_one_p_out => agent_one_p_out_1,
agent_re_in => agent_re_in_1,
agent_sync_clk => agent_sync_clk_1,
agent_we_in => agent_we_in_1,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_AV,
bus_clk => bus_clk_1,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk_1,
rst_n => rst_n
);
 
hibi_wrapper_r4_2 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_2_addr_start,
addr_limit_g => ip_mSlave_2_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_2,
agent_av_out => agent_av_out_2,
agent_clk => agent_clk_2,
agent_comm_in(4 downto 0) => agent_comm_in_2(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_2(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_2(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_2(31 downto 0),
agent_empty_out => agent_empty_out_2,
agent_full_out => agent_full_out_2,
agent_one_d_out => agent_one_d_out_2,
agent_one_p_out => agent_one_p_out_2,
agent_re_in => agent_re_in_2,
agent_sync_clk => agent_sync_clk_2,
agent_we_in => agent_we_in_2,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_clk => bus_clk_2,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_2,
rst_n => rst_n
);
hibi_wrapper_r4_2 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_2_addr_start,
addr_limit_g => ip_mSlave_2_addr_end,
n_agents_g => 6,
prior_g => 3
)
port map (
agent_av_in => agent_av_in_2,
agent_av_out => agent_av_out_2,
agent_clk => agent_clk_2,
agent_comm_in(4 downto 0) => agent_comm_in_2(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_2(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_2(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_2(31 downto 0),
agent_empty_out => agent_empty_out_2,
agent_full_out => agent_full_out_2,
agent_one_d_out => agent_one_d_out_2,
agent_one_p_out => agent_one_p_out_2,
agent_re_in => agent_re_in_2,
agent_sync_clk => agent_sync_clk_2,
agent_we_in => agent_we_in_2,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_AV,
bus_clk => bus_clk_2,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk_2,
rst_n => rst_n
);
 
hibi_wrapper_r4_3 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_3_addr_start,
addr_limit_g => ip_mSlave_3_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_3,
agent_av_out => agent_av_out_3,
agent_clk => agent_clk_3,
agent_comm_in(4 downto 0) => agent_comm_in_3(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_3(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_3(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_3(31 downto 0),
agent_empty_out => agent_empty_out_3,
agent_full_out => agent_full_out_3,
agent_one_d_out => agent_one_d_out_3,
agent_one_p_out => agent_one_p_out_3,
agent_re_in => agent_re_in_3,
agent_sync_clk => agent_sync_clk_3,
agent_we_in => agent_we_in_3,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV,
bus_clk => bus_clk_3,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_3,
rst_n => rst_n
);
hibi_wrapper_r4_3 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_3_addr_start,
addr_limit_g => ip_mSlave_3_addr_end,
n_agents_g => 6,
prior_g => 4
)
port map (
agent_av_in => agent_av_in_3,
agent_av_out => agent_av_out_3,
agent_clk => agent_clk_3,
agent_comm_in(4 downto 0) => agent_comm_in_3(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_3(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_3(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_3(31 downto 0),
agent_empty_out => agent_empty_out_3,
agent_full_out => agent_full_out_3,
agent_one_d_out => agent_one_d_out_3,
agent_one_p_out => agent_one_p_out_3,
agent_re_in => agent_re_in_3,
agent_sync_clk => agent_sync_clk_3,
agent_we_in => agent_we_in_3,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_AV,
bus_clk => bus_clk_3,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk_3,
rst_n => rst_n
);
 
hibi_wrapper_r4_4 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_4_addr_start,
addr_limit_g => ip_mSlave_4_addr_end
)
port map (
agent_av_in => agent_av_in_4,
agent_av_out => agent_av_out_4,
agent_clk => agent_clk_4,
agent_comm_in(4 downto 0) => agent_comm_in_4(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_4(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_4(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_4(31 downto 0),
agent_empty_out => agent_empty_out_4,
agent_full_out => agent_full_out_4,
agent_one_d_out => agent_one_d_out_4,
agent_one_p_out => agent_one_p_out_4,
agent_re_in => agent_re_in_4,
agent_sync_clk => agent_sync_clk_4,
agent_we_in => agent_we_in_4,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_clk => bus_clk_4,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_4,
rst_n => rst_n
);
hibi_wrapper_r4_4 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_4_addr_start,
addr_limit_g => ip_mSlave_4_addr_end,
n_agents_g => 6,
prior_g => 5
)
port map (
agent_av_in => agent_av_in_4,
agent_av_out => agent_av_out_4,
agent_clk => agent_clk_4,
agent_comm_in(4 downto 0) => agent_comm_in_4(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_4(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_4(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_4(31 downto 0),
agent_empty_out => agent_empty_out_4,
agent_full_out => agent_full_out_4,
agent_one_d_out => agent_one_d_out_4,
agent_one_p_out => agent_one_p_out_4,
agent_re_in => agent_re_in_4,
agent_sync_clk => agent_sync_clk_4,
agent_we_in => agent_we_in_4,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_AV,
bus_clk => bus_clk_4,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk_4,
rst_n => rst_n
);
 
hibi_wrapper_r4_5 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_5_addr_start,
addr_limit_g => ip_mSlave_5_addr_end,
n_agents_g => 6
)
port map (
agent_av_in => agent_av_in_5,
agent_av_out => agent_av_out_5,
agent_clk => agent_clk_5,
agent_comm_in(4 downto 0) => agent_comm_in_5(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_5(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_5(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_5(31 downto 0),
agent_empty_out => agent_empty_out_5,
agent_full_out => agent_full_out_5,
agent_one_d_out => agent_one_d_out_5,
agent_one_p_out => agent_one_p_out_5,
agent_re_in => agent_re_in_5,
agent_sync_clk => agent_sync_clk_5,
agent_we_in => agent_we_in_5,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_clk => bus_clk_5,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_5,
rst_n => rst_n
);
hibi_wrapper_r4_5 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_5_addr_start,
addr_limit_g => ip_mSlave_5_addr_end,
n_agents_g => 6,
prior_g => 6
)
port map (
agent_av_in => agent_av_in_5,
agent_av_out => agent_av_out_5,
agent_clk => agent_clk_5,
agent_comm_in(4 downto 0) => agent_comm_in_5(4 downto 0),
agent_comm_out(4 downto 0) => agent_comm_out_5(4 downto 0),
agent_data_in(31 downto 0) => agent_data_in_5(31 downto 0),
agent_data_out(31 downto 0) => agent_data_out_5(31 downto 0),
agent_empty_out => agent_empty_out_5,
agent_full_out => agent_full_out_5,
agent_one_d_out => agent_one_d_out_5,
agent_one_p_out => agent_one_p_out_5,
agent_re_in => agent_re_in_5,
agent_sync_clk => agent_sync_clk_5,
agent_we_in => agent_we_in_5,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_AV,
bus_av_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_AV,
bus_clk => bus_clk_5,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_DATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_FULL,
bus_full_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_FULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster_LOCK,
bus_lock_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave_LOCK,
bus_sync_clk => bus_sync_clk_5,
rst_n => rst_n
);
 
end structural;
 
/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd
1,7 → 1,7
-- ***************************************************
-- File: hibi_segment.vhd
-- Creation date: 21.11.2012
-- Creation time: 16:02:46
-- Creation date: 09.04.2013
-- Creation time: 12:34:10
-- Description:
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
142,27 → 142,27
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV : std_logic;
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL : std_logic;
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL : std_logic;
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK : std_logic;
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK : std_logic;
 
component hibi_orbus_small
generic (
293,7 → 293,6
agent_one_p_out : out std_logic;
 
-- These ports are not in any interface
--debug_in : in std_logic_vector(1 downto 0);
-- debug_out : out std_logic_vector(0 downto 0);
 
-- Interface: rst_n
321,33 → 320,34
bus_av_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV,
bus_av_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV,
bus_av_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV,
bus_av_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_comm_0_in(4 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM(4 downto 0),
bus_comm_3_in(4 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA(31 downto 0),
bus_data_3_in(31 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_full_0_in => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_full_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
bus_full_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
bus_full_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
bus_full_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_lock_0_in => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_lock_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
bus_lock_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
bus_lock_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
bus_lock_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK
bus_lock_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK
);
 
hibi_wrapper_r4_0 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_0_addr_start,
addr_limit_g => ip_mSlave_0_addr_end
addr_limit_g => ip_mSlave_0_addr_end,
prior_g => 1
)
port map (
agent_av_in => agent_av_in,
364,19 → 364,18
agent_re_in => agent_re_in,
agent_sync_clk => agent_sync_clk,
agent_we_in => agent_we_in,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_clk => bus_clk,
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk,
--debug_in => (others => '0'),
rst_n => rst_n
);
 
383,7 → 382,8
hibi_wrapper_r4_1 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_1_addr_start,
addr_limit_g => ip_mSlave_1_addr_end
addr_limit_g => ip_mSlave_1_addr_end,
prior_g => 2
)
port map (
agent_av_in => agent_av_in_1,
400,19 → 400,18
agent_re_in => agent_re_in_1,
agent_sync_clk => agent_sync_clk_1,
agent_we_in => agent_we_in_1,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV,
bus_clk => bus_clk_1,
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA(31 downto 0),
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
bus_sync_clk => bus_sync_clk_1,
--debug_in => (others => '0'),
rst_n => rst_n
);
 
419,7 → 418,8
hibi_wrapper_r4_2 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_2_addr_start,
addr_limit_g => ip_mSlave_2_addr_end
addr_limit_g => ip_mSlave_2_addr_end,
prior_g => 3
)
port map (
agent_av_in => agent_av_in_2,
436,19 → 436,18
agent_re_in => agent_re_in_2,
agent_sync_clk => agent_sync_clk_2,
agent_we_in => agent_we_in_2,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV,
bus_clk => bus_clk_2,
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA(31 downto 0),
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
bus_sync_clk => bus_sync_clk_2,
--debug_in => (others => '0'),
rst_n => rst_n
);
 
455,7 → 454,8
hibi_wrapper_r4_3 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_3_addr_start,
addr_limit_g => ip_mSlave_3_addr_end
addr_limit_g => ip_mSlave_3_addr_end,
prior_g => 4
)
port map (
agent_av_in => agent_av_in_3,
472,19 → 472,18
agent_re_in => agent_re_in_3,
agent_sync_clk => agent_sync_clk_3,
agent_we_in => agent_we_in_3,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV,
bus_clk => bus_clk_3,
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA(31 downto 0),
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
bus_sync_clk => bus_sync_clk_3,
--debug_in => (others => '0'),
rst_n => rst_n
);
 
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
10,7 → 10,7
<spirit:version>3.0</spirit:version>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
26,7 → 26,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_2</spirit:name>
<spirit:name>agent_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
42,7 → 42,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
58,7 → 58,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_2</spirit:name>
<spirit:name>bus_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
74,7 → 74,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
86,16 → 86,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
102,7 → 102,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
109,18 → 109,30
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:name>agent_av_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
127,16 → 139,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:name>agent_comm_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
143,16 → 155,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:name>agent_data_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
159,7 → 171,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
166,7 → 178,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:name>agent_re_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
175,7 → 187,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
182,7 → 194,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:name>agent_we_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
189,37 → 201,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
226,7 → 222,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_3</spirit:name>
<spirit:name>agent_av_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
235,16 → 231,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
251,16 → 247,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_3</spirit:name>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
267,7 → 263,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
274,7 → 270,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:name>agent_empty_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
281,21 → 277,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
302,7 → 286,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:name>agent_full_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
311,16 → 295,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
327,23 → 311,35
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
350,7 → 346,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:name>agent_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
359,7 → 355,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
366,7 → 362,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
375,7 → 371,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
382,7 → 378,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:name>bus_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
391,7 → 387,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
398,7 → 394,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
410,8 → 406,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
426,7 → 422,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
442,7 → 438,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
458,7 → 454,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
474,7 → 470,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
490,7 → 486,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
502,11 → 498,11
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
518,7 → 514,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
534,7 → 530,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
550,7 → 546,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
559,7 → 555,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
566,7 → 562,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
575,7 → 571,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
582,7 → 578,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
589,21 → 585,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
610,7 → 594,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
617,16 → 601,32
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
638,7 → 638,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
654,7 → 654,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
670,7 → 670,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
679,7 → 679,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
686,7 → 686,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
695,7 → 695,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
702,7 → 702,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
709,45 → 709,13
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
762,7 → 730,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
778,7 → 746,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
794,7 → 762,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
810,7 → 778,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
826,7 → 794,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
838,16 → 806,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
854,7 → 822,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_1</spirit:name>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
863,16 → 831,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
879,16 → 847,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
895,7 → 863,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
902,7 → 870,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
909,21 → 877,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
930,7 → 886,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out</spirit:name>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
939,16 → 895,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out</spirit:name>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
955,23 → 911,35
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out</spirit:name>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
978,7 → 946,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out</spirit:name>
<spirit:name>agent_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
987,7 → 955,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
994,7 → 962,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out</spirit:name>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1003,7 → 971,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1010,7 → 978,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:name>bus_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1019,7 → 987,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1026,7 → 994,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1038,11 → 1006,11
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
1054,7 → 1022,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in</spirit:name>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1070,7 → 1038,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in</spirit:name>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1086,7 → 1054,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in</spirit:name>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1095,7 → 1063,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1102,7 → 1070,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in</spirit:name>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1111,7 → 1079,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1118,7 → 1086,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in</spirit:name>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1125,12 → 1093,44
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_0</spirit:name>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
1146,7 → 1146,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk</spirit:name>
<spirit:name>agent_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1162,7 → 1162,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1178,7 → 1178,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk</spirit:name>
<spirit:name>bus_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1194,7 → 1194,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.3.0.xml
10,8 → 10,7
<spirit:version>3.0</spirit:version>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
26,7 → 25,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:name>agent_av_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
42,7 → 41,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:name>agent_comm_out_5</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
58,7 → 57,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:name>agent_data_out_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
74,7 → 73,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:name>agent_empty_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
90,7 → 89,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:name>agent_full_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
106,7 → 105,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:name>agent_one_d_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
122,7 → 121,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:name>agent_one_p_out_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
134,16 → 133,15
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mMaster_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
150,7 → 148,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk</spirit:name>
<spirit:name>agent_av_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
159,16 → 157,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:name>agent_comm_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
175,14 → 173,30
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk</spirit:name>
<spirit:name>agent_re_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
191,7 → 205,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
198,7 → 212,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:name>agent_we_in_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
210,8 → 224,7
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:name>clocks_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
226,7 → 239,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_2</spirit:name>
<spirit:name>agent_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
242,7 → 255,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:name>agent_sync_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
258,7 → 271,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_2</spirit:name>
<spirit:name>bus_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
274,7 → 287,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:name>bus_sync_clk_5</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
286,16 → 299,15
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:name>clocks_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
302,7 → 314,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:name>agent_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
311,16 → 323,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:name>agent_sync_clk_4</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
327,16 → 339,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:name>bus_clk_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
343,7 → 355,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
350,7 → 362,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:name>bus_sync_clk_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
357,9 → 369,20
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
366,7 → 389,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:name>agent_av_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
375,16 → 398,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:name>agent_comm_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
391,35 → 414,23
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:name>agent_data_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
426,7 → 437,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_3</spirit:name>
<spirit:name>agent_re_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
435,7 → 446,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
442,7 → 453,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:name>agent_we_in_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
449,9 → 460,20
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
458,7 → 480,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_3</spirit:name>
<spirit:name>agent_av_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
467,42 → 489,46
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:name>agent_comm_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:name>agent_empty_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
511,16 → 537,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:name>agent_full_out_4</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
527,16 → 553,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:name>agent_one_d_out_4</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
543,7 → 569,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
550,7 → 576,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:name>agent_one_p_out_4</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
557,9 → 583,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
566,7 → 604,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
578,8 → 616,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
594,7 → 632,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:name>agent_av_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
610,7 → 648,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:name>agent_comm_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
626,7 → 664,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:name>agent_data_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
642,7 → 680,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:name>agent_re_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
658,7 → 696,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:name>agent_we_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
670,36 → 708,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
714,7 → 724,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:name>agent_av_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
730,7 → 740,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
746,7 → 756,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
762,7 → 772,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:name>agent_empty_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
778,7 → 788,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:name>agent_full_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
794,7 → 804,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
810,7 → 820,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
822,16 → 832,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
838,7 → 848,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:name>agent_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
847,16 → 857,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
863,16 → 873,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:name>bus_clk_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
879,7 → 889,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
886,7 → 896,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
893,9 → 903,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
902,7 → 924,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
909,30 → 931,18
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_1</spirit:name>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
939,16 → 949,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
955,7 → 965,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
962,7 → 972,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
971,7 → 981,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
978,7 → 988,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
990,8 → 1000,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
1006,7 → 1016,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out</spirit:name>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1022,7 → 1032,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out</spirit:name>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1038,7 → 1048,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out</spirit:name>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1054,7 → 1064,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out</spirit:name>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1070,7 → 1080,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out</spirit:name>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1086,7 → 1096,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1102,7 → 1112,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1114,8 → 1124,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
1130,7 → 1140,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in</spirit:name>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1146,7 → 1156,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in</spirit:name>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1162,7 → 1172,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in</spirit:name>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1178,7 → 1188,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in</spirit:name>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1194,7 → 1204,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in</spirit:name>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1206,10 → 1216,11
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_4</spirit:name>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
1221,7 → 1232,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_4</spirit:name>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1237,7 → 1248,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_4</spirit:name>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1253,7 → 1264,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_4</spirit:name>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1262,7 → 1273,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1269,7 → 1280,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_4</spirit:name>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1278,7 → 1289,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1285,7 → 1296,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_4</spirit:name>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1292,9 → 1303,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1301,7 → 1324,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_4</spirit:name>
<spirit:name>agent_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1310,7 → 1333,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1317,7 → 1340,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_4</spirit:name>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1324,20 → 1347,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1344,7 → 1356,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_4</spirit:name>
<spirit:name>bus_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1353,32 → 1365,44
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_4</spirit:name>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_4</spirit:name>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1385,16 → 1409,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_4</spirit:name>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1401,34 → 1425,23
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_4</spirit:name>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_4</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1435,7 → 1448,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_4</spirit:name>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1444,7 → 1457,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1451,7 → 1464,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_4</spirit:name>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1460,7 → 1473,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1467,7 → 1480,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_4</spirit:name>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1476,7 → 1489,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1483,7 → 1496,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_4</spirit:name>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1495,7 → 1508,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_5</spirit:name>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
1510,7 → 1524,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_5</spirit:name>
<spirit:name>agent_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1526,7 → 1540,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_5</spirit:name>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1542,7 → 1556,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_5</spirit:name>
<spirit:name>bus_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1558,7 → 1572,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_5</spirit:name>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1570,15 → 1584,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_5</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1585,7 → 1600,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_5</spirit:name>
<spirit:name>agent_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1594,16 → 1609,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_5</spirit:name>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1610,30 → 1625,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_5</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_5</spirit:name>
<spirit:name>bus_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1642,7 → 1641,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1649,7 → 1648,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_5</spirit:name>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1661,7 → 1660,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_5</spirit:name>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
1676,7 → 1676,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_5</spirit:name>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1692,7 → 1692,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_5</spirit:name>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1708,7 → 1708,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_5</spirit:name>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1724,7 → 1724,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_5</spirit:name>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1740,7 → 1740,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_5</spirit:name>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1756,7 → 1756,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_5</spirit:name>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1772,7 → 1772,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_5</spirit:name>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.design.3.0.xml
10,75 → 10,77
<spirit:version>3.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_3</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">3</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="240"/>
<kactus2:position x="770" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="170"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="90"/>
<kactus2:position x="80" y="100"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="110"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="200"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="240"/>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_3</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="60"/>
<kactus2:position x="770" y="250"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="170"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="80" y="100"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="90"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="80" y="70"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="110"/>
<kactus2:position x="-80" y="200"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="240"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
113,28 → 115,29
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">2</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
<kactus2:position x="250" y="300"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="60"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="120"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
144,34 → 147,35
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">1</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="290"/>
<kactus2:position x="250" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="110"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="120"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
181,7 → 185,7
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
189,150 → 193,152
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3</spirit:name>
<spirit:name>hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_3"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2</spirit:name>
<spirit:name>hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_3_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:name>hibi_wrapper_r4_3_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:name>hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:name>hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_2"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1</spirit:name>
<spirit:name>hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_1"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_3"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="400"/>
<kactus2:position x="60" y="340"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="400"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="690" y="320"/>
<kactus2:position x="80" y="320"/>
<kactus2:position x="80" y="340"/>
<kactus2:position x="60" y="340"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="530"/>
<kactus2:position x="60" y="500"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="530"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="180"/>
<kactus2:position x="120" y="180"/>
<kactus2:position x="120" y="500"/>
<kactus2:position x="60" y="500"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="160"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="330"/>
<kactus2:position x="90" y="160"/>
<kactus2:position x="140" y="440"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="340"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="690" y="310"/>
<kactus2:position x="80" y="310"/>
<kactus2:position x="80" y="340"/>
<kactus2:position x="60" y="340"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="460"/>
<kactus2:position x="90" y="370"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="460"/>
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="430"/>
<kactus2:position x="90" y="100"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="350"/>
<kactus2:position x="90" y="430"/>
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="clocks_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="640"/>
<kactus2:position x="90" y="610"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="440"/>
<kactus2:position x="90" y="640"/>
<kactus2:position x="140" y="480"/>
<kactus2:position x="90" y="610"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="640"/>
<kactus2:position x="90" y="190"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="640"/>
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="190"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
342,87 → 348,85
<kactus2:position x="90" y="280"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="330"/>
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="280"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="190"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="360"/>
<kactus2:position x="90" y="190"/>
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="610"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="470"/>
<kactus2:position x="90" y="610"/>
<kactus2:position x="660" y="450"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="100"/>
<kactus2:position x="90" y="430"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
<kactus2:position x="660" y="360"/>
<kactus2:position x="90" y="430"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="370"/>
<kactus2:position x="90" y="460"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="370"/>
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="460"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="640"/>
<kactus2:position x="90" y="160"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="640"/>
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="160"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="640"/>
<kactus2:position x="90" y="530"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="430"/>
<kactus2:position x="90" y="640"/>
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="530"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="500"/>
<kactus2:position x="90" y="400"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="180"/>
<kactus2:position x="120" y="180"/>
<kactus2:position x="120" y="500"/>
<kactus2:position x="60" y="500"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
435,50 → 439,50
<kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3" kactus2:offPage="false">
<kactus2:position x="690" y="410"/>
<kactus2:position x="610" y="410"/>
<kactus2:position x="610" y="400"/>
<kactus2:position x="590" y="400"/>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1" kactus2:offPage="false">
<kactus2:position x="330" y="410"/>
<kactus2:position x="410" y="410"/>
<kactus2:position x="410" y="420"/>
<kactus2:position x="430" y="420"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2" kactus2:offPage="false">
<kactus2:position x="690" y="100"/>
<kactus2:position x="590" y="100"/>
<kactus2:route kactus2:connRef="hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master" kactus2:offPage="false">
<kactus2:position x="690" y="130"/>
<kactus2:position x="410" y="130"/>
<kactus2:position x="410" y="220"/>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="120"/>
<kactus2:position x="330" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="340"/>
<kactus2:position x="330" y="340"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mMaster_to_hibi_orbus_0_master" kactus2:offPage="false">
<kactus2:position x="690" y="280"/>
<kactus2:position x="410" y="280"/>
<kactus2:position x="690" y="290"/>
<kactus2:position x="410" y="290"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="430" y="220"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="false">
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master" kactus2:offPage="false">
<kactus2:position x="690" y="130"/>
<kactus2:position x="410" y="130"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="330"/>
<kactus2:position x="330" y="330"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="120"/>
<kactus2:position x="330" y="120"/>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2" kactus2:offPage="false">
<kactus2:position x="690" y="100"/>
<kactus2:position x="590" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3" kactus2:offPage="false">
<kactus2:position x="690" y="420"/>
<kactus2:position x="610" y="420"/>
<kactus2:position x="610" y="400"/>
<kactus2:position x="590" y="400"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1" kactus2:offPage="false">
<kactus2:position x="330" y="400"/>
<kactus2:position x="410" y="400"/>
<kactus2:position x="410" y="420"/>
<kactus2:position x="430" y="420"/>
</kactus2:route>
</kactus2:routes>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.design.3.0.xml
10,39 → 10,40
<spirit:version>3.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_5</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">3</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="550"/>
<kactus2:position x="770" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="120"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="290"/>
<kactus2:position x="80" y="100"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="140"/>
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="100"/>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
56,6 → 57,7
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="250"/>
86,39 → 88,40
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_5</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="60"/>
<kactus2:position x="770" y="550"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="120"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="80" y="100"/>
<kactus2:position x="-80" y="290"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="90"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="80" y="70"/>
<kactus2:position x="-80" y="140"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="110"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="100"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
159,39 → 162,40
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_4</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">2</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="560"/>
<kactus2:position x="250" y="300"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="80"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="190"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="170"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="140"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
205,6 → 209,7
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">1</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
235,39 → 240,40
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_4</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="prior_g">5</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="300"/>
<kactus2:position x="250" y="560"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="110"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="190"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="170"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="140"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
275,209 → 281,224
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_5"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_4"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_3"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_1"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_1"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_3"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_4"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_5"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="490"/>
<kactus2:position x="90" y="890"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="320"/>
<kactus2:position x="90" y="490"/>
<kactus2:position x="660" y="690"/>
<kactus2:position x="90" y="890"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="700"/>
<kactus2:position x="90" y="920"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="180"/>
<kactus2:position x="90" y="700"/>
<kactus2:position x="660" y="730"/>
<kactus2:position x="90" y="920"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="550"/>
<kactus2:position x="90" y="860"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="550"/>
<kactus2:position x="660" y="840"/>
<kactus2:position x="90" y="860"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="clocks_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="670"/>
<kactus2:position x="60" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="670"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="640"/>
<kactus2:position x="60" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="220"/>
<kactus2:position x="60" y="790"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="220"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="750"/>
<kactus2:position x="80" y="750"/>
<kactus2:position x="80" y="790"/>
<kactus2:position x="60" y="790"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="60" y="760"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="730"/>
<kactus2:position x="80" y="730"/>
<kactus2:position x="80" y="760"/>
<kactus2:position x="60" y="760"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="660" y="630"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="520"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="360"/>
<kactus2:position x="90" y="520"/>
<kactus2:position x="140" y="600"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="450"/>
<kactus2:position x="140" y="440"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="170"/>
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="370"/>
<kactus2:position x="90" y="430"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="370"/>
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="430"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="280"/>
<kactus2:position x="90" y="100"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="280"/>
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
492,137 → 513,124
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="100"/>
<kactus2:position x="90" y="310"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="100"/>
<kactus2:position x="90" y="100"/>
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="310"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="430"/>
<kactus2:position x="90" y="400"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="430"/>
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="440"/>
<kactus2:position x="660" y="450"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:position x="90" y="520"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="600"/>
<kactus2:position x="90" y="830"/>
<kactus2:position x="660" y="360"/>
<kactus2:position x="90" y="520"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="830"/>
<kactus2:position x="90" y="670"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="630"/>
<kactus2:position x="90" y="830"/>
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="670"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="730"/>
<kactus2:position x="90" y="250"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="730"/>
<kactus2:position x="60" y="730"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="250"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="770"/>
<kactus2:position x="90" y="700"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="750"/>
<kactus2:position x="80" y="750"/>
<kactus2:position x="80" y="770"/>
<kactus2:position x="60" y="770"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="700"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="640"/>
<kactus2:position x="90" y="580"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="640"/>
<kactus2:position x="60" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="860"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="840"/>
<kactus2:position x="90" y="860"/>
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="580"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="920"/>
<kactus2:position x="90" y="730"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="730"/>
<kactus2:position x="90" y="920"/>
<kactus2:position x="140" y="180"/>
<kactus2:position x="90" y="730"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="890"/>
<kactus2:position x="90" y="550"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="690"/>
<kactus2:position x="90" y="890"/>
<kactus2:position x="660" y="320"/>
<kactus2:position x="90" y="550"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
635,28 → 643,34
<kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="570"/>
<kactus2:position x="670" y="570"/>
<kactus2:position x="670" y="590"/>
<kactus2:position x="690" y="590"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="670"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="100"/>
<kactus2:position x="690" y="100"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="130"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="580"/>
<kactus2:position x="350" y="580"/>
<kactus2:position x="350" y="600"/>
<kactus2:position x="330" y="600"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="410"/>
<kactus2:position x="670" y="410"/>
<kactus2:position x="670" y="420"/>
<kactus2:position x="690" y="420"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="340"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="640"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="400"/>
<kactus2:position x="350" y="400"/>
663,34 → 677,28
<kactus2:position x="350" y="410"/>
<kactus2:position x="330" y="410"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="410"/>
<kactus2:position x="670" y="410"/>
<kactus2:position x="670" y="420"/>
<kactus2:position x="690" y="420"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="120"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="580"/>
<kactus2:position x="350" y="580"/>
<kactus2:position x="350" y="600"/>
<kactus2:position x="330" y="600"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="640"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="100"/>
<kactus2:position x="690" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="360" y="340"/>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="590" y="570"/>
<kactus2:position x="670" y="570"/>
<kactus2:position x="670" y="590"/>
<kactus2:position x="690" y="590"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="130"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster" kactus2:offPage="true">
<kactus2:position x="400" y="280"/>
<kactus2:position x="660" y="670"/>
</kactus2:route>
</kactus2:routes>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.