OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 18 to Rev 19
    Reverse comparison

Rev 18 → Rev 19

/nand_controller/trunk/VHDL/nand_master.vhd
275,8 → 275,8
'0';
-- Activation of write byte mechanism
io_wr_activate <= '1' when (state = M_NAND_PAGE_PROGRAM and substate = MS_WRITE_DATA3) or -- initiate byte write for PAGE_PROGRAM command
(state = MI_BYPASS_DATA_WR and substate = MS_WRITE_DATA0) else
io_wr_activate <= '1' when (state = M_NAND_PAGE_PROGRAM and substate = MS_WRITE_DATA3) or -- initiate byte write for PAGE_PROGRAM command
(state = MI_BYPASS_DATA_WR and substate = MS_WRITE_DATA0) else -- writing byte directly to the chip
'0';
MASTER: process(clk, nreset, activate, cmd_in, data_in, state_switch)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.