OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/nand_controller/trunk/VHDL/nand_stuff.vhd
4,6 → 4,7
 
package nand_stuff is
-- Clock cycle length in ns
-- IMPORTANT!!! The 'clock_cycle' is configured for 400MHz, change it appropriately!
constant clock_cycle : real := 2.5;
-- NAND delays

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.