OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 22 to Rev 23
    Reverse comparison

Rev 22 → Rev 23

/open_hitter/trunk/sim/rtl_sim/src/hitter_sim.vhd
118,13 → 118,20
flasher: process (RX_CLK) is
begin
if rising_edge(RX_CLK) then
 
-- if (pos < 4) then -- ghdl flash
if (pos < 62500000) then -- 125Mhz timing / 0.5s
-- if (pos < 62500000) then -- 125Mhz timing / 0.5s
if (pos < 25000000) then -- 125Mhz timing / 0.2s
restart <= '1';
pos <= pos + 1;
elsif (pos < 125000000) then -- 125Mhz timing / 1s
pos <= pos + 1;
restart <= '0';
else
alight <= not alight;
pos <= 0;
end if;
 
end if;
end process flasher;
 
133,6 → 140,6
LEDS_POSITIONS_TRI_O(2) <= result_one; -- S
LEDS_POSITIONS_TRI_O(3) <= result_two; -- N
LEDS_POSITIONS_TRI_O(4) <= result_all_ok; -- E
restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
-- restart <= PUSH_BUTTONS_5BITS_TRI_I(0);
end implementation;
 
/open_hitter/trunk/sim/rtl_sim/src/search_control_sim.vhd
110,7 → 110,8
begin
-- Component instantiation.
search_control_0: search_control
generic map ( searchitems => 4 ) -- for test case when full at 4 orders
-- generic map ( searchitems => 4 ) -- for test case when full at 4 orders
generic map ( searchitems => 200 ) -- a bit bigger
port map (
RX_CLK => RX_CLK,
-- control flag(s) on the incoming bus
257,19 → 258,19
 
-- result_one <= '1';
 
if i = 1 then
if i = 4 then
if order_px_type_o = output_patterns(i).order_px_type_o then
result_one <= '1';
end if;
end if;
 
if i = 2 then
if i = 8 then
if order_px_type_o = output_patterns(i).order_px_type_o then
result_two <= '1';
end if;
end if;
 
if i = 3 then
if i = 11 then
if order_px_type_o = output_patterns(i).order_px_type_o then
result_all_ok <= '1';
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.