OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma/trunk
    from Rev 41 to Rev 42
    Reverse comparison

Rev 41 → Rev 42

/core/ds_dma64/pcie_src/components/coregen/ctrl_fifo64x37st.ngc File deleted \ No newline at end of file
/core/ds_dma64/pcie_src/components/coregen/ctrl_fifo64x34fw.ngc File deleted \ No newline at end of file
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x37st.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$35g44<,[o}e~g`n;"2*736(-;0<<>40123456789:;<=>?1193456789:;<=>?09224>6789:;<=>?0023457739:;<=>?090755678;1;4<5>1:01?7G6:29<6D@_UU8gmk:4>3:5=95<7;MVPUSS2me~x1=9:1<24>2799:?<<6?212;472<<=:?=68=;7226>0=AGZ^X7OKDSC?1?699;1=6D@_UU8B@ATE4<0;2<<46;KMTPR=IMNYO1;50?31?3<NFY__6LJKRE>6>58a3?ygt9;{ffe7<1+5922375>?8323646<09:38=>;212155669913<9>?81614467:9;:<66?4103556?8=:9<<?4939B47=F9;1J>?5N339B07=F=;1J:95NA7C;?DBCZH6;255NDEPB848?3HNO^L2=>99B@ATF4:437LJKR@>7:d=FLMXJ084?>99B@ATF4<437LJKRC>3:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?2l5NDEPA80<7611JHI\M<4<;?DBCZJ6;255NDEP@848?3HNO^N2=>99B@ATD4:437LJKRB>7:d=FLMXH084?>99B@ATD4<437LJKRE>3:==FLMXO0<07;@FGVA:5611JHI\K<2<;?DBCZM6?2l5NDEPG80<7611JHI\K<4<:?DU^FJUYIJ64BTQ\MK@H92I37N8LCBA@G7=DM8:0OH>N0@2BA@GFIHKJ=?5LHDAH[IODMGYNSYW_E39@M1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK826?FJLI8=0OAEN1E04?FJLI8N?;6MCK@3G<0=DDBH:96MCKB36?FJLL8?0OAEKVb9@HNBQWMC]EIK:;BNHB]><KEAMT<6?3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F1?AO43MCJ?6JFB29GMF5<L@N87IAN3:FLF6=CGJ90HBJ<;ERL1>BWZH:>7I^]A078@UTF:<1O\_O<5:FSVD223MZYM8??;G24<C6A8O:M<K>I049EEIUB:2LO?6HKC59E@FC43ON[86HKPD68BC1202LMJK6?0938C6=@FM;0E?5F039J57=N:;1B??5F439J1<=NF@^XXLZJ6:KMMQYF>2CEEYQM6:KMMQYD>2CEEYQK3:KMR7=KA?1GCLJJD79OKFMBL>1GCJGLAM68HPR5<2F^X>:4LTV70>JR\<>0@XZ95:OPCJH23D_SOT;4MTZE3f=JiceyZh||inl`?Hoig{\n~~g`n99NvdkXelg97C?=;O01?K503GO_[B\D1:M4?J(38mUG86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH5<X[O=7]]KOOG2?T0<ZHG=8@64R@O50]7C92Y=7^AZRBG0?VVH>2Y_MY[\4:VZT@153\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$kfi.sje+HkrpVxjaRxnlhf\mkrXOGNT>4Q`_LW[[36Xg8;>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fpkb+ton&xjaRxnlhf\`40e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$kfi.sje+wgjWkgeiQfnu]DJAY51VeTAXVP61]l<d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(EhnoSz|Ppovq[wbXxhnP:PQ}d^rmpwYnfU{miU9]^cg`Z4XG\^Tot2?>9c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+HgclV}yS}`{r^pg[ugcS?WT~iQnup\mkpXxhnP:PQnde]1[JSSWjs7=36n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.Ob`aYpzVzexQ}d^rb`^0ZW{nT|cz}_hlu[ugcS?WTmijP2^MVPZe~4;43m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!Baef\swYwf}xT~iQaeY5YZtcWyd~Rgav^rb`^0ZWhnoS?Q@UU]`}9590h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,dt`(ulyo$Aljk_vp\tkruW{nT|ljT6\]q`Zvi|{Ubb{QaeY5YZgclV8TCXZPcx>7:=g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'DkohRy}_qlwvZtcWykoW;SPre]sjqtXag|T|ljT6\]b`aY5WF__Snw35?:a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*KflmU|~R~ats]q`ZvflR<VSjPpovq[lhqWykoW;SPaef\6ZIR\Vf~x1?18c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,IdbcW~xT|cz}_sf\tdb\>TUyhR~ats]jjsYwimQ=QRokd^0\KPRXd|~7>36m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.Ob`aYpzVzexQ}d^rb`^0ZW{nT|cz}_hlu[ugcS?WTmijP2^MVPZjr|5954o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k M`fg[rtXxg~ySjPp`fX2XYulVzexQfnw]sea]1UVkohR<POTV\hpr;<72i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"Cnde]tvZvi|{UyhR~ndZ4^[wbXxg~ySd`y_qcg_3[XimnT>RAZT^nvp9390k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,dt`(ulyo$Aljk_vp\tkruW{nT|ljT6\]q`Zvi|{Ubb{QaeY5YZgclV8TCXZPltv?2;>e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&GjhiQxr^rmpwYulVzjhV8R_sf\tkruW`d}S}ok[7_\eabX:VE^XRv`r=3=<g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(EhnoSz|Ppovq[wbXxhnP:PQ}d^rmpwYnfU{miU9]^cg`Z4XG\^Ttb|32?:a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*KflmU|~R~ats]q`ZvflR<VSjPpovq[lhqWykoW;SPaef\6ZIR\Vrd~1=18c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,IdbcW~xT|cz}_sf\tdb\>TUyhR~ats]jjsYwimQ=QRokd^0\KPRXpfx7836m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.Ob`aYpzVzexQ}d^rb`^0ZW{nT|cz}_hlu[ugcS?WTmijP2^MVPZ~hz5?54o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k M`fg[rtXxg~ySjPp`fX2XYulVzexQfnw]sea]1UVkohR<POTV\|jt;>7==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"Cbuy]svjaXmdzuRgPPVP\66YhWD_SS87Po036f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)Xf9:?5Rgav4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+Zh78=3Tecx>719V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,`l`aWyd~V;R_FLG[71XgVozylbP8^m40>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)caolT|cz}[4_\CKBX:>UdShzam];[j:168<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"jffg]sjqtXxhn7=38>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.fjbcYwf}xT|lj32?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*bnnoU{by|Pp`f?7;063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&nbjkQnup\tdb;<7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"jffg]sjqtXxhn7938>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.fjbcYwf}xT|lj36?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*bnnoU{by|Pp`f\536<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'mcmjR~ats]seaY5>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,dt`(ulyo$hdhi_qlwvZvflV9=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!kigd\tkruWykoS98?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nzn"je.fjbcYwf}xT|ljP5728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+aoanVzexQae]51a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(x{elShctx]j2c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(x{elShctx]j[UQUW;9TcRCZX^7:[j3d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&xjaR|k_dl\m0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'{kfSz|Peo]j26=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(zmU{by|Piot\tdb;97<m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"|k_qlwvZoi~Vzjh1851?>3:4YNF_U;:?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k ws]sjqtXzmU{mi2?>718Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+rtXxg~ySjPp`f?4;7?:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/esa+tcxl%|~R~ats]q`ZvflR<VSjPpovq[lhqWykoW;SPaef\6ZIR\5;54?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k ws]sjqtXzmU{miU9]^pg[uhszVcezR~ndZ4^[dbcW;UDYY2=>908Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+rtXxg~ySjPp`fX2XYulVzexQfnw]sea]1UVkohR<POTV?7;>53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&}yS}`{r^pg[ugcS?WT~iQnup\mkpXxhnP:PQnde]1[JSS4=43>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!xr^rmpwYulVzjhV8R_sf\tkruW`d}S}ok[7_\eabX:VE^X1;1839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,swYwf}xT~iQaeY5YZtcWyd~Rgav^rb`^0ZWhnoS?Q@UU>5:26<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV8;SbQBUY]73Zi6?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(EdsSjafnf]fiur~WY]YS?>Po^OV\Z20Wf;:;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$A`{w_fmjjbYbey~rS]Y]_32\kZKRPV><Sb?=709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} Mlw{[binfnUna}zv_QUQ[76XgVG^TR:8_n3034=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW;:TcRCZX^64[j73?:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(EdsSjafnf]fiur~WY]YS?>Po^OV\Z20Wf;?SK9<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"Cbuy]dklh`Wlg{xtQ_WS]14ZiXE\RT8:Q`15]D17=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,gjkw8<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'jef|=?:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!lolr3605<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+fijx99=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%lcd`h_dosp|YW_[U9<RaPMTZ\02Yh=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPi7d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@czx^e`4+eh}g~P9PQHNE]15ZiXe|rT>RAZT335b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV;R_FLG[77XgVg~tR<POTV0503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}6:28;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu>1:03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}6828;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu>7:03<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}6>2;l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznuY6YZAILV8:SbQbuy]1[JSS484=n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[4_\CKBX:8UdS`{w_3]LQQ:56?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU:]^EM@Z46WfUfyuQ=_NWW8681k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW8SPGOF\64YhWdsS?Q@UU>0:40e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P9PQHNE]15ZiXe|rT>RAZT=6=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R?VSJ@K_33\kZkrpV8TCXZ34?35f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS<WTKCJP20]l[hsW;UDYY2:>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]2UVMEHR<>_n]nq}Y5WF__080>559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZ72<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dS?;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\702<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}U?995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^76<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;7<3;7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4:66<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=0=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0>0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;<7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>6:01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R>:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X8V;>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\4Z42?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P14;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V;T=894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z4212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P2^363>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T?874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z5X9<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^66=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T8R?:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X=<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^7\5<6<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT6\]q`Zvi|{U|~Rgav^rb`^0ZWhnoS?Q@UU]`}969191^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY5YZtcWyd~Ry}_hlu[ugcS?WTmijP2^MVPZe~4842<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSSWjs7>37?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^Tot2<>828Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclV}yS}`{r^roqv7XxhnP:PQ}d^rmpwYpzVcezR~ndZ4^[dbcW;UDYYQly=6==5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU9]^pg[uhszV}ySd`y_qcg_3[XimnT>RAZT^az808>92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ4^[wbXxg~ySz|Piot\tdb\>TUjhiQ=_NWW[iss4842=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSSWe0?061:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR<VSjPpovq[rtXag|T|ljT6\]b`aY5WF__Sa{{<2<:5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV8R_sf\tkruW~xTecxPp`fX2XYflmU9SB[[_mww818>92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ4^[wbXxg~ySz|Piot\tdb\>TUjhiQ=_NWW[iss4<42=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSSWe0;061:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR<VSjPpovq[rtXag|T|ljT6\]b`aY5WF__Sua}<0<:5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV8R_sf\tkruW~xTecxPp`fX2XYflmU9SB[[_ymq878>92_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ4^[wbXxg~ySz|Piot\tdb\>TUjhiQ=_NWW[}iu4:42=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSSWqey09061:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR<VSjPpovq[rtXag|T|ljT6\]b`aY5WF__Sua}<4<:5>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV8R_sf\tkruW~xTecxPp`fX2XYflmU9SB[[_ymq8380=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FaxvPpsmd[cskdVcTKCJP35]l[HS_W?2Tc<?:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-\j5629Vcez8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/^l3407Xag|:;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszR?VS]Y]_3:\kZcv}hfT4Ra84:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yW8SPPVP\6=YhWl{~maQ7_n>5:4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdb;97<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhn7>38>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.fjbcYwf}xT|lj33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f?0;063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdb;=7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhn7:38?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.fjbcYwf}xT|ljP1728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae]125=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(l`lmS}`{r^rb`Z5182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcW=<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhnT9;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQ95b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,twi`Wog`Rg9e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-svjaXn|fgSdQHNE]00ZiXE\RT:5Q`5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,vdkXzmUnbRg:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-qehYpzVoeSd8m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.uq[uhszVzgy~?Pp`f?4;Yjign:455Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h ws]sjqtXxex=R~ndZ4^[wbXxg~ySz|Piot\tdb\>TUjhiQ=_NWW848?02_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%|~R~ats]shpu6WykoW;SPre]sjqtX{Ubb{QaeY5YZgclV8TCXZ32?:;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*quWyd~R~cur3\tdb\>TUyhR~ats]tvZoi~VzjhV8R_`fg[7YH]]682564U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/vp\tkruWyf~<QaeY5YZtcWyd~Ry}_hlu[ugcS?WTmijP2^MVP929011^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl${Qnup\tist9VzjhV8R_sf\tkruW~xTecxPp`fX2XYflmU9SB[[<4<;<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)pzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^7:39=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"Cbuy]qehYa}efTobcm_FLG[65XgVG^TR8=_n347>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-Nip~XzhgTjxbc_bmnfZAILV98SbQBUY]56Zi69>90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'Dg~tR|nm^dvhiYdgdhTKCJP32]l[HS_W?8Tc<<83:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!Bmtz\vdkXn|fgSnabb^EM@Z54WfUFYUQ92^m2725<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+HkrpVxjaRhzlm]`khdXOGNT?>Q`_LW[[34Xg8>=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%ym`Qiumn\gjkeWNDOS>=Po^OV\Z05Wf?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]efZo2?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)uidUmyabPi5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)uidU|~Rka_h365>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j5Z70<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Nip~Xoji;"jm?.bmvjq]2UVMEHR=>_n]nq}Y5WF__><9;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV;R_FLG[67XgVg~tR<POTV050g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idycz31?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|d0?0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|5959l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjq:36<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov?1;173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[4_\CKBX;8UdS`{w_3]LQQ:66>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX1XY@FMU8=RaPmtz\6ZIR\585;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjq]2UVMEHR=>_n]nq}Y5WF__0>081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb2-gjsi|R?VSJ@K_23\kZkrpV8TCXZ33?344>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfexV;R_FLG[67XgVg~tR<POTV?0;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[4_\CKBX;8UdS`{w_3]LQQ:368=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_0[XOGNT?<Q`_lw{[7YH]]6>2:?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\=TULBIQ<1^m\ip~X:VE^X1;114;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~T=874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrX:<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\70?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczP44;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~T98j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86;28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86:28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86928j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86828j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86?28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl86>28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U;9h5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V:T=8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z;7<3;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB1438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%F~lcPR@O2407<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Br`o\VDK69<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-NvdkXZHG:>8?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)JzhgT^LC>3428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%F~lcPR@O115=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"C}al]QEH5282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/LpbiZTFE=?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,IwgjW[KF98>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)JzhgT^LC9519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL564>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI=373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ1<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566>5:59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;=0<>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<03=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01358449=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m457148959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;=0<:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<07=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01358409=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m457148=59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;=0<61509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<0;=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0135848292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;:94>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788<7><0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<8323<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?004?668292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;:=4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788<7>80:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<8327<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?004?628292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;:14>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788<7>40:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<832?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?17>04;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2353:497?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679?68>3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=;2<3?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?17>00;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic _o2353:4=7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679?68:3;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=;2<>428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>6=6=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0135808282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;>7?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679?6<28>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9:::161519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<8<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?4;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:687?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6:=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2>2?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>27;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:6<7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6:93;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2>6?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>23;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:607?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6:53;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2>>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=03:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9466<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa58928?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1<<>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=07:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9426<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa58=28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1<8>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=0;:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m94>6<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0>>1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<23=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8649=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4:959<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub0>:1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<27=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j8609=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn4:4><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc783;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2:>428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=4=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j828282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;07?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`6229h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR>;f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP1428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^3315=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[47282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:?8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?;519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0764>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\53373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY6?<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;39=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<7;f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP2428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^0315=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[77282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX:;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U9?8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<;519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3764>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\63373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5?<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV839=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS?7;f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP3428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^1315=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[67282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8?8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR=;519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_2764>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\732a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY3<o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW<>m7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U=8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS::i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ74g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_86a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+wgjW{nTicQf4b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-qehYulVoeSd?;e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.pbiZtcWldTe<Q>4d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-qehYulVoeSd?P2218Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"|nm^uq[`h3k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?4;2d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>1:1d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg^27f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hiP25a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|Vxnk1>14b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0<0;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlmT<9l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtboV;8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlm?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#z|Ppovq858Xag|:SD@Y_1a8QVCUW_CXEOBJ9:TJARYSQYO?7ZMCK39TA4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM0=_G[IR:6V\TMKA3>^T\VMEHo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy9n;^]\\IHJWVU;SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ7^[BHCW;;TcRczx^0\KPR;;7;<j6QP_YNMIZYX9VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX1XY@FMU8=RaPmtz\6ZIR\595=;o4_^][HKKXWV8TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Pn1265Zoi~8<j7RQPXMLN[ZY4WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,dt`(ulyo$Sc>?48]jjs7?:2UTSUBAM^]\0ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_0[XOGNT?<Q`_lw{[7YH]]8:;i5P_^ZOJHYXW<UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kj}qUlo= lotlw_0[XOGNT><Q`_lw{[7YH]]8:4=5P_^ZOJHYXW?UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsje*wna'Dg~tR|nm^tbhlbXag~TKCJP28]l[HS_W?:Tc<?<;bnh3>eheykyi<>4cupo[coag?>s?:}{2d9`pwjXn`ld:9v<7rv/bl`hWnoeio{os]u<Z7+FFDN CAAE02:f>eszeUmeka94y14wq*aaoeTkh`jr`vlvZp?W8&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah94*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro5?&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?03)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`49< nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<2/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3>,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak97*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3=,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo5;&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=3.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7; nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb22-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;4$jU{~biPelrw}Zr~xl7: nQrne\ahvsqV~r|hQnxhq>1)eXx{elShctx]w}ucXzhic18"l_qplcZcjx}sTxt~j_sf`l83+kVzycjQjmqvz[qwmV}joe3:,b]svjaXmdzuRzvpd]t`fn:=%iT|ah_dosp|YsqyoT{mg=4.`[uthoVl~`aQ{hsgplZgt{lxS{oc=44/gZvugnUmyabPtipfwmYf{zoyxRxnl^c{mv;68;9'oR~}of]eqijX|axneQnsrgqpZpfdVxjoe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^pggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}joe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^uggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}yoe3>031/gZvugnUmyabPtipfwmYimnkiRxnl<76(fYwzfmTjxbc_u{sa87+kVzycjQiumn\p|vbWhrb0;#c^rqkbYa}efTxt~j_sc`l83+kVzycjQiumn\p|vbW{nhd0;#c^rqkbYa}efTxt~j_vc`l83+kVzycjQiumn\p|vbW~nhd0;#c^rqkbYa}efTxt~j_vp`l83+kVxjoeQ{yqg>4)eXzmUomyoPcnwmpZqnl}b6:!mPre]gauro5?>'oR|k_gpfu87+kVxoS}`{r^uj`qn:>%iT~img_u{sa86+kVxnkR}cibg\ijbbWhrb0>#c^pfcZukajoTabjj_sc`l86+kVxnkR}cibg\ijbbW{nhd0>#c^pfcZukajoTabjj_vc`l86+kVxnkR}cibg\ijbbW~nhd0>#c^pfcZukajoTabjj_vp`l86+kVehh|ilnu\hjq:8%iTy~kPcnonkkYh~lxm`by20-a\qvcXkfgfccQznegqbiip59&hSx}j_egeepjsWzoxd`hs<2/gZstmVndyyQ}su?2(fYr{lUnon3?,b]vw`YbkjUjtd}20-a\qvcXmjiT~lmg=1.`[pubWlihSjlh<2/gZstmVohoRynci?3(fYr{lUnonQxdbj>4)eX}zoTinmPwsak95*dW|ynShcmeeff`Ztbo4:'oR{|e^djbj71Wofjk~3?,b]vw`Yao~Thlzn_bmvjq;7$jU}magk_mmt95*dW~khdRzvpd?3(fYpljbTxt~j=1.`[rtXijaT`by20-a\swYci}kTob{at^uj`qn:>%iT{Qkeqvk932+kV}ySikti]b|lu:998? nQxr^fftqnXzhic1<8#c^uq[acw|aUyhnf21107(fYpzVnn|yfPw`ak940+kV}ySikti]t`fn:998? nQxr^fftqnX{ic1<8#c^uq[ctby4;'oRy}_qlwvZqnl}b6:!mPws]sjqtX`ndRowir?24)eX{U{by|PwhfwlZtfka7? nQxr^rmpwYpam~cSjlh<33(fYpzVzexQxievk[rgd`4>'oRy}_qlwvZqnl}bT{img=02/gZquWyd~Ryfduj\sweo5=&hSz|PrdqskkubWekicmv=0.`[rtd`V~r|h3?}29g`h0<l`d7<394dhl?55803mce0<?17:fjj9756>1oec2>3?58`lh;9=4<7iga<07=3>bnf5;=2:5kio>23;1<l`d7=508;ekm84?9>2nbb1?17:fjj9476>1oec2=1?58`lh;:;4<7iga<31=3>bnf58?2:5kio>11;1<l`d7>;08;ekm8719?2nbb1<7>69gmk:517<0hd`32?58`lh;;94<7iga<23=3>bnf5992:5kio>07;1<l`d7?908;ekm863912nbb1=9:1<4?aoi4:<5:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=0>bjmd=0hb{{<1<;?air|5;;255kotv?548?3me~x1?=>99gkpr;9:437iazt=37:==cg|~7=807;emvp971611ocxz316<;?air|5;3255kotv?5<803me~x1?18:flqq:58720hb{{<33=<>bh}}69>364dnww875902ndyy2=4?:8`jss4;?546j`uu>12;><lf0?918:flqq:50720hb{{<3;=3>bh}}69255kotv?758?3me~x1=>>99gkpr;;;437iazt=10:==cg|~7?907;emvp9526h1ocxz33783:==cg|~7?;08;emvp959?2ndyy2;>69gkpr;=7=0hb{{<7<4?air|5=5;6j`uu>;:2=cg|~753;4elrw}40<n`ldSjkaescwkwYuidlbjb?=;gkekZabflxjxb|Ptnr27>`nnfUlick}aumq[s>X9;l0jdh`_fgmawgsg{U}4R?# Ykomk~'KFXN,Jkaescwkw&6;';:;6hffn]dakcui}eyS{6P1^zpp1=a}ef27d`uu]ahn0<elgd~t64nfaaqljci2e~x}{{_cnhe>vugnUna}zv9:rqkbYa}efi7jPbhl\gkru=2xoSh`>4:pg[mgilzbjgd`h_gnbcv><zmUgcjgl8:pg[ucX{z;97jPsucwqvYadhmxS~}>1:pfw`rXjeaT~lciigm0?wus=2|j`djm;vp\flhXkg~y96y}_dl;?rtXdfmbo55xr^re[vu6:2}yS~znttq\big`{VyxqMN7`d8DE~5980M694>{R7a>7602031=><ke2795g649rd9<84>;o032?0<,;:86<hj;|Q6=?47?3326<==dd16>4d7;l1X4;4=6c83>455ll9>6<l?419P1<<5>k0;6<==dd16>4d7<91o><?50;395~U2j38;;776:011``5228h;?n5yT`694?7=93liw^;m:324><?=9:8oi>;51c20g>"6nk0346X=0581p?6281~5?4?;|&:e?733k8:=7>5528ae?`esA;mm6*n7;025>\3838pm7l5}%036?41>2.?97<>2:&6e?46;2c9?>4?:%;`>7553g3i6=54i312>5<#1j09??5a9c82?>o5;90;6)7l:311?k?e2;10e?<i:18'=f<5;;1e5o4<;:k16`<72-3h6?==;o;a>1=<a;8o6=4+9b8177=i1k0>76g=2b83>!?d2;997c7m:798m74f290/5n4=339m=g<032c9>44?:%;`>7553g3i6554i30;>5<#1j09??5a9c8:?>o5:>0;6)7l:311?k?e2h10e?<9:18'=f<5;;1e5o4m;:k160<72-3h6?==;o;a>f=<a;8?6=4+9b8177=i1k0o76g=2283>!?d2;997c7m:d98m745290/5n4=339m=g<a32c9><4?:%;`>7553g3i6<>4;h02b?6=,0i1>><4n8`954=<a;;n6=4+9b8177=i1k0:>65f20f94?">k388>6`6b;30?>o59j0;6)7l:311?k?e28>07d<>b;29 <e=::80b4l51498m77f290/5n4=339m=g<6>21b><750;&:g?44:2d2n7?8;:k15=<72-3h6?==;o;a>4><3`8:;7>5$8a9664<f0h1=454i335>5<#1j09??5a9c82e>=n::k1<7*6c;006>h>j3;i76g=3883>!?d2;997c7m:0a8?l4403:1(4m52208j<d=9m10e?=8:18'=f<5;;1e5o4>e:9j660=83.2o7<<2:l:f?7a32c9?84?:%;`>7553g3i6?>4;h000?6=,0i1>><4n8`964=<a;8i6=4+9b8177=i1k09>65f23294?">k388>6`6b;00?>o59<0;6)7l:311?k?e2;>07d<?f;29?l40>3:17d<89;29?l4083:17b<:a;29 <e=:<30b4l50:9l60>=83.2o7<:9:l:f?7<3f8>;7>5$8a960?<f0h1>65`24494?">k38>56`6b;18?j42=3:1(4m524;8j<d=<21d>8:50;&:g?4212d2n7;4;n067?6=,0i1>874n8`92>=h:<;1<7*6c;06=>h>j3=07b<:0;29 <e=:<30b4l58:9l61`=83.2o7<:9:l:f??<3f8?i7>5$8a960?<f0h1m65`25f94?">k38>56`6b;`8?j43k3:1(4m524;8j<d=k21d>9l50;&:g?4212d2n7j4;n07e?6=,0i1>874n8`9a>=h:=31<7*6c;06=>h>j3l07b<;8;29 <e=:<30b4l51198k721290/5n4=589m=g<6921d>9;50;&:g?4212d2n7?=;:m101<72-3h6?;6;o;a>45<3f8??7>5$8a960?<f0h1=954o361>5<#1j09945a9c821>=h:=;1<7*6c;06=>h>j3;=76a=4183>!?d2;?27c7m:058?j44n3:1(4m524;8j<d=9110c?=j:18'=f<5=01e5o4>9:9l66b=83.2o7<:9:l:f?7f32e9:<4?:%;`>73>3g3i6<l4;n054?6=,0i1>874n8`95f=<g;?m6=4+9b811<=i1k0:h65`24g94?">k38>56`6b;3f?>i5=m0;6)7l:37:?k?e28l07b<:c;29 <e=:<30b4l52198k73e290/5n4=589m=g<5921d>8<50;&:g?4212d2n7<=;:m102<72-3h6?;6;o;a>75<3f88o7>5$8a960?<f0h1>954o34;>5<<g;<96=44o354>5<<g;<n6=44o34g>5<<j;::6=4>:183!g02>=0D?>?;I3ee>i0>3:17pln9;290?6=8r.j;79?;I034>N6nh1/;k4>;h62>5<<a<21<75f5b83>>if83:17plj9;290?6=8r.j;79=;I034>N6nh1/;k48;h62>5<<a<i1<75f9d83>>if83:17plj8;290?6=8r.j;79=;I034>N6nh1/;k48;h62>5<<a<i1<75f9d83>>if83:17pl>4783>1<729q/m:480:J145=O9ok0(:h51:k75?6=3`?36=44i4a94?=hi90;66sm15794?2=83:p(l95719K656<@8lj7)9i:09j04<722c>47>5;h7`>5<<gh:1<75rb0d5>5<4290;w)o8:7d8L7673A;mm6F<f:&;a?0b3-=m6<5f4083>>o2k3:17bo?:188yg7a=3:1?7>50z&b3?0a3A8;<6F>f`9K7c=#0l0=i6*8f;38m17=831b9n4?::mb4?6=3th:j94?:483>5}#i>0<?6F=019K5cg<@:l0(5k56d9j04<722c??7>5;h7`>5<<a0o1<75`a183>>{e9ll1<7:50;2x d1=?;1C>=>4H0db?!1a2>1b8<4?::k6g?6=3`3n6=44o`294?=zj8on6=4;:183!g02>80D?>?;I3ee>"0n3=0e9?50;9j1f<722c2i7>5;nc3>5<<uk;m?7>54;294~"f?3=97E<?0:J2bd=#?o0<7d:>:188m0e=831b5h4?::mb4?6=3th:j?4?:583>5}#i>0<>6F=019K5cg<,>l1;6g;1;29?l3d2900e4k50;9le5<722wi=;k50;01>5<7s-k<6l?4H323?M7ai2P?<7:t11825?752891q)6?:39'<4<53-296?5+8281?l7ak3:17d?id;29?l3b2900e8h50;9j25<722c==7>5;n41>5<<g?91<75`6583>>i1=3:17d<6:18'=f<502d2n7>4;h04>5<#1j0946`6b;38?l41290/5n4=8:l:f?4<3`8>6=4+9b81<>h>j3907d<;:18'=f<502d2n7:4;h00>5<#1j0946`6b;78?l57290/5n4=f:l:f?6<3`8n6=4+9b81b>h>j3;07d<k:18'=f<5n2d2n7<4;h0`>5<#1j09j6`6b;18?l4e290/5n4=f:l:f?2<3`8j6=4+9b81b>h>j3?07d=8:18'=f<4>2d2n7>4;h16>5<#1j08:6`6b;38?l53290/5n4<6:l:f?4<3`986=4+9b802>h>j3907d==:18'=f<4>2d2n7:4;h12>5<#1j08:6`6b;78?l5b290/5n4<d:l:f?6<3`9h6=4+9b80`>h>j3;07d=m:18'=f<4l2d2n7<4;h1b>5<#1j08h6`6b;18?l5>290/5n4<d:l:f?2<3`936=4+9b80`>h>j3?07pl>6e83>74=83:p(l95a09K656<@8lj7W:?:5y24?762881=>4r$9296>"?9380(5<52:&;7?4<a8lh6=44i0dg>5<<a<o1<75f5g83>>o183:17d8>:188k34=831d:>4?::m50?6=3f<>6=44i3;94?">k3837c7m:198m71=83.2o7<7;o;a>4=<a;<1<7*6c;0;?k?e2;10e?;50;&:g?4?3g3i6>54i3694?">k3837c7m:598m75=83.2o7<7;o;a>0=<a::1<7*6c;0e?k?e2910e?k50;&:g?4a3g3i6<54i3f94?">k38m7c7m:398m7e=83.2o7<i;o;a>6=<a;h1<7*6c;0e?k?e2=10e?o50;&:g?4a3g3i6854i2594?">k39=7c7m:198m63=83.2o7=9;o;a>4=<a:>1<7*6c;15?k?e2;10e>=50;&:g?513g3i6>54i2094?">k39=7c7m:598m67=83.2o7=9;o;a>0=<a:o1<7*6c;1g?k?e2910e>m50;&:g?5c3g3i6<54i2`94?">k39o7c7m:398m6g=83.2o7=k;o;a>6=<a:31<7*6c;1g?k?e2=10e>650;&:g?5c3g3i6854}c35b?6=980;6=u+a68b6>N5891C=ko4$6d96>o6j3:17d?l:188m4b=831b=h4?::k2b?6=3`8;6=44i4a94?=n>>0;66g98;29?l0>2900e;o50;9j2g<722c=o7>5;h3eg?6=3`;mh7>5;nc6>5<<g?<1<75rb054>5<5:3:1<v*n7;c2?M4782B:jl5U418746=980:>7?<:|&;4?4<,1;1>6*72;08 =5=:2c:jn4?::k2ba<722c>i7>5;h7e>5<<a?:1<75f6083>>i1:3:17b8<:188k32=831d:84?::k1=?6=,0i1>55a9c83?>o5?3:1(4m5299m=g<632c9:7>5$8a96==i1k0976g=5;29 <e=:11e5o4<;:k10?6=,0i1>55a9c87?>o5;3:1(4m5299m=g<232c8<7>5$8a96c=i1k0;76g=e;29 <e=:o1e5o4>;:k1`?6=,0i1>k5a9c81?>o5k3:1(4m52g9m=g<432c9n7>5$8a96c=i1k0?76g=a;29 <e=:o1e5o4:;:k03?6=,0i1?;5a9c83?>o4=3:1(4m5379m=g<632c887>5$8a973=i1k0976g<3;29 <e=;?1e5o4<;:k06?6=,0i1?;5a9c87?>o493:1(4m5379m=g<232c8i7>5$8a97a=i1k0;76g<c;29 <e=;m1e5o4>;:k0f?6=,0i1?i5a9c81?>o4i3:1(4m53e9m=g<432c857>5$8a97a=i1k0?76g<8;29 <e=;m1e5o4:;:a520=83896=4?{%c4>d7<@;:;7E?ia:X74?2|990:=7?=:019y!>72;1/4<4=;%:1>7=#0:097d?ic;29?l7al3:17d;j:188m0`=831b:=4?::k55?6=3f<96=44o7194?=h>=0;66a95;29?l4>290/5n4=8:l:f?6<3`8<6=4+9b81<>h>j3;07d<9:18'=f<502d2n7<4;h06>5<#1j0946`6b;18?l43290/5n4=8:l:f?2<3`886=4+9b81<>h>j3?07d=?:18'=f<5n2d2n7>4;h0f>5<#1j09j6`6b;38?l4c290/5n4=f:l:f?4<3`8h6=4+9b81b>h>j3907d<m:18'=f<5n2d2n7:4;h0b>5<#1j09j6`6b;78?l50290/5n4<6:l:f?6<3`9>6=4+9b802>h>j3;07d=;:18'=f<4>2d2n7<4;h10>5<#1j08:6`6b;18?l55290/5n4<6:l:f?2<3`9:6=4+9b802>h>j3?07d=j:18'=f<4l2d2n7>4;h1`>5<#1j08h6`6b;38?l5e290/5n4<d:l:f?4<3`9j6=4+9b80`>h>j3907d=6:18'=f<4l2d2n7:4;h1;>5<#1j08h6`6b;78?xd6>j0;6?<50;2x d1=i81C>=>4H0db?_272=q:<7?>:00956<z,1:1>6*71;08 =4=:2.3?7<4i0d`>5<<a8lo6=44i4g94?=n=o0;66g90;29?l062900c;<50;9l26<722e=87>5;n46>5<<a;31<7*6c;0;?k?e2910e?950;&:g?4?3g3i6<54i3494?">k3837c7m:398m73=83.2o7<7;o;a>6=<a;>1<7*6c;0;?k?e2=10e?=50;&:g?4?3g3i6854i2294?">k38m7c7m:198m7c=83.2o7<i;o;a>4=<a;n1<7*6c;0e?k?e2;10e?m50;&:g?4a3g3i6>54i3`94?">k38m7c7m:598m7g=83.2o7<i;o;a>0=<a:=1<7*6c;15?k?e2910e>;50;&:g?513g3i6<54i2694?">k39=7c7m:398m65=83.2o7=9;o;a>6=<a:81<7*6c;15?k?e2=10e>?50;&:g?513g3i6854i2g94?">k39o7c7m:198m6e=83.2o7=k;o;a>4=<a:h1<7*6c;1g?k?e2;10e>o50;&:g?5c3g3i6>54i2;94?">k39o7c7m:598m6>=83.2o7=k;o;a>0=<uk;<87>52383>5}#i>0j=6F=019K5cg<R=:18v??:03957<6;3w/4=4=;%:2>7=#0;097)6<:39j5ce=831b=kj50;9j1`<722c>j7>5;h43>5<<a?;1<75`6383>>i1;3:17b8;:188k33=831b>44?:%;`>7><f0h1<65f2683>!?d2;20b4l51:9j63<72-3h6?64n8`96>=n:<0;6)7l:3:8j<d=;21b>94?:%;`>7><f0h1865f2283>!?d2;20b4l55:9j75<72-3h6?h4n8`94>=n:l0;6)7l:3d8j<d=921b>i4?:%;`>7`<f0h1>65f2b83>!?d2;l0b4l53:9j6g<72-3h6?h4n8`90>=n:h0;6)7l:3d8j<d==21b?:4?:%;`>60<f0h1<65f3483>!?d2:<0b4l51:9j71<72-3h6>84n8`96>=n;:0;6)7l:248j<d=;21b??4?:%;`>60<f0h1865f3083>!?d2:<0b4l55:9j7`<72-3h6>j4n8`94>=n;j0;6)7l:2f8j<d=921b?o4?:%;`>6b<f0h1>65f3`83>!?d2:n0b4l53:9j7<<72-3h6>j4n8`90>=n;10;6)7l:2f8j<d==21vn<9<:1816?6=8r.j;7o>;I034>N6nh1Q8=4;{02954<6:3;86p*70;08 =7=:2.3>7<4$9196>o6nj0;66g>fe83>>o2m3:17d;i:188m36=831b:<4?::m56?6=3f<86=44o7694?=h><0;66g=9;29 <e=:11e5o4?;:k13?6=,0i1>55a9c82?>o5>3:1(4m5299m=g<532c997>5$8a96==i1k0876g=4;29 <e=:11e5o4;;:k17?6=,0i1>55a9c86?>o483:1(4m52g9m=g<732c9i7>5$8a96c=i1k0:76g=d;29 <e=:o1e5o4=;:k1g?6=,0i1>k5a9c80?>o5j3:1(4m52g9m=g<332c9m7>5$8a96c=i1k0>76g<7;29 <e=;?1e5o4?;:k01?6=,0i1?;5a9c82?>o4<3:1(4m5379m=g<532c8?7>5$8a973=i1k0876g<2;29 <e=;?1e5o4;;:k05?6=,0i1?;5a9c86?>o4m3:1(4m53e9m=g<732c8o7>5$8a97a=i1k0:76g<b;29 <e=;m1e5o4=;:k0e?6=,0i1?i5a9c80?>o413:1(4m53e9m=g<332c847>5$8a97a=i1k0>76sm16794?45290;w)o8:`38L7673A;mm6T;0;6x55<693;96<=5}%:3>7=#08097)6=:39'<6<53`;mo7>5;h3e`?6=3`?n6=44i4d94?=n>90;66g91;29?j052900c;=50;9l21<722e=97>5;h0:>5<#1j0946`6b;28?l40290/5n4=8:l:f?7<3`8=6=4+9b81<>h>j3807d<::18'=f<502d2n7=4;h07>5<#1j0946`6b;68?l44290/5n4=8:l:f?3<3`9;6=4+9b81b>h>j3:07d<j:18'=f<5n2d2n7?4;h0g>5<#1j09j6`6b;08?l4d290/5n4=f:l:f?5<3`8i6=4+9b81b>h>j3>07d<n:18'=f<5n2d2n7;4;h14>5<#1j08:6`6b;28?l52290/5n4<6:l:f?7<3`9?6=4+9b802>h>j3807d=<:18'=f<4>2d2n7=4;h11>5<#1j08:6`6b;68?l56290/5n4<6:l:f?3<3`9n6=4+9b80`>h>j3:07d=l:18'=f<4l2d2n7?4;h1a>5<#1j08h6`6b;08?l5f290/5n4<d:l:f?5<3`926=4+9b80`>h>j3>07d=7:18'=f<4l2d2n7;4;|`237<72;81<7>t$`59e4=O:9:0D<hn;[63>1}683;:6<<5128~ =6=:2.3=7<4$9096>"?;380e<hl:188m4`c2900e8k50;9j1c<722c=<7>5;h42>5<<g?81<75`6283>>i1<3:17b8::188m7?=83.2o7<7;o;a>5=<a;=1<7*6c;0;?k?e2810e?850;&:g?4?3g3i6?54i3794?">k3837c7m:298m72=83.2o7<7;o;a>1=<a;91<7*6c;0;?k?e2<10e>>50;&:g?4a3g3i6=54i3g94?">k38m7c7m:098m7b=83.2o7<i;o;a>7=<a;i1<7*6c;0e?k?e2:10e?l50;&:g?4a3g3i6954i3c94?">k38m7c7m:498m61=83.2o7=9;o;a>5=<a:?1<7*6c;15?k?e2810e>:50;&:g?513g3i6?54i2194?">k39=7c7m:298m64=83.2o7=9;o;a>1=<a:;1<7*6c;15?k?e2<10e>k50;&:g?5c3g3i6=54i2a94?">k39o7c7m:098m6d=83.2o7=k;o;a>7=<a:k1<7*6c;1g?k?e2:10e>750;&:g?5c3g3i6954i2:94?">k39o7c7m:498yg7093:1>?4?:1y'e2<f92B9<=5G1gc8^16=<r;;6<?513827?{#09097)6>:39'<7<53-286?5f1ga94?=n9on1<75f5d83>>o2n3:17d8?:188m37=831d:?4?::m57?6=3f<?6=44o7794?=n:00;6)7l:3:8j<d=821b>:4?:%;`>7><f0h1=65f2783>!?d2;20b4l52:9j60<72-3h6?64n8`97>=n:=0;6)7l:3:8j<d=<21b>>4?:%;`>7><f0h1965f3183>!?d2;l0b4l50:9j6`<72-3h6?h4n8`95>=n:m0;6)7l:3d8j<d=:21b>n4?:%;`>7`<f0h1?65f2c83>!?d2;l0b4l54:9j6d<72-3h6?h4n8`91>=n;>0;6)7l:248j<d=821b?84?:%;`>60<f0h1=65f3583>!?d2:<0b4l52:9j76<72-3h6>84n8`97>=n;;0;6)7l:248j<d=<21b?<4?:%;`>60<f0h1965f3d83>!?d2:n0b4l50:9j7f<72-3h6>j4n8`95>=n;k0;6)7l:2f8j<d=:21b?l4?:%;`>6b<f0h1?65f3883>!?d2:n0b4l54:9j7=<72-3h6>j4n8`91>=zj8=;6=4=2;294~"f?3k:7E<?0:J2bd=]<90?w<>510826?742t.3<7<4$9396>"?:380(5=52:k2bf<722c:ji4?::k6a?6=3`?m6=44i7294?=n>80;66a92;29?j042900c;:50;9l20<722c957>5$8a96==i1k0;76g=7;29 <e=:11e5o4>;:k12?6=,0i1>55a9c81?>o5=3:1(4m5299m=g<432c987>5$8a96==i1k0?76g=3;29 <e=:11e5o4:;:k04?6=,0i1>k5a9c83?>o5m3:1(4m52g9m=g<632c9h7>5$8a96c=i1k0976g=c;29 <e=:o1e5o4<;:k1f?6=,0i1>k5a9c87?>o5i3:1(4m52g9m=g<232c8;7>5$8a973=i1k0;76g<5;29 <e=;?1e5o4>;:k00?6=,0i1?;5a9c81?>o4;3:1(4m5379m=g<432c8>7>5$8a973=i1k0?76g<1;29 <e=;?1e5o4:;:k0a?6=,0i1?i5a9c83?>o4k3:1(4m53e9m=g<632c8n7>5$8a97a=i1k0976g<a;29 <e=;m1e5o4<;:k0=?6=,0i1?i5a9c87?>o403:1(4m53e9m=g<232wi=;l50;01>5<7s-k<6l?4H323?M7ai2P?<7:t11825?752891q)6?:39'<4<53-296?5+8281?l7ak3:17d?id;29?l3b2900e8h50;9j25<722c==7>5;n41>5<<g?91<75`6583>>i1=3:17d<6:18'=f<502d2n7>4;h04>5<#1j0946`6b;38?l41290/5n4=8:l:f?4<3`8>6=4+9b81<>h>j3907d<;:18'=f<502d2n7:4;h00>5<#1j0946`6b;78?l57290/5n4=f:l:f?6<3`8n6=4+9b81b>h>j3;07d<k:18'=f<5n2d2n7<4;h0`>5<#1j09j6`6b;18?l4e290/5n4=f:l:f?2<3`8j6=4+9b81b>h>j3?07d=8:18'=f<4>2d2n7>4;h16>5<#1j08:6`6b;38?l53290/5n4<6:l:f?4<3`986=4+9b802>h>j3907d==:18'=f<4>2d2n7:4;h12>5<#1j08:6`6b;78?l5b290/5n4<d:l:f?6<3`9h6=4+9b80`>h>j3;07d=m:18'=f<4l2d2n7<4;h1b>5<#1j08h6`6b;18?l5>290/5n4<d:l:f?2<3`936=4+9b80`>h>j3?07pl>e183>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6lo0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>dd83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6lm0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>db83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6lk0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>d`83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l10;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>d683>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l?0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>d483>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l=0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>d283>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l;0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>d083>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l90;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>cg83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6km0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>cb83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6kk0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>c`83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6k00;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>c983>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6k>0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>c783>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6k<0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>c583>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6m?0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>e483>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6m=0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>e283>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6m;0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>e083>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6l00;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>cd83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6k:0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>c383>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xdek3:1?7>50z&b3?7an2B9<=5G1gc8m10=831b484?::m:<?6=3thin7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17plm0;290?6=8r.j;76i;I034>N6nh1b8;4?::k6`?6=3`k86=44o8:94?=zjkk1<7=50;2x d1=9ol0D?>?;I3ee>o3>3:17d6::188k<>=831vnlh50;694?6|,h=14k5G2128L4`f3`>=6=44i4f94?=ni:0;66a68;29?xde13:1?7>50z&b3?7an2B9<=5G1gc8m10=831b484?::m:<?6=3thji7>54;294~"f?32m7E<?0:J2bd=n<?0;66g:d;29?lg42900c4650;9~fg>=8391<7>t$`595c`<@;:;7E?ia:k72?6=3`2>6=44o8:94?=zjhn1<7:50;2x d1=0o1C>=>4H0db?l212900e8j50;9je6<722e247>5;|`a3?6=;3:1<v*n7;3eb>N5891C=ko4i5494?=n0<0;66a68;29?xdfk3:187>50z&b3?>a3A8;<6F>f`9j03<722c>h7>5;hc0>5<<g021<75rb``94?2=83:p(l958g9K656<@8lj7d:9:188m0b=831bm>4?::m:<?6=3thhh7>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thho7>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thhn7>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thhm7>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thh57>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thh47>54;294~"f?3=;7E<?0:J2bd=#?o0:7d:>:188m0>=831b9n4?::mb4?6=3thhi7>55;294~"f?3=87E<?0:J2bd=#?o0<7d:>:188m15=831b9n4?::k:a?6=3fk;6=44}cf2>5<3290;w)o8:628L7673A;mm6*8f;38m17=831b954?::k6g?6=3fk;6=44}cf3>5<3290;w)o8:628L7673A;mm6*8f;38m17=831b954?::k6g?6=3fk;6=44}c33b?6==3:1<v*n7;52?M4782B:jl5+7g82?l262900e9=50;9j1=<722c>o7>5;nc3>5<<uk;;h7>55;294~"f?3=:7E<?0:J2bd=#?o0:7d:>:188m15=831b954?::k6g?6=3fk;6=44}c33f?6==3:1<v*n7;52?M4782B:jl5+7g82?l262900e9=50;9j1=<722c>o7>5;nc3>5<<uk;;57>55;294~"f?3=:7E<?0:J2bd=#?o0:7d:>:188m15=831b954?::k6g?6=3fk;6=44}c333?6==3:1<v*n7;52?M4782B:jl5+7g82?l262900e9=50;9j1=<722c>o7>5;nc3>5<<uk;;87>55;294~"f?3=:7E<?0:J2bd=#?o0:7d:>:188m15=831b954?::k6g?6=3fk;6=44}cdg>5<2290;w)o8:638L7673A;mm6*8f;38m17=831b8>4?::k6<?6=3`?h6=44o`294?=zjoi1<7;50;2x d1=?81C>=>4H0db?!1a281b8<4?::k77?6=3`?36=44i4a94?=hi90;66smfc83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xdai3:197>50z&b3?163A8;<6F>f`9'3c<63`>:6=44i5194?=n=10;66g:c;29?jg72900qoh6:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f44529086=4?{%c4>4`a3A8;<6F>f`9j03<722c397>5;n;;>5<<uk;9=7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17pl>1783>1<729q/m:47f:J145=O9ok0e9850;9j1a<722cj?7>5;n;;>5<<uk;9<7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17pl>1483>1<729q/m:47f:J145=O9ok0e9850;9j1a<722cj?7>5;n;;>5<<uk;:j7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17pl>1583>1<729q/m:47f:J145=O9ok0e9850;9j1a<722cj?7>5;n;;>5<<uk;:i7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17pl>1283>1<729q/m:47f:J145=O9ok0e9850;9j1a<722cj?7>5;n;;>5<<uk;:h7>53;294~"f?3;mj6F=019K5cg<a=<1<75f8483>>i>03:17pl>1383>1<729q/m:47f:J145=O9ok0e9850;9j1a<722cj?7>5;n;;>5<<uk;:=7>54;294~"f?32m7E<?0:J2bd=n<?0;66g:d;29?lg42900c4650;9~f453290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<=<:187>5<7s-k<6:>4H323?M7ai2.<j7?4i5394?=n=10;66g:c;29?jg72900qo?<2;290?6=8r.j;79?;I034>N6nh1/;k4>;h62>5<<a<21<75f5b83>>if83:17pl>3083>1<729q/m:480:J145=O9ok0(:h51:k75?6=3`?36=44i4a94?=hi90;66sm12294?2=83:p(l95719K656<@8lj7)9i:09j04<722c>47>5;h7`>5<<gh:1<75rb00e>5<3290;w)o8:628L7673A;mm6*8f;38m17=831b954?::k6g?6=3fk;6=44}c31a?6=<3:1<v*n7;53?M4782B:jl5+7g82?l262900e8650;9j1f<722ej<7>5;|`273<72=0;6=u+a6844>N5891C=ko4$6d95>o393:17d;7:188m0e=831dm=4?::a561=83>1<7>t$`5935=O:9:0D<hn;%5e>4=n<80;66g:8;29?l3d2900cl>50;9~f40>290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<87:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f400290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<89:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f402290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<8<:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f43d290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<;m:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f43f290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<;6:186>5<7s-k<6:?4H323?M7ai2.<j7?4i5394?=n<:0;66g:8;29?l3d2900cl>50;9~f43?290>6=4?{%c4>27<@;:;7E?ia:&4b?7<a=;1<75f4283>>o203:17d;l:188kd6=831vn<k7:180>5<7s-k<6574H323?M7ai2.<j764i6;94?=n?h0;66a68;29?xdf03:1?7>50z&b3?>>3A8;<6F>f`9'3c<?3-3o6?>j;h5:>5<<a>k1<75`9983>>{e9==1<7=50;2x d1=001C>=>4H0db?!1a211b;44?::k4e?6=3f336=44}c3e<?6=;3:1<v*n7;::?M4782B:jl5+7g8;?l1>2900e:o50;9l==<722wink4?:583>5}#i>03m6F=019K5cg<,>l1j6*6d;03f>o013:17d9n:188m2d=831d554?::afa<72=0;6=u+a68;e>N5891C=ko4$6d92>">l38;n6g89;29?l1f2900e:l50;9l==<722wio<4?:683>5}#i>03h6F=019K5cg<,>l186g89;29?l1f2900e:l50;9j3f<722c<h7>5;h5f>5<<g021<75rb006>5<3290;w)o8:9c8L7673A;mm6*8f;d8 <b=:9k0e:750;9j3d<722c<n7>5;n;;>5<<uk;9?7>54;294~"f?32j7E<?0:J2bd=#?o0=7)7k:32b?l1>2900e:o50;9j3g<722e247>5;|`262<72>0;6=u+a68;`>N5891C=ko4$6d97>o013:17d9n:188m2d=831b;n4?::k4`?6=3`=n6=44o8:94?=zjl>1<7950;2x d1=0m1C>=>4H0db?!1a2830e:750;9j3d<722c<n7>5;h5`>5<<a>n1<75f7d83>>i>03:17plj5;293?6=8r.j;76k;I034>N6nh1/;k4>7:k4=?6=3`=j6=44i6`94?=n?j0;66g8d;29?l1b2900c4650;9~f`0=8391<7>t$`59<<=O:9:0D<hn;%5e>43<a>31<75f7`83>>i>03:17plkf;292?6=8r.j;76l;I034>N6nh1/;k4>6:k4=?6=3`=j6=44i6`94?=n?j0;66g8d;29?j??2900qo?;0;293?6=8r.j;76k;I034>N6nh1/;k4:;h5:>5<<a>k1<75f7c83>>o0k3:17d9k:188m2c=831d554?::a514=83=1<7>t$`59<a=O:9:0D<hn;%5e>4><a>31<75f7`83>>o0j3:17d9l:188m2b=831b;h4?::m:<?6=3th:8>4?:683>5}#i>03h6F=019K5cg<,>l1895f7883>>o0i3:17d9m:188m2e=831b;i4?::k4a?6=3f336=44}cfg>5<0290;w)o8:9f8L7673A;mm6*8f;a8m2?=831b;l4?::k4f?6=3`=h6=44i6f94?=n?l0;66a68;29?xd6<80;6>4?:1y'e2<?12B9<=5G1gc8 2`=m2c<57>5;h5b>5<<g021<75rbc394?5=83:p(l95889K656<@8lj7)9i:0c8m2?=831b;l4?::m:<?6=3th:=:4?:283>5}#i>0356F=019K5cg<,>l1i6g89;29?l1f2900c4650;9~fa3=83?1<7>t$`59<g=O:9:0D<hn;%5e>22<a>31<75f7`83>>o0j3:17d9l:188k<>=831vn<=7:186>5<7s-k<65l4H323?M7ai2.<j7j4i6;94?=n?h0;66g8b;29?l1d2900c4650;9~fg4=8391<7>t$`59<<=O:9:0D<hn;%5e>4g<a>31<75f7`83>>i>03:17pl>1983>6<729q/m:479:J145=O9ok0(:h51`9j3<<722c<m7>5;n;;>5<<ukh86=4<:183!g02130D?>?;I3ee>"0n3;j7d96:188m2g=831d554?::a54?=8391<7>t$`59<<=O:9:0D<hn;%5e>4g<a>31<75f7`83>>i>03:17plm4;297?6=8r.j;766;I034>N6nh1/;k4>a:k4=?6=3`=j6=44o8:94?=zj8;j6=4<:183!g02130D?>?;I3ee>"0n3;j7d96:188m2g=831d554?::af0<72:0;6=u+a68;=>N5891C=ko4$6d95d=n?00;66g8a;29?j??2900qo?>b;297?6=8r.j;766;I034>N6nh1/;k4>a:k4=?6=3`=j6=44o8:94?=zjk<1<7=50;2x d1=001C>=>4H0db?!1a28k0e:750;9j3d<722e247>5;|`25f<72:0;6=u+a68;=>N5891C=ko4$6d95d=n?00;66g8a;29?j??2900qoh;:186>5<7s-k<65l4H323?M7ai2.<j7<=;%;g>76?3`=26=44i6c94?=n?k0;66g8c;29?j??2900qoh9:185>5<7s-k<65m4H323?M7ai2.<j7:=;%;g>76?3`=26=44i6c94?=n?k0;66g8c;29?l1c2900c4650;9~fc>=83=1<7>t$`59<a=O:9:0D<hn;%5e>3b<a>31<75f7`83>>o0j3:17d9l:188m2b=831b;h4?::m:<?6=3thnm7>53;294~"f?3227E<?0:J2bd=#?o0:m6*6d;03`>o013:17d9n:188k<>=831vnhl50;694?6|,h=14l5G2128L4`f3-=m6??4$8f965b<a>31<75f7`83>>o0j3:17b77:188yg72;3:197>50z&b3?>e3A8;<6F>f`9'3c<5:2.2h7<?9:k4=?6=3`=j6=44i6`94?=n?j0;66a68;29?xd6=<0;6;4?:1y'e2<?k2B9<=5G1gc8 2`=<;1/5i4=089j3<<722c<m7>5;h5a>5<<a>i1<75f7e83>>i>03:17pl>5683>2<729q/m:47d:J145=O9ok0(:h56e9j3<<722c<m7>5;h5a>5<<a>i1<75f7e83>>o0m3:17b77:188yg7313:1?7>50z&b3?>>3A8;<6F>f`9'3c<6i2.2h7<?c:k4=?6=3`=j6=44o8:94?=zj8>j6=4;:183!g021k0D?>?;I3ee>"0n38:7)7k:32`?l1>2900e:o50;9j3g<722e247>5;|`240<72<0;6=u+a6845>N5891C=ko4$6d95>o393:17d:<:188m0>=831b9n4?::mb4?6=3th:<54?:483>5}#i>0<=6F=019K5cg<,>l1=6g;1;29?l242900e8650;9j1f<722ej<7>5;|`255<72<0;6=u+a6845>N5891C=ko4$6d95>o393:17d:<:188m0>=831b9n4?::mb4?6=3th:i44?:283>5}#i>0356F=019K5cg<,>l146g89;29?l1f2900c4650;9~f42?29086=4?{%c4>=?<@;:;7E?ia:&4b?><a>31<75f7`83>>i>03:17pl>0d83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd68h0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>0b83>0<729q/m:481:J145=O9ok0(:h51:k75?6=3`>86=44i4:94?=n=j0;66an0;29?xd6>=0;684?:1y'e2<092B9<=5G1gc8 2`=92c?=7>5;h60>5<<a<21<75f5b83>>if83:17pl>e`83>6<729q/m:479:J145=O9ok0(:h58:&:`?47m2c<57>5;h5b>5<<g021<75rb025>5<2290;w)o8:638L7673A;mm6*8f;38m17=831b8>4?::k6<?6=3`?h6=44o`294?=zjl:1<7:50;2x d1=191C>=>4H0db?l1>2900e:o50;9je6<722e247>5;|`f5?6=>3:1<v*n7;:`?M4782B:jl5+7g841>o013:17d9n:188m2d=831b;n4?::k4`?6=3f336=44}cg1>5<3290;w)o8:9c8L7673A;mm6*8f;;8m2?=831b;l4?::k4f?6=3f336=44}cf0>5<5290;w)o8:968L7673A;mm6g88;29?j??2900qo?i9;296?6=8r.j;76;;I034>N6nh1b;54?::m:<?6=3ty9;;4?:3y]620<58l?64k4}r;7>5<6>r79<<486:?2b7<2k27:j>4:c:?2a`<2k27:ik4:c:?2b1<2k27::o491:?235<1927:;<491:?237<1927:;8491:?236<1927:;9491:?22f<1927:;;491:?232<1927::i491:?22`<1927jn7:9;<ca>d5<58;:6984=032>d5<uz;nn7>51ey>53d=9on01<9?:0dg?87093;mh63>7382ba=:9>?1=kj4=050>4`c34;<87?id:?22f<6nm16=:851gf8941028lo70?9f;3e`>;6>m0:ji5217g95cb<5m;19n5212591f=:9<218>5214;906=:9<k18>5214`906=:9<i18>52171906=:9??18>52174906=:9?=18>5217:906=:9?318>521559===:9?>18>52e18b7>{t9:>1<7<t^34f?874<3k;7p}>4783>7}Y:?201<:9:`28yv72l3:1=4u217`97==:9>:1?55216397==:9>81?55216797==:9>91?55216697==:9?i1?55216497==:9>=1?55217d95g=:9?n1?55217g97==:ij0>h63>628b4>;e93=270?>7;5:?872;3=h70?:5;5a?872?3=h70?;9;5:?873i3=i70?i9;5;?8bc2>o01<:>:6c8yv72m3:1=?u217`97<=:9>:1?45216397<=:9>81?45216797<=:9>91?45216697<=:9?i1?45216497<=:9>=1?45217d95f=:9?n1?45217g97<=:im0>h63>648b4>;e:3=270k;:6g89a`=?j1v<;i:1826~;6>k08m63>7180e>;6?808m63>7380e>;6?<08m63>7280e>;6?=08m63>6b80e>;6??08m63>7680e>;6>o0:h63>6e80e>;6>l08m63ne;7g?871>3k;70l<:6;89`3=?m16hk48d:p536=83;9w0?9b;1a?870839i70?81;1a?870:39i70?85;1a?870;39i70?84;1a?871k39i70?86;1a?870?39i70?9f;3f?871l39i70?9e;1a?8ga2<n01<88:`289g2=?016i848e:?g`?1c3ty::<4?:00x940e2:i01<9?:2a894162:i01<9=:2a894122:i01<9<:2a894132:i01<8l:2a894112:i01<98:2a8940a28l01<8k:2a8940b2:i01o>55e9>53>=i916n8489:?f5?1d34o?6:m4}r356?6=98q6=;l53d9>526=;l16=:?53d9>524=;l16=:;53d9>525=;l16=::53d9>53e=;l16=:853d9>521=;l16=;h5219>53b=;l16=;k53d9>53?=i916n;489:?f0?1c34no6:m4}rc:>5<5s4k26l>4^354?xudm3:1>vP=6e9>g`<f82wxi54?:9y>a=<f827:i548a:?g1?1d34;8479l;<3f=?1f34;nm79n;<g2>2b<5l81;45rsd;94?5|V;<970k6:`289d>=?h1vkk50;0f871j38870?9b;0b?871j39:70?80;00?870838j70?80;12?870938870?81;0b?870939:70?82;00?870:38j70?82;12?870=38870?85;0b?870=39:70?83;00?870;38j70?83;12?870<38870?84;0b?870<39:70?9c;00?871k38j70?9c;12?870>38870?86;0b?870>39:70?87;00?870?38j70?87;12?871n3<<70?9d;00?871l38j70?9d;12?871m38870?9e;0b?871m39:70??4;c3?8d62>k01<?8:6c89c2=?j16j;48b:?e<?1d34oj6:74=d`93g=:l:0<46s|fg83>7?|58<i6?:4=04a>7d<58<i6><4=053>72<58=;6?l4=053>64<58=:6?:4=052>7d<58=:6><4=051>72<58=96?l4=051>64<58=>6?:4=056>7d<58=>6><4=050>72<58=86?l4=050>64<58=?6?:4=057>7d<58=?6><4=04`>72<58<h6?l4=04`>64<58==6?:4=055>7d<58==6><4=054>72<58=<6?l4=054>64<58<m6;64=04g>72<58<o6?l4=04g>64<58<n6?:4=04f>7d<58<n6><4=024>d6<5k81;l5210:93d=:9=:1;o5rs023>5<51r7::o4=5:?22g<5k27::o4<3:?235<5=27:;=4=c:?235<4;27:;<4=5:?234<5k27:;<4<3:?237<5=27:;?4=c:?237<4;27:;84=5:?230<5k27:;84<3:?236<5=27:;>4=c:?236<4;27:;94=5:?231<5k27:;94<3:?22f<5=27::n4=c:?22f<4;27:;;4=5:?233<5k27:;;4<3:?232<5=27:;:4=c:?232<4;27::k499:?22a<5=27::i4=c:?22a<4;27::h4=5:?22`<5k27::h4<3:?24<<f827i?79n;<32=?1f34;?>79k;|q244<72;3p1<8m:348940e2;n01<8m:26894172;<01<9?:3f894172:>01<9>:34894162;n01<9>:26894152;<01<9=:3f894152:>01<9::34894122;n01<9::26894142;<01<9<:3f894142:>01<9;:34894132;n01<9;:268940d2;<01<8l:3f8940d2:>01<99:34894112;n01<99:26894102;<01<98:3f894102:>01<8i:7c8940c2;<01<8k:3f8940c2:>01<8j:348940b2;n01<8j:268946e2h:01o:57`9>54g=?h16=9>57b9~w46529095v3>6c813>;6>k09i63>6c801>;6?909;63>7181a>;6?908963>70813>;6?809i63>70801>;6?;09;63>7381a>;6?;08963>74813>;6?<09i63>74801>;6?:09;63>7281a>;6?:08963>75813>;6?=09i63>75801>;6>j09;63>6b81a>;6>j08963>77813>;6??09i63>77801>;6?>09;63>7681a>;6?>08963>6g85f>;6>m09;63>6e81a>;6>m08963>6d813>;6>l09i63>6d801>;68m0j<63m5;5b?876j3=j70?;2;5f?xu68:0;6?7t=04a>7?<58<i6>>4=04a>61<58=;6?74=053>66<58=;6>94=052>7?<58=:6>>4=052>61<58=96?74=051>66<58=96>94=056>7?<58=>6>>4=056>61<58=86?74=050>66<58=86>94=057>7?<58=?6>>4=057>61<58<h6?74=04`>66<58<h6>94=055>7?<58==6>>4=055>61<58=<6?74=054>66<58=<6>94=04e>3e<58<o6?74=04g>66<58<o6>94=04f>7?<58<n6>>4=04f>61<58:m6l>4=c493d=:98i1;l5215093f=z{83o6=4={_00g>;6k;0j<6s|1`:94?4|V;><70?l3;c3?xu6j:0;6?uQ240894eb2h:0q~?mb;296~X5=k16=i75a19~w4dd2909wS<:c:?2a4<f82wx=oj50;0xZ73c34;n>7o?;|q2f`<72;qU>8k4=0g0>d6<uz;ij7>52z\11c=:9l>1m=5rs0a3>5<5sW8=<63>e48b4>{t9j;1<7<t^342?87b>3k;7p}>9d83>7}Y::n01<m;:`28yv7>n3:1>vP=3d9>5f3=i91v<o?:181[44n27:o;4n0:p5d7=838pR?:?;<3`3?g73ty:m?4?:3y]617<58i36l>4}r3b7?6=:rT98?521b;9e5=z{8k?6=4={_077>;6kh0j<6s|1`794?4|V;>?70?lb;c3?xu6i?0;6?uQ257894ed2h:0q~?n7;296~X5<?16=nj5a19~w4g>2909wS<;8:?2gc<f82wx=lo50;0xZ72>34;o<7o?;|q2eg<72;qU>9o4=0f2>d6<uz;jo7>52z\10g=:9m81m=5rs0cg>5<5sW8?o63>d28b4>{t9ho1<7<t^36g?87c<3k;7p}>ag83>7}Y:=o01<j::`28yv7e83:1>vP=4g9>5a0=i91v<l>:181[42827:h:4n0:p5g4=838pR?;>;<3g<?g73ty:n94?:3y]605<58nj6l>4}r3a1?6=:rT999521e`9e5=z{8h=6=4={_061>;6lj0j<6s|1c594?4|V;?=70?kd;c3?xu6j10;6?uQ245894bb2h:0q~?m9;296~X5=116=ih5a19~w4df2909wS<:a:?2a5<f82wx=k>50;6x94222<201<:9:4:894`52h:01<=;:4:8yv7bk3:1>;u21dg9e5=:9j8195521b191==:9jo195521e;91==:9l;195521d091==:9l9195521d691==:9l?195521d491==:9j>195521b791==:9j<195521b591==:9j2195521b;91==:9jk195521b`91==:9ji195521bf91==:9jl195521e291==:9m;195521e091==:9m9195521e691==:9m?195521e491==:9m=195521e:91==:9mk195521e`91==:9mi195521ef91==:9mo195521ed91==:9l:1955rs0gg>5<6nr7j57;7;<g;><c<5l315h521dd9e5=:l90>463k1;7;?8eb20o01n65599>g<<2027hm7;7;<aa>0><5ji19552ce86<>;a13?370hn:4:89cd==116jn4:8:?e`?3?34;;87;7;<333?3?34;;57;7;<33f?3?34;;h7;7;<33b?3?34;;97;7;<33<?3?34;:<7;7;<33a?3?34;;m7;7;<33g?3?34;;:7;7;|q2b4<728?p1<h<:`2894502<201<=9:4:8944b2<201<<i:4:894572<201<=>:4:894552<201<=<:4:8943?2<201<;6:4:8943f2<201<;m:4:8943d2<201<8<:4:894022<201<89:4:894002<201<87:4:8940>2<201<8;:4:8yv4013:19vP=789>511=?016h848b:?27=<0i27:85489:p626=832pR?9?;<3f<?1>34k36:74=e793<=:9:21;o521d;93<=:9lk1;452dg84=>{t:9l1<78i{_03b>;f13>:70k7:5389`?=<816=9;5409>510=<816=k<5409>5c5=<816=hk5409>5``=<816=k:5409>5c3=<816=k85409>5f4=<816=n=5409>5fc=<816=i75409>5`7=<816=h<5409>5`5=<816=h:5409>5`3=<816=h85409>5f2=<816=n;5409>5f0=<816=n95409>5f>=<816=n75409>5fg=<816=nl5409>5fe=<816=nj5409>5f`=<816=i>5409>5a7=<816=i<5409>5a5=<816=i:5409>5a3=<816=i85409>5a1=<816=i65409>5ag=<816=il5409>5ae=<816=ij5409>5ac=<816=ih5409>5`6=<816=;l51ga8941728lh70?81;3eg>;6?;0:jn5216795ce<58=86<hl;<340?7ak27::n4>fb9>520=9oi01<98:0d`?871n3;mo63>6e82bf=:9?o1=km4=e2904=:l80?=63le;62?8e?2=;01n75409>gd<3927hn7:>;<a`>17<5jn18<52f8875>;ai3>:70hm:5389ce=<816ji4;1:?241<3927:<:4;1:?24<<3927:<o4;1:?24a<3927:<k4;1:?272<3927:?;4;1:?26`<3927:>k4;1:?275<3927:?<4;1:?277<3927:?>4;1:?271<3927:954;1:?21<<3927:9l4;1:?21g<3927:9n4;1:?226<3927::84;1:?223<3927:::4;1:?22=<3927::44;1:?240<3927:<54;1:?255<3927:<h4;1:?24d<3927:<n4;1:?221<3927:<;4;1:p643=838pR??:;<35f?3b3ty9>=4?:3y]676<58<i68h4}r01f?6=:rT9>o5217`925=z{;9?6=4={_000>;6?90>i6s|22794?4|V;9>70?80;7e?xu5;?0;6?uQ224894172?:0q~<<7;296~X5;>16=:?55d9~w75?2909wS<<8:?234<2n2wx>>750;0xZ75>34;<=78?;|q17d<72;qU>>o4=051>0c<uz8::7>52z\153=:9>819k5rs334>5<5sW8:;63>73854>{t:821<7<t^33;?870;3?n7p}=1883>7}Y:8301<9<:4d8yv46i3:1>vP=1`9>525=>91v??m:181[46j27:;94:e:p64e=838pR??l;<340?3a3ty9=i4?:3y]64b<58=?6;>4}r02a?6=:rT9=h5216791`=z{;;m6=4={_02b>;6?<0>j6s|23394?4|V;8:70?85;43?xu5:;0;6?uQ230894112<o0q~<=3;296~X5::16=:855g9~w7432909wS<=4:?233<182wx>?;50;0xZ74234;<;7;j;|q163<72;qU>?84=054>0`<uz89;7>52z\162=:9>=1:=5rs30;>5<5sW89463>6b86a>{t:;31<7<t^30:?871k3?m7p}=2`83>7}Y:;k01<8l:728yv45k3:1>vP=2b9>53b==l1v?<k:181[45l27::i4:f:p67c=838pR?<j;<35`?073ty9>k4?:3y]67`<58<n68k4}r004?6=:rT9?=5217g91c=z{;9:6=4={_005>;6>l0=<6s|22194?4|V;9870?9f;7`?xu6m>0;6?:t=0a1>15<58i869=4=0af>15<58n269=4=0g2>15<58o969=4=0g0>15<58o?69=4=0g6>15<58o=69=4=0a7>15<58i>69=4=0a5>15<58i<69=4=0a;>15<58i269=4=0ab>15<58ii69=4=0a`>15<58io69=4=0ae>15<58n;69=4=0f2>15<58n969=4=0f0>15<58n?69=4=0f6>15<58n=69=4=0f4>15<58n369=4=0fb>15<58ni69=4=e291f=:9:<19n521d:9===:9=91;o5rsda94??|5o31m=5211591f=:n=0<n63i6;5`?8`?2>n01ho57`9>ag<0i27:<54:c:?gb?1e3tynh7>58z?ee?g734;;57;l;<d7>2g<5o<1;i52f984a>;bj3=270??a;7`?8ba2>k0q~kj:1848`e2h:01<>m:4a89ab=?k16j9489:?e2?1>34l36:74=02`>0e<uzom6=49{<d`>d6<58:o68m4=g493d=:n10<m63>0d86g>;b93=i7p}i0;291~;al3k;70??f;7`?8`?2>h01<??:4a89ab=?h1vlo50;0x9d?==j16m5468:p53g=83>p1<?=:4f894042<i01<8;:4a894`>2020q~?;b;29e~;69:0>h63>598b4>;6><0>o63>1984=>;6=:0<n63>5484g>;6=>0<h63>4884e>;6<h0<m63>4184e>{t9=i1<77t=037>0b<58?26l>4=045>0e<58;26:74=070>2g<58?>6:j4=074>2c<58>j6:74=061>2d<uz;?h7>58z?250<2l27:9l4n0:?222<2k27:8=489:?25d<0127:9>489:?210<0127:9:489:p51c=83=p1<?9:4f8943e2h:01<87:4a8947e2>301<;::6c894302>k01<:=:6c8yv73n3:19v3>5b8b4>;6>00>o63>4384=>;69j0<563>5684f>{t9=?1<78t=066>d6<58><6:o4=060>2?<5m?1;l5212:93<=:9=21;l5rsd594?5|5l219n52e886g>;b83337p}j3;296~;b>33370?;3;5f?xu6<=0;6>u215791f=:9=<19n521519===z{8l<6=4:{<3fa??b34;nj77j;<3e<???34;m>77j;<3e7??b3ty:j94?:2y>5c2=i916=k;55b9>5c>=?01v<h9:18187a>3k;70?i8;5b?xu6n<0;6>u21g6906=:9o?1m=521g491f=z{8=36=4={<3`6?3d34;=n78=;|q2<6<72;q6=n=55b9>53d=>:1v<6j:18187dm3?h70?9b;47?xu61?0;6?u21e;91f=:9>:1:?5rs0;4>5<5s4;n=7;l;<344?043ty:554?:3y>5`4==j16=:>5659~w4?>2909w0?j3;7`?87093<97p}>9`83>7}:9l>19n52163926=z{83i6=4={<3f1?3d34;<=78;;|q2=f<72;q6=h855b9>524=>;1v<96:18187d<3?h70?82;40?xu6?h0;6?u21b791f=:9>81:95rs05a>5<5s4;h:7;l;<347?053ty:;n4?:3y>5f1==j16=:=5629~w41c2909w0?l8;7`?870;3<?7p}>7d83>7}:9j319n52166927=z{8=m6=4={<3`e?3d34;<878<;|q2<5<72;q6=nl55b9>522=>=1v<6>:18187dk3?h70?85;41?xu60;0;6?u21bf91f=:9>?1:>5rs0:7>5<5s4;hj7;l;<341?033ty:484?:3y>5a6==j16=:85639~w4>12909w0?k1;7`?870>3<87p}>8683>7}:9m819n52164921=z{8236=4={<3g7?3d34;<;78=;|q2<<<72;q6=i:55b9>521=>:1v<6n:18187c=3?h70?87;47?xu60k0;6?u21e491f=:9?i1:?5rs0:`>5<5s4;o;7;l;<35g?043ty:4i4?:3y>5a>==j16=;m5659~w4>a2909w0?ka;7`?871l3<97p}>9183>7}:9mh19n5217f926=z{83:6=4={<3gg?3d34;=h78;;|q2=7<72;q6=ij55b9>53c=>;1v<7<:18187cm3?h70?9e;40?xu61=0;6?u21ed91f=:9?o1:95rs0;6>5<5s4;n<7;l;<35b?013tyi:7>52z?ag?>234h=6464}r`3>5<5s4h;6464=ca903=z{k?1<7=t=c29e6=:jk03963m5;;;?xufn3:1?v3nf;;;?8d72=<01ol5479~wg2=839p1lh5a29>fd<?=27i8777;|qba?6=;r7ji777;<ce>10<5kk18;5rsc194?5|5ho1m>52b88;1>;e;3337p}nd;297~;fl33370oj:5489g?=<?1vo<50;1x9db=i:16n5475:?a6???3tyjo7>53z?bg???34ko6984=c:903=z{k;1<7=t=`a9e6=:j>03963m1;;;?xufj3:1?v3nb;;;?8gd2=<01o95479~wgc=838p1nk5429>fc<>02wxo=4?:2y>fa<0127h=777;<`e>2d<uzn?6=4={<ca>0b<5m?1555rse494?4|5k=15552c986g>{tl>0;6?u2b98:<>;d13?h7p}k8;296~;e133370mn:4a8yvb>2909w0ln:8:89fd==j1vio50;0x9gd=1116on4:c:p`g<72;q6nn468:?``?3d3tyh>7>52z?`<?g734i:6:k4}ra0>5<5s4i26l>4=b393a=z{j>1<7<t=bc9e5=:k80<o6s|c483>7}:kk0j<63l1;5a?xud>3:1>v3lc;c3?8e62>k0q~m8:1818ec2h:01n?5789~wa7=839p1i?5a19>fa<0j27ij796;|qg4?6=;r7o<7o?;<`e>2g<5kn1;l5rsbd94?4|5jo19n52be8:<>{tl;0;69u211691f=:99?19n5211491f=:l:0246s|f083>7}:n00>o63ja;;;?xua:3:1>v3ia;7`?8ce2020q~h<:1818`e2<i01k:5999~wc3=838p1km55b9>b3<>02wxj:4?:3y>ba<2k27m4777;|q25f<72;q6=?<5849>54e=111v<?9:181876>33370?=2;65?xu69k0;6>u21049e6=:9;;1485210`9===z{8;>6=4<{<321???34;::7:9;<315?213ty:=l4?:2y>543=i:16=?>5849>54g=111v<?;:180876<33370?>5;65?87583>=7p}>1883>6}:98>1m>5210d9<0=:9831555rs030>5<4s4;:?777;<320?2134;:j7:9;|q25=<72:q6=<=5a29>54c=0<16=<65999~w4752908w0?>2;;;?876;3>=70?>e;65?xu69>0;6>u21009e6=:98n148521059===z{8;:6=4<{<325???34;:>7:9;<32`?213ty:?54?:3y>547==m16=>65999~w4432909w0?<4;60?875=3337p}>2783>6}:9;91;l521359===:9;?1;o5rs01:>5<5s4;:h777;<31a?3d3ty:?l4?:3y>54c=1116=?h55b9~w45e2909w0?>f;;;?87483?h7p}>3b83>7}:9;:1555212391f=z{89o6=4={<315???34;8>7;l;|q27`<72;q6=?<5999>565==j1v<=::181874<3?h70?=3;;;?xu6:10;6?u213g9e5=:9;=1;h5rs00:>5<5s4;9j7o?;<313?1c3ty:>l4?:3y>566=i916=?957b9~w44e2909w0?<1;c3?875?3=i7p}>2b83>7}:9:81m=5213593d=z{88o6=4={<307?g734;9;796;|q273<72:q6=>85a19>573=?h16=?=57c9~w4502908w0?<7;c3?875;3=270?=5;5:?xu6=90;6?u214:91f=:9=31555rs072>5<5s4;>57;l;<37e???3ty:9?4?:3y>50g==j16=8=5999~w4332909w0?:b;7`?872=3337p}>5783>7}:9<i19n521459===z{l>1<7=t=d69===:m;0<m63j6;5b?xub=3:1?v3j5;;;?8c52>h01h85789~wae=838p1ij5999>a4<0i2wxhh4?:3y>`c<>027n=796;|q27c<72;q6=9>5999>515=?m1v<:?:181873933370?;3;5b?xu6<80;6?u21509===:9=91;n5rs026>5<5s4;;97o?;<g6>2e<uz;;47>52z?24=<f827n879m;|q255<72;q6=<>5a19>a1<0i2wx=h650;3;87b133370?kc;60?87cl3>870?ke;60?87cn3>870?j0;60?8`>2=901ko5429>bg<3;27mo7:<;<dg>15<58:?69=4=024>15<58:269=4=02a>15<58:o69=4=02e>15<58:>69=4=02;>15<58;;69=4=02f>15<58:j69=4=02`>15<58:=69=4}r373?6=:r7:85468:?205<0l2wx==k50;0x946b2h:01h:5789~w46f2909w0??a;c3?8c22>30q~??c;296~;68j0j<63j5;5b?xu6>=0;6?u21769e5=:m<0<n6s|1d;94?4|58oj6464=063>2c<uz;;:7>53z?243<f827oh796;<375?1>3ty297>52z?f5???34o;6:74}r;5>5<5s4o96464=d293d=zug?i57>51zJ2bd=zf<hj6=4>{I3ee>{i=kh1<7?tH0db?xh2jj0;6<uG1gc8yk3el3:1=vF>f`9~j0db290:wE?ia:m1g`=83;pD<hn;|l6g5<728qC=ko4}o7`5?6=9rB:jl5rn4a1>5<6sA;mm6sa5b194?7|@8lj7p`:c583>4}O9ok0qc;l5;295~N6nh1vb8m9:182M7ai2we9n950;3xL4`f3td>o54?:0yK5cg<ug?h57>51zJ2bd=zf<ij6=4>{I3ee>{i=jh1<7?tH0db?xh2kj0;6<uG1gc8yk3dl3:1=vF>f`9~j0eb290:wE?ia:m1f`=83;pD<hn;|l6`5<728qC=ko4}o7g5?6=9rB:jl5rn4f1>5<6sA;mm6sa5e194?7|@8lj7p`:d583>4}O9ok0qc;k5;295~N6nh1vb8j9:182M7ai2we9i950;3xL4`f3td>h54?:0yK5cg<ug?o57>51zJ2bd=zf<nj6=4>{I3ee>{i=mh1<7?tH0db?xh2lj0;6<uG1gc8yk3cl3:1=vF>f`9~j0bb290:wE?ia:m1a`=83;pD<hn;|l6a5<728qC=ko4}o7f5?6=9rB:jl5rn4g1>5<6sA;mm6sa5d194?7|@8lj7p`:e583>4}O9ok0qc;j5;295~N6nh1vb8k9:182M7ai2we9h950;3xL4`f3td>i54?:0yK5cg<ug?n57>51zJ2bd=zf<oj6=4>{I3ee>{i=lh1<7?tH0db?xh2mj0;6<uG1gc8yk3bl3:1=vF>f`9~j0cb290:wE?ia:m1``=83;pD<hn;|l6b5<728qC=ko4}o7e5?6=9rB:jl5rn4d1>5<6sA;mm6sa5g194?7|@8lj7p`:f583>4}O9ok0qc;i5;295~N6nh1vb8h9:182M7ai2we9k950;3xL4`f3td>j54?:0yK5cg<ug?m57>51zJ2bd=zf<lj6=4>{I3ee>{i=oh1<7?tH0db?xh2nj0;6<uG1gc8yk3al3:1=vF>f`9~j0`b290:wE?ia:m1c`=83;pD<hn;|l545<728qC=ko4}o435?6=9rB:jl5rn721>5<6sA;mm6sa61194?7|@8lj7p`90583>4}O9ok0qc8?5;295~N6nh1vb;>9:182M7ai2we:=950;3xL4`f3td=<54?:0yK5cg<ug<;57>51zJ2bd=zf?:j6=4>{I3ee>{i>9h1<7?tH0db?xh18j0;6<uG1gc8yk07l3:1=vF>f`9~j36b290:wE?ia:m25`=83;pD<hn;|l555<728qC=ko4}o425?6=9rB:jl5rn731>5<6sA;mm6sa60194?7|@8lj7p`91583>4}O9ok0qc8>5;295~N6nh1vb;?9:182M7ai2we:<950;3xL4`f3td==54?:0yK5cg<ug<:57>51zJ2bd=zf?;j6=4>{I3ee>{i>8h1<7?tH0db?xh19j0;6<uG1gc8yk06l3:1=vF>f`9~j37b290:wE?ia:m24`=83;pD<hn;|l565<728qC=ko4}o415?6=9rB:jl5rn701>5<6sA;mm6sa63194?7|@8lj7p`92583>4}O9ok0qc8=5;295~N6nh1vb;<9:182M7ai2we:?950;3xL4`f3td=>54?:0yK5cg<ug<957>51zJ2bd=zf?8j6=4>{I3ee>{i>;h1<7?tH0db?xh1:j0;6<uG1gc8yk05l3:1=vF>f`9~j34b290:wE?ia:m27`=83;pD<hn;|l575<728qC=ko4}o405?6=9rB:jl5rn711>5<6sA;mm6sa62194?7|@8lj7p`93583>4}O9ok0qc8<5;295~N6nh1vb;=9:182M7ai2we:>950;3xL4`f3td=?54?:0yK5cg<ug<857>51zJ2bd=zf?9j6=4>{I3ee>{i>:h1<7?tH0db?xh1;j0;6<uG1gc8yk04l3:1=vF>f`9~j35b290:wE?ia:m26`=83;pD<hn;|l505<728qC=ko4}o475?6=9rB:jl5rn761>5<6sA;mm6sa65194?7|@8lj7p`94583>4}O9ok0qc8;5;295~N6nh1vb;:9:182M7ai2we:9950;3xL4`f3td=854?:0yK5cg<ug<?57>51zJ2bd=zf?>j6=4>{I3ee>{i>=h1<7?tH0db?xh1<j0;6<uG1gc8yk03l3:1=vF>f`9~j32b290:wE?ia:m21`=83;pD<hn;|l515<728qC=ko4}o465?6=9rB:jl5rn771>5<6sA;mm6sa64194?7|@8lj7p`95583>4}O9ok0qc8:5;295~N6nh1vb;;9:182M7ai2we:8950;3xL4`f3td=954?:0yK5cg<ug<>57>51zJ2bd=zf??j6=4>{I3ee>{i><h1<7?tH0db?xh1=j0;6<uG1gc8yk02l3:1=vF>f`9~j33b290:wE?ia:m20`=83;pD<hn;|l525<728qC=ko4}o455?6=9rB:jl5rn741>5<6sA;mm6sa67194?7|@8lj7p`96583>4}O9ok0qc895;295~N6nh1vb;89:182M7ai2we:;950;3xL4`f3td=:54?:0yK5cg<ug<=57>51zJ2bd=zf?<j6=4>{I3ee>{i>?h1<7?tH0db?xh1>j0;6<uG1gc8yk01l3:1=vF>f`9~j30b290:wE?ia:m23`=83;pD<hn;|l535<728qC=ko4}o445?6=9rB:jl5rn751>5<6sA;mm6sa66194?7|@8lj7p`97583>4}O9ok0qc885;295~N6nh1vb;99:182M7ai2we::950;3xL4`f3td=;54?:0yK5cg<ug<<57>51zJ2bd=zf?=j6=4>{I3ee>{i>>h1<7?tH0db?xh1?j0;6<uG1gc8yk00l3:1=vF>f`9~j31b290:wE?ia:m22`=83;pD<hn;|l5<5<728qC=ko4}o4;5?6=9rB:jl5rn7:1>5<6sA;mm6sa69194?7|@8lj7p`98583>4}O9ok0qc875;295~N6nh1vb;69:182M7ai2we:5950;3xL4`f3td=454?:0yK5cg<ug<357>51zJ2bd=zf?2j6=4>{I3ee>{i>1h1<7?tH0db?xh10j0;6<uG1gc8yk0?l3:1=vF>f`9~j3>b290:wE?ia:m2=`=83;pD<hn;|l5=5<728qC=ko4}o4:5?6=9rB:jl5rn7;1>5<6sA;mm6sa68194?7|@8lj7p`99583>4}O9ok0qc865;295~N6nh1vb;79:182M7ai2we:4950;3xL4`f3td=554?:0yK5cg<ug<257>51zJ2bd=zf?3j6=4>{I3ee>{i>0h1<7?tH0db?xh11j0;6<uG1gc8yk0>l3:1=vF>f`9~j3?b290:wE?ia:m2<`=83;pD<hn;|l5e5<728qC=ko4}o4b5?6=9rB:jl5rn7c1>5<6sA;mm6sa6`194?7|@8lj7p`9a583>4}O9ok0qc8n5;295~N6nh1vb;o9:182M7ai2we:l950;3xL4`f3td=m54?:0yK5cg<ug<j57>51zJ2bd=zf?kj6=4>{I3ee>{i>hh1<7?tH0db?xh1ij0;6<uG1gc8yk0fl3:1=vF>f`9~j3gb290:wE?ia:m2d`=83;pD<hn;|l5f5<728qC=ko4}o4a5?6=9rB:jl5rn7`1>5<6sA;mm6sa6c194?7|@8lj7psr}AB@2ga21no><ki8|BCF~6zHIZpqMN
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x37st.xco
0,0 → 1,213
##############################################################
#
# Xilinx Core Generator version 13.1
# Date: Thu Sep 01 11:05:58 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.1
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=ctrl_fifo64x37st
CSET data_count=false
CSET data_count_width=6
CSET disable_timing_violations=true
CSET disable_timing_violations_axi=true
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=3
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Common_Clock_Distributed_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Distributed_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Distributed_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Distributed_RAM
CSET full_flags_reset_value=0
CSET full_threshold_assert_value=56
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=55
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=37
CSET input_depth=64
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=37
CSET output_depth=64
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=6
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=true
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=6
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-02-03T22:23:32.000Z
# END Extra information
GENERATE
# CRC: 2964accd
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo512x64st_v0.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2:044<,[o}e~g`n;"2*732(-80!<?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1;:41=7IJ<:7<?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=6<>2:11>LHW]]0OEL2<1;2=57=4:3CE\XZ5DH@?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:47<<3CE\XZ5DHRB86<768;087GAPTV9@LVE4:0;2<>44;KMTPR=_LK7?7>11197>LHW]]0\IO2<:1<26>2=G\^[YY4KOQC?7?699;1?6B[[PTV9@JVE4:0;2<5913950?OIX\^1hd`36283:42<>=0DYY^ZT;flqq:1;3:5>68:f:4ph}22|oml85:"309;0>>5<8>049<>1:;7?<67182057AZTQWW>agsiVidycz38;2=6>G1<2KJ:L64A@CB2DGF991JMLONA@C5EDGFIHK37LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;d<IMNYM1?<:1<:?DBCZH6:?364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<a?DBCZK6:?7>19:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::6=FDE30M^WAC^PFC==E]ZUBBKA>;B08G@753JBNOFQCIBGMW@YSQYO97NG;;BNH43=DDB;3<;5LLJ0440=DDB8S46MCK3Z2<53<KEA2<95LLJC6?FJLI8=0OAEN1E04?FJLI8N?;6MCK@3G<1=DDBH>7NBDB078GIMD9<1H@FJ>5:AOOAPd3JF@H[QKIWKGA0=DDBLS46MCKGZ2<55<KEX27NABMHVWAA733JEYIRIJNDPBPLIIW@DMC:5LRDCWAA7<L;1O4n5KAUC\SLBS@VKh7IO[A^UJ@QNXJ;1OE;5KI@>3:2=CAH6:<394DHC?54803MCJ0<<17:FJE9746>1OEL2>4?58@LG;9<4<7IGN<04=3>BNI5;<2:5KI@>2<;1<L@K7=409;EKB84803MCJ0?>17:FJE9466>1OEL2=2?58@LG;::4<7IGN<36=3>BNI58>2:5KI@>12;1<L@K7>:08;EKB87>9?2NBM1<6>79GMD:56>1OEL2<0?;8@LG;;80;2:5KI@>05;0<L@K7?384DHC?0;0<L@K79384DHC?2;0<L@K7;384DHC?<;0<L@K75384DH@?4;1<L@H7==08;EKA8479?2NBN1?=>69GMG:6;7=0HDL315<4?AOE48?5;6JFB=35:2=CAK6:;394DH@?5=803MCI0<716:FJF979?2NBN1<?>69GMG:597=0HDL323<4?AOE4;95;6JFB=07:2=CAK699394DH@?63803MCI0?917:FJF94?6>1OEO2=9?48@LD;:7=0HDL331<:?AOE4:;1<394DH@?74813MCI0>09;EKA81813MCI0809;EKA83813MCI0:09;EKA8=813MCI0408;EKSE969?2NB\L2>>69GMUG;:730HD^N<283:2=CAYK7?394DHRA85803MC[N1?17:FJTG:5601OE]L33;2=3>BNXK682;5KO@>3:2=CGH6:<394DNC?54803MEJ0<<17:FLE9746>1OCL2>4?58@JG;9<4<7IAN<04=3>BHI5;<2:5KO@>2<;1<LFK7=409;EMB84803MEJ0?>17:FLE9466>1OCL2=2?58@JG;::4<7IAN<36=3>BHI58>2:5KO@>12;1<LFK7>:08;EMB87>9?2NDM1<6>79GKD:56>1OCL2<0?;8@JG;;80;2:5KO@>05;0<LFK7?384DNC?0;0<LFK79384DNC?2;0<LFK7;384DNC?<;0<LFK75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2NDNR\JG69GKUG;87=0HB^N<0<4?AIWI58556J@P@>0>5803ME[M1=17:FLTG:76>1OC]L31?58@JVE4;427IA_B=194;1<LFZI0>0<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@24>@4I;LMM?H<01DE454<N>;;7KO<2G204CGA:O:M<85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H?4G29DJA7<A;1B<?5F139J67=N;;1B8?5F599JJLRWW9:37D@FTQ]35==NF@^[S=<7;HLJPUY7;11BBDZ__16;?LHN\YU;955FNHVS[50?3@DBX]Q?789JJLRT\H^N;6GAIU]342=NF@^T<<94IOKW[5403@DBXR><7:KMMQY7<>1BBDZP0458MKOSW9<<7D@FT^243>OIA]U;4:5FNHV\4<1<AGC_S=O8;HLJPZ6E?2CEEYQ?C69JJLRX8M=0ECG[_1G4?LHN\V:M;6GAIU]242=NF@^T=<94IOKW[4403@DBXR?<7:KMMQY6<>1BBDZP1458MKOSW8<<7D@FT^343>OIA]U:4:5FNHV\5<1<AGC_S<O8;HLJPZ7E?2CEEYQ>C69JJLRX9M=0ECG[_0G4?LHN\V;M;6GAIU]142=NF@^T><94IOKW[7403@DBXR<<7:KMMQY5<>1BBDZP2458MKOSW;<<7D@FT^043>OIA]U94:5FNHV\6<1<AGC_S?O8;HLJPZ4E?2CEEYQ=C69JJLRX:M=0ECG[_3G4?LHN\V8M;6GAIU]042=NF@^T?<94IOKW[6403@DBXR=<7:KMMQY4<>1BBDZP3458MKOSW:<<7D@FT^143>OIA]U84:5FNHV\7<1<AGC_S>O8;HLJPZ5E?2CEEYQ<C69JJLRX;M=0ECG[_2G4?LHN\V9M:6GAIU]B2>OIA]UI56GAIU]EMIC43@D]>6BF6:NLEACC>2FDOFKK7:NLCLEFD=1GYY<;;MWW71=K]]>?7A[[559OQQ023DYLCC;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n39M57=I:;1E8:5AEUULVN2<FFDN=6A7;N,55}bXD81[86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH5<X[O=7]]KOOG2?Tg<ZHGI=;LXESc8V@GCWOCY_Y84RDE@AD0<ZLMHIO:4RRVB0>TT\K=0^^Z[YQGf?WUSWYXBC_G[Y^Cf?WUSWYXBC_G[Y^@2?V763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL;87^GB_BMOHLUNGGUHDHMDa:QJIZBB^@IN:6]@USAF<>UWI[^JB;94SSTBHZG03ZX]MAQM6:QWEQST<2YRBN:4TSWF0>R^XL?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d=8h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~by<:f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{34d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*Kdg|dSnaznu66b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(Eje~byQlotlw10`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq02n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphs?<l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}2>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d5;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=2=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'DidyczPcnwmpZe~484=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw32?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:46?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8081<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7:38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6;2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>2:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}692;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>0:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6=2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}632;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>3:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6:2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>1:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{682;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>7:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6>2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6<2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>;:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ue<=<:_hlu1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'Vd;<?;Piot21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~by2?>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~7=3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im cnwmp959=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,d`f(ck&nh#naznu>7:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idycz35?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0;0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5=59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:?6<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\40?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP14;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T>874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX;<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\00?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP54;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T:874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX?<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\<27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV9>SbQBUY]27Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j8$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(kfg{=;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#jafnf]fiur~WY]YS>;Po^OV\Z74Wf?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&xjaRkbpu{\bgYn=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{kfSjPeo]j503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7Xklzdx8l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qehYulVoeSd?PcdrlpZOI^V:<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Je|rTkn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__><9?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GfyuQhc1,`kphsS1WTKCJP265\kZkrpV8TCXZ<1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:66<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2=>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:46<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2;>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:26<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by29>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:06<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by27>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1?16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?38j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]682<?9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3<81:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3Qmde25g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP265\kZkrpV8TCXZ34?4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2;>04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2:>7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1;117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1816e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0;0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0:09d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7;3?9c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7439?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]632R]X0468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY6==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR<:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[6333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T88:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]611=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V<>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_677?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX0<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=2=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0<0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;:7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>0:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1:1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64<4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?2;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<28>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95259:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[53d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q?_erlp01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X:<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^163>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T8894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z32?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V<Th}a{569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W>?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]4[avh|<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^:6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T4Rjou57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW;39SbQBUY]21Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i;#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g5)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k8%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j;$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(kfg{=;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ=93]l[HS_W8?Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__><9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW743f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<0<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;<7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8082i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=4=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by28>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7439>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ31?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?6;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;;7=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_=[XOGNT>4>Po^ov|Z4XG\^7?3?>739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=1=620<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczT8\]DJAY519UdS`{w_3]LQQ:46Vhoh=9>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ34?51?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?0;7092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{atZ:^[BHCW;3;SbQbuy]1[JSS4<4<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__080>709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=4=37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX<XY@FMU95=Q`_lw{[7YH]]6<2:<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY28>052?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?<;123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;07UX[=;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS9;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW<?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[33>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_67:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS5;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;793;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7:3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7;3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;743;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z02k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[23d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\<05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%|~R~ats]shpu6494i:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy| r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,PMHVKMVZYE@?7.scng5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[1_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjaRgastnbp`6d82_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x$~lcconrX4X(uid$y#~8Pnnkmmq(uid%XH] \ILROAZVUAD;3"ob_hlpqigsm8h=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]6U'xja#| s7]mklhn|'xja"]KP/QJIUJBWYXBA<6!r`o`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T1\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa5e73\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY2Y+tfe'x$;Qaohljp+tfe&YO\#]FMQNF[UTNE82%~lcPioqvhdrb9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!tlr\vdkXzmUnb8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-phvXzhgT~iQjn010?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}al]tvZci<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8583k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_36`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2?>5a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|Vxnk1?14c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{olS=:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU:?i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{ol8=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{olSi?;0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd31`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySnabb2f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm1268Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"}}su]j[lhq;o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+rtXxg~y0=0Piot2[LHQW9i0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595o6Y]IUG\IJBBWHi0[_G[E^OL@@YE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD5l2RXXROKV@L@AAYJIJXDSLMLU^@BWLEXEHIYCROKDRWA[C@03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEih4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}70:]\[]JIEVUT<RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY2<>35a?ZYXPEDFSRQ>_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0>0=829\[Z^KFDUTS?QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW64>73VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>o0SRQWLOO\[Z2XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj:%ob{atZ:^[BHCW;=<SbQbuy]1[JSS:8=i7RQPXMLN[ZY2WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[710WfUfyuQ=_NWW8386>h1TSRVCNL]\[3YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q7_erlp3g<WVUS@CCP_^5\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:Rjou3;?gjlWdofSjka_fgmawodWjy~<64bmi\i`kXoldT|gb_vkgpmY6911i`fQbel]dakYwz`gT{dj{h^02`>dkcVgnaRijn^rqmhYpzhz{iQ|6^32`>dkcVgnaRijn^rqmhYpzhz{iQ|6^0e?gjlWdofSjka_ums51=edbUfi`Qheo]u2Z4Xpz~:?6lck^ofiZoix|~Tnaalk068fimXelgTcxzuu]ahjel;2igg:5lolrbv`733j~y`Rhffn726}03{}U}<<>n3:awviYaaoe>=?v94rv\r5*aaoeTkh`jr`vlvZp?W;&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah94*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro50&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<3/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=0.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb22-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;4$jU{~biPelrw}Zr~xl7; nQrne\ahvsqV~r|hQnxhq>1)eXx{elShctx]w}ucXzhic18"l_qplcZcjx}sTxt~j_sf`l83+kVzycjQjmqvz[qwmV}joe3:,b]svjaXmdzuRzvpd]t`fn:=%iT|ah_dosp|YsqyoT{mg=4.`[uthoVl~`aQ{hsgplZgt{lxS{oc=433(fYwzfmTjxbc_ujqavnXizyn~yQyam]b|lu:9988 nQrne\bpjkW}byi~fParqfvqYqieUymnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]q`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|mnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]t`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|~nf21100(fYwzfmTjxbc_ujqavnXflmjxhQyam?64<*dWyxdkRhzlm]w}uc:8%iT|ah_gwohZr~xlUjtd}25-a\twi`Wog`Rzvpd]qefn:=%iT|ah_gwohZr~xlUyhnf25-a\twi`Wog`Rzvpd]tefn:=%iT|ah_gwohZr~xlU|hnf25-a\twi`Wog`Rzvpd]tvfn:=%iT~lmg_u{sa86+kVxoSio{a^alqkrX`nd07#c^pg[acw|a7>=?"l_sf\bwcv58&hSjPpovq[roc|a72 nQ}dbj\p|vb59&hSkh_rnjg`YjgmoTmug|=1.`[wc`WzfbohQboeg\vdeo59&hSkh_rnjg`YjgmoT~img=1.`[wc`WzfbohQboeg\sdeo59&hSkh_rnjg`YjgmoT{img=1.`[wc`WzfbohQboeg\sweo59&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}6<!mPurg\```f}e~Thz{ioep95*dW|ynSiazt^ppp87+kVxiRklc<2/gZstmVohoRowir?3(fYr{lUnonQ}abj>4)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag8<Tjaohs<2/gZstmVl|jyQkauc\gjsi|4:'oRxnlhf\hjq:8%iT{lmg_u{sa86+kV}ooeQ{yqg>4)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a72 nQxr^fftqn:=88'oRy}_egspmYfp`y6==<;,b]tvZbbx}bT~lmg=04/gZquWmo{xeQ}dbj>5543$jU|~Rjjpuj\sdeo58<'oRy}_egspmYpljb6==<;,b]tvZbbx}bT{mg=04/gZquWoxn}0?#c^uq[uhszV}bhyf29-a\swYwf}xT{dj{h^c{mv;68%iT{Qnup\slbs`Vxjoe3;,b]tvZvi|{U|eizg_sf`l877$jU|~R~ats]tmaroW~khd0:#c^uq[uhszV}bhyfPweak946+kV}yS}`{r^uj`qnX{ic19"l_vp\v`uwggynSao{eoaz94*dW~xhdRzvpd?3y7d<k}xgSkgio431|32t|V|; kgio^efj`tf|fxTz5Q=,zg?agsiVidycz30?f8`drfWje~by2>>e9geqgXkfex1<1d:fbpdYdg|d0>0k;ecweZeh}g~783j4d`vb[firf}6>2i5kauc\gjsi|5<5h6jnt`]`kphs4>4m7io{a^alqkr;03:5h6jnt`]`kphs414>7imPsr18`ak13mce0=08;ekm8469?2nbb1?>>69gmk:6:7=0hd`312<4?aoi48>5;6jfn=36:2=cag6::394dhl?52803mce0<617:fjj97>6?1oec2>>69gmk:587=0hd`320<4?aoi4;85;6jfn=00:2=cag698394dhl?60803mce0?817:fjj9406>1oec2=8?58`lh;:04=7iga<3<4?aoi4::5;6jfn=12:2=cag68>394dhl?76803mce0>:17:fjj9526>1oec2<6?58`lh;;>4<7iga<2:=3>bnf5922;5kio>0:2=cag6?<394dhl?04803mce09<17:fjj9246>1oec2;4?58`lh;<<4<7iga<54=3>bnf5><2:5kio>7<;1<l`d78409;ekm81803mce08>17:fjj9366>1oec2:2?58`lh;=:4<7iga<46=3>bnf5?>2:5kio>62;1<l`d79:08;ekm80>9?2nbb1;6>79gmk:26>1oec290?58`lh;>84<7iga<70==>bnf5<86=08;ekm8359>2nbb1816:fjj919>2nbb1616:fjj9?9?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:==cg|~7?408;emvp95902ndyy2;0?:8`jss4=;546j`uu>76;><lf09=18:flqq:3<720hb{{<57=<>bh}}6?:364dnww811902ndyy2;8?:8`jss4=35;6j`uu>7:==cg|~79=07;emvp936611ocxz353<;?air|5?8255kotv?118?3me~x1;:>99gkpr;=?437iazt=74:==cg|~79507;emvp93>6>1ocxz35?:8`jss4?:546j`uu>55;><lf0;<1a:flqq:1;3:546j`uu>57;1<lf0;08;emvp919?2ndyy27>69gkpr;17?0i`~{y048bl`hWnoeio{os]qeh`nnf;97kgio^efj`tf|fxTxb~>3:djbjY`mgoymya}_w:\67`<n`ldSjkaescwkwYq0V8',Ugcioz#GJTB(Noeio{os"27+46?2lbjbQheogqeqiuW2T>Rv|t59eqij>3`d{yyQmlj48i`khzp20bjmmuhnge>ir|ySobdb:pg[goiWjd~85}d^gm<>tcWeelen?=;sf\wqgs}zUm`li|_rq25>tb{l~TnaePr`oemci43{y56{do]`jqte3~xTnd`Pcovq1>quWld37z|Plnejg44<{Uxxlzzs^doebuX{zwKL}967:BC|7bd2O0?6<u\5g81g2<6?<0:??jjac82f2`dsg8h97?4n3a5>3=#:j91>om4}R7g>7e028=>6<==ddca>4d?<91X=;=536494?74:mojn7?m8568W0b=;><1<7?<2egbf?7e0=?0h?jl:182>4}T=o09o:4>748277bbik0:n5=k;wV2<f<7280:6<?i{R7e>7e028=>6<==ddca>4d?;m1/>lh51778R7e32;q~=;j51:w22`<73t.:;:4>9:`1`f<721l15i4>1gyK6db<,8386?jl;[67>6}6>3;<6<h5}%0`6?5092.?;7<ke:&6a?4cl2.:444>;%3;<?4d02c8>:4?:%34=?55>2d:;54?;:k060<72-;<57==6:l23=<632c8>94?:%34=?55>2d:;54=;:k066<72-;<57==6:l23=<432c8><4?:%34=?55>2d:;54;;:k065<72-;<57==6:l23=<232c8=k4?:%34=?55>2d:;549;:k05`<72-;<57==6:l23=<032c8=i4?:%34=?55>2d:;547;:k05f<72-;<57==6:l23=<>32c8=o4?:%34=?55>2d:;54n;:k05d<72-;<57==6:l23=<e32c8=44?:%34=?55>2d:;54l;:k05=<72-;<57==6:l23=<c32c8=;4?:%34=?55>2d:;54j;:k050<72-;<57==6:l23=<a32c8=94?:%34=?55>2d:;54>0:9j745=83.:;44<279m52>=9810e>?=:18'52?=;;<0b<97:008?l5693:1(<96:205?k7003;876g<1183>!701399:6`>79820>=n;9l1<7*>788063=i9>21=854i22f>5<#9>31??84n05;>40<3`9;h7>5$05:>6413g;<47?8;:k04g<72-;<57==6:l23=<6021b?=o50;&23<<4:?1e=:651898m66>290/=:753348j41?28k07d=?8;29 41>2:8=7c?88;3a?>o48>0;6)?89;112>h6?10:o65f31494?"6?008>;5a16:95a=<a::>6=4+16;9770<f8=36<k4;h130?6=,8=26><9;o34<?7a32c8<>4?:%34=?55>2d:;54=0:9j754=83.:;44<279m52>=:810e>>?:18'52?=;;<0b<97:308?l4an3:1(<96:205?k70038876g=fd83>!701399:6`>79810>=n:on1<7*>788063=i9>21>854i3d`>5<#9>31??84n05;>70<3`8mn7>5$05:>6413g;<47<8;:k1bd<72-;<57==6:l23=<5021b>k750;&23<<4:?1e=:652898m7`?290/=:753348j41?2;k07d<i7;29 41>2:8=7c?88;0a?>o5n<0;6)?89;112>h6?109o65f2g694?"6?008>;5a16:96a=<a;l86=4+16;9770<f8=36?k4;h0e6?6=,8=26><9;o34<?4a32c9j<4?:%34=?55>2d:;54<0:9j6c6=83.:;44<279m52>=;810e?ki:18'52?=;;<0b<97:208?l4bm3:1(<96:205?k70039876g=ee83>!701399:6`>79800>=n:li1<7*>788063=i9>21?854i20a>5<#9>31??84n05;>60<3`99m7>5$05:>6413g;<47=8;:k06<<72-;<57==6:l23=<4021b??650;&23<<4:?1e=:653898m645290/=:753348j41?2:k07d=>7;29 41>2:8=7c?88;1a?>o48j0;6)?89;112>h6?108o65f31394?"6?008>;5a16:97a=<a;l=6=4+16;9770<f8=36>k4;h0ff?6=,8=26><9;o34<?5a32c9hl4?::k03a<722c84=4?::k03<<722e8:54?:%34=?51?2d:;54?;:m023<72-;<57=97:l23=<632e8:84?:%34=?51?2d:;54=;:m021<72-;<57=97:l23=<432e8:?4?:%34=?51?2d:;54;;:m024<72-;<57=97:l23=<232e8:=4?:%34=?51?2d:;549;:m01c<72-;<57=97:l23=<032e89h4?:%34=?51?2d:;547;:m01a<72-;<57=97:l23=<>32e89n4?:%34=?51?2d:;54n;:m01g<72-;<57=97:l23=<e32e89l4?:%34=?51?2d:;54l;:m01<<72-;<57=97:l23=<c32e89:4?:%34=?51?2d:;54j;:m013<72-;<57=97:l23=<a32e8984?:%34=?51?2d:;54>0:9l702=83.:;44<669m52>=9810c>;<:18'52?=;?=0b<97:008?j52:3:1(<96:244?k7003;876a<5083>!70139=;6`>79820>=h;<:1<7*>788022=i9>21=854o26e>5<#9>31?;94n05;>40<3f9?i7>5$05:>6003g;<47?8;:m00f<72-;<57=97:l23=<6021d?9l50;&23<<4>>1e=:651898k62f290/=:753758j41?28k07b=;9;29 41>2:<<7c?88;3a?>i4<10;6)?89;153>h6?10:o65`35594?"6?008::5a16:95a=<g:>=6=4+16;9731<f8=36<k4;n171?6=,8=26>88;o34<?7a32e8894?:%34=?51?2d:;54=0:9l715=83.:;44<669m52>=:810c>:>:18'52?=;?=0b<97:308?j5383:1(<96:244?k70038876a<3g83>!70139=;6`>79810>=h;:o1<7*>788022=i9>21>854o21g>5<#9>31?;94n05;>70<3f98o7>5$05:>6003g;<47<8;:m07g<72-;<57=97:l23=<5021d?>o50;&23<<4>>1e=:652898k65>290/=:753758j41?2;k07b=<8;29 41>2:<<7c?88;0a?>i4;?0;6)?89;153>h6?109o65`32794?"6?008::5a16:96a=<g:9?6=4+16;9731<f8=36?k4;n107?6=,8=26>88;o34<?4a32e8??4?:%34=?51?2d:;54<0:9l767=83.:;44<669m52>=;810c>=?:18'52?=;?=0b<97:208?j55n3:1(<96:244?k70039876a<2d83>!70139=;6`>79800>=h;;n1<7*>788022=i9>21?854o24`>5<#9>31?;94n05;>60<3f9=n7>5$05:>6003g;<47=8;:m02d<72-;<57=97:l23=<4021d?;750;&23<<4>>1e=:653898k604290/=:753758j41?2:k07b=:8;29 41>2:<<7c?88;1a?>i4<m0;6)?89;153>h6?108o65`35094?"6?008::5a16:97a=<g:9<6=4+16;9731<f8=36>k4;n11g?6=,8=26>88;o34<?5a32e9i54?:%34=?4b?2d:;54?;:m1a3<72-;<57<j7:l23=<632e9i84?:%34=?4b?2d:;54=;:m1a1<72-;<57<j7:l23=<432e9i>4?:%34=?4b?2d:;54;;:m1a7<72-;<57<j7:l23=<232e9i<4?:%34=?4b?2d:;549;:m1a5<72-;<57<j7:l23=<032e9hk4?:%34=?4b?2d:;547;:m036<722e8:i4?::`1g4<7280;6=u+18196dc<@;hn7E<nd:m233<722wi>n>50;394?6|,8386<>k;I0aa>N5im1d==m50;9~f4?b290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a5d`=8391<7>t$0;0>7dc3A8ii6F=ae9j0=<722c::?4?::m231<722wi=4h50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:n=4?:283>5}#9091>oj4H3`f?M4fl2c?47>5;h356?6=3f;<87>5;|`2e5<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c3a5?6=;3:1<v*>9281fa=O:ko0D?ok;h6;>5<<a8<96=44o057>5<<uk;j=7>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8h96=4<:183!7>;38ih6F=bd9K6db<a=21<75f17094?=h9>>1<75rb0c1>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm1c194?5=83:p(<7<:3`g?M4em2B9mi5f4983>>o6>;0;66a>7583>>{e9h91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6j=0;6>4?:1y'5<5=:kn0D?lj;I0b`>o303:17d?92;29?j70<3:17pl>a583>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?m5;297?6=8r.:5>4=be9K6gc<@;ko7d:7:188m4052900c<9;:188yg7f=3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4d129086=4?{%3:7?4el2B9nh5G2`f8m1>=831b=;<50;9l522=831vn<l8:180>5<7s-;2?7<md:J1f`=O:hn0e9650;9j534=831d=::50;9~f4e4290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a7>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m::186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8i=6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4e0290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a;>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m6:186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8ij6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4ee290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0d4>5<3290;w)?63;33e>N5jl1C>lj4$03g>2=n<<0;66g90;29?l70j3:17b?8d;29?xd6n?0;694?:1y'5<5=99k0D?lj;I0b`>"69m0<7d:::188m36=831b=:l50;9l52b=831vn?;j:187>5<7s-;2?7??8:J1f`=O:hn0(<?k:39j00<722c>o7>5;h43>5<<g8=o6=44}c06`?6=<3:1<v*>92824==O:ko0D?ok;%32`?4<a=?1<75f5b83>>o183:17b?8d;29?xd5i10;6>4?:1y'5<5=99=0D?lj;I0b`>N3;2.::o4>939'54b=:2c?97>5;h43>5<<g8=o6=44}c0b3?6=;3:1<v*>928242=O:ko0D?ok;I60?!71j3;2>6*>1e81?l222900e;>50;9l52b=831vn?o9:186>5<7s-;2?7??b:J1f`=O:hn0D9=4$04a>4?53`>>6=44i5494?=n>90;66g>7c83>>i6?m0;66sm2`394?2=83:p(<7<:02b?M4em2B9mi5+10f93>o3=3:17d8?:188m41e2900c<9k:188yg4f83:187>50z&2=6<68h1C>ok4H3cg?!76l3=0e9;50;9j25<722c:;o4?::m23a<722wi>l;50;694?6|,8386<>n;I0aa>N5im1/=<j57:k71?6=3`<;6=44i05a>5<<g8=o6=44}c0b0?6=<3:1<v*>92824d=O:ko0D?ok;%32`?1<a=?1<75f6183>>o6?k0;66a>7e83>>{e:=o1<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd5<m0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg43k3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f72e290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a61`=83>1<7>t$0;0>40d3A8ii6F=ae9j0=<722c=87>5;h3;3?6=3f;<87>5;|`17g<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c00e?6=<3:1<v*>92822f=O:ko0D?ok;h6;>5<<a?>1<75f19594?=h9>>1<75rb31:>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm22:94?2=83:p(<7<:04`?M4em2B9mi5f4983>>o1<3:17d?77;29?j70<3:17pl=3b83>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?j7;290?6=8r.:5>4>6b9K6gc<@;ko7d:7:188m32=831b=5950;9l522=831vn<k9:187>5<7s-;2?7?9c:J1f`=O:hn0e9650;9j21<722c:4:4?::m231<722wi=h;50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:i94?:583>5}#9091=;m4H3`f?M4fl2c?47>5;h47>5<<a82<6=44o057>5<<uk;n47>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8n?6=4;:183!7>;3;=o6F=bd9K6db<a=21<75f6583>>o60>0;66a>7583>>{e9m91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6l;0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg7c93:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4b2290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a667=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a67d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?750;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;21<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a670=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20a94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><l50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8k1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a64?=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20:94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><950;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8<1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a643=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm28194?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>4<50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:0;1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6<6=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5k50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1n1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6=e=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29`94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5?50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1:1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62`=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26g94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>:j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:>i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi=k650;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>8h50;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>4m50;694?6|,8386<88;I0aa>N5im1/=<j5479j55`=831b=<>50;9j547=831d=::50;9~f7gf29086=4?{%3:7?71>2B9nh5G2`f8 47c2h1b==h50;9j546=831d=::50;9~f730290>6=4?{%3:7?7102B9nh5G2`f8 47c28h0e<>i:188m4772900e<?>:188m4752900c<9;:188yg42=3:197>50z&2=6<6>11C>ok4H3cg?!76l3;n7d??f;29?l7683:17d?>1;29?l76:3:17b?84;29?xd5=:0;684?:1y'5<5=9?20D?lj;I0b`>"69m0:o6g>0g83>>o6990;66g>1083>>o69;0;66a>7583>>{e:<31<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5f11d94?=n98:1<75`16694?=zj;>?6=4::183!7>;3;=46F=bd9K6db<,8;o6<m4i02e>5<<a8;;6=44i032>5<<a8;96=44o057>5<<uk8?>7>55;294~"61:0::55G2cg8L7gc3-;:h7?j;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th98=4?:483>5}#9091=;64H3`f?M4fl2.:=i4>e:k24c<722c:==4?::k254<722c:=?4?::m231<722wi>>k50;794?6|,8386<87;I0aa>N5im1/=<j51d9j55`=831b=<>50;9j547=831b=<<50;9l522=831vn?:9:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0f8m46a2900e<??:188k4132900qo?i0;291?6=8r.:5>4>699K6gc<@;ko7)?>d;3f?l77n3:17d?>0;29?l7693:17d?>2;29?j70<3:17pl>ed83>0<729q/=4=517:8L7db3A8jh6*>1e82f>o68o0;66g>1183>>o6980;66g>1383>>i6?=0;66sm1da94?3=83:p(<7<:04;?M4em2B9mi5+10f95f=n99l1<75f10294?=n98;1<75f10094?=h9>>1<75rb0d1>5<4290;w)?63;352>N5jl1C>lj4$03g>4b<a8:m6=44i033>5<<g8=?6=44}c3g`?6==3:1<v*>92822==O:ko0D?ok;%32`?7d3`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2`g<72<0;6=u+181953><@;hn7E<nd:&25a<6m2c:<k4?::k255<722c:=<4?::k257<722e:;94?::a5a?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=9l1b==h50;9j546=831b=<?50;9j544=831d=::50;9~f4b0290>6=4?{%3:7?7102B9nh5G2`f8 47c28o0e<>i:188m4772900e<?>:188m4752900c<9;:188yg7cn3:1?7>50z&2=6<6>?1C>ok4H3cg?!76l3;o7d??f;29?l7683:17b?84;29?xd59;0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:8:1<7850;2x 4?428<27E<me:J1ea=#98n1>?5+16c96fc<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o057>5<<uk8<;7>57;294~"61:0::l5G2cg8L7gc3-;:h7<<;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n340?6=3th9;84?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5kj1b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn??;:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0c8 41f2;in7d??f;29?l7683:17b?84;29?xd59:0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:>31<7=50;2x 4?428<=7E<me:J1ea=#98n1=l5+16c96fe<a8:m6=44i033>5<<g8=?6=44}c04<?6=?3:1<v*>92822d=O:ko0D?ok;%32`?443`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;<87>5;|`114<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2ad<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2gf<72>0;6=u+181953g<@;hn7E<nd:&25a<68:1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=::50;9~f754290<6=4?{%3:7?71i2B9nh5G2`f8 47c28:>7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?l76<3:17b?84;29?xd5810;694?:1y'5<5=9?=0D?lj;I0b`>"69m0:<95f11d94?=n98:1<75f10394?=h9>>1<75rb34g>5<3290;w)?63;353>N5jl1C>lj4$03g>4633`;;j7>5;h324?6=3`;:=7>5;n340?6=3th:n44?:483>5}#9091=;64H3`f?M4fl2.:=i49;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th:m;4?:583>5}#9091=;94H3`f?M4fl2.:=i4>8:k24c<722c:==4?::k254<722e:;94?::a5d1=83>1<7>t$0;0>4003A8ii6F=ae9'54b=:91b==h50;9j546=831b=<?50;9l522=831vn<o7:187>5<7s-;2?7?97:J1f`=O:hn0(<?k:328m46a2900e<??:188m4762900c<9;:188yg7f13:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7d??f;29?l7683:17d?>1;29?j70<3:17pl>a`83>1<729q/=4=51758L7db3A8jh6*>1e814>o68o0;66g>1183>>o6980;66a>7583>>{e9hh1<7:50;2x 4?428<<7E<me:J1ea=#98n1>=5f11d94?=n98:1<75f10394?=h9>>1<75rb0c`>5<3290;w)?63;353>N5jl1C>lj4$03g>76<a8:m6=44i033>5<<a8;:6=44o057>5<<uk;jh7>54;294~"61:0:::5G2cg8L7gc3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3f;<87>5;|`2e`<72=0;6=u+1819531<@;hn7E<nd:&25a<582c:<k4?::k255<722c:=<4?::m231<722wi=kl50;194?6|,8386<89;I0aa>N5im1/=<j51e9'52g=:jn0e<>i:188m4772900c<9;:188yg7ak3:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7)?8a;0``>o68o0;66g>1183>>o6980;66a>7583>>{e:?:1<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5+16c96fd<a8:m6=44i033>5<<g8=?6=44}c055?6=<3:1<v*>928222=O:ko0D?ok;%32`?473-;<m7<lb:k24c<722c:==4?::k254<722e:;94?::a65?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=:81/=:o52bc8m46a2900e<??:188m4762900e<?=:188k4132900qo<?c;292?6=8r.:5>4>689K6gc<@;ko7)?>d;01?!70i38hm6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>i6?=0;66sm21g94?1=83:p(<7<:04b?M4em2B9mi5+10f966=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9>>1<75rb34f>5<2290;w)?63;35<>N5jl1C>lj4$03g>77<,8=j6?m6;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th9;<4?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5k01b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn?9<:184>5<7s-;2?7?9a:J1f`=O:hn0(<?k:318m46a2900e<??:188m4762900e<?=:188m4742900e<?;:188k4132900qo<=e;290?6=8r.:5>4>669K6gc<@;ko7)?>d;42?!70i38o<6g>0g83>>o6990;66g>1083>>i6?=0;66sm22294?2=83:p(<7<:044?M4em2B9mi5+10f924=#9>k1>i>4i02e>5<<a8;;6=44i032>5<<g8=?6=44}c006?6=<3:1<v*>928222=O:ko0D?ok;%32`?063-;<m7<lf:k24c<722c:==4?::k254<722e:;94?::a671=8391<7>t$0;0>4013A8ii6F=ae9'54b=9<1/=:o52bd8m46a2900e<??:188k4132900qo<nc;296?6=8r.:5>4>609K6gc<@;ko7d??e;29?j70<3:17pl>f`83>7<729q/=4=51738L7db3A8jh6g>0d83>>i6?=0;66sm28794?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76sm28:94?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76s|36f94?4|V:=o70<n6;34f>{t9?l1<76l{<0`4?77k279m9490:?1e0<18279m=490:?1e4<18279m;490:?10c<1<2798o494:?10f<1<2798i494:?10`<1<279?n494:?17=<1<279?4494:?17d<1<279?o494:?2a=<1<27:i9494:?2a0<1<27:i;494:?2a2<1<27:h8494:?2`4<1<27:h?494:?2`6<1<27:h9494:?1=0<5l279584=c:?1=0<5j279584=a:?1=0<5<279584<c:?1=0<4j279584<a:?1=0<41279584<3:?1=0<>n2795846d:?1=0<>j2795846a:?1=0<>127958468:?1=0<>?27958466:?1=0<>=27958464:?1=0<>;27958462:?1=0<>82795847f:?1=0<?m2795847d:?1=0<?k2795847b:?1=0<?i27958479:?1=0<?027958477:?1=0<f>279584n5:?1=0<f<279584n3:?1=0<f:279584n1:?1=0<f82795846c:?1=0<>927958476:?1=0<e8279584ne:?1=0<fl279584nc:?1=0<5j016>4;52c5897?22;h=70<65;0a1>;51<0:4=5228795=7<5;3>6<6=;<0:<?4c348247<l;<0:<?4e348247<n;<0:<?43348247=l;<0:<?5e348247=n;<0:<?5>348247=<;<0:<?1b3482479;;<0:<?0e3482476<;<0:<??a3482477k;<0:<??e3482477n;<0:<??>34824777;<0:<??034824779;<0:<??23482477;;<0:<??43482477=;<0:<??73482476i;<0:<?>b3482476k;<0:<?>d3482476m;<0:<?>f34824766;<0:<?>?34824768;<0:<?g1348247o:;<0:<?g3348247o<;<0:<?g5348247o>;<0:<?g73482477l;<0:<??634824769;<0:<?ge348247o6;<0:<?g?348247o8;<0:<?d7348247oj;<0:<?gc348247ol;<0:<?4e1279554=b69>6<>=:k<01?77:3`6?84>03;3<63=9982<4=::021=5<4}r06a?6=:rT8;>5224g952b<uz;m:7>511y>5c0=9>n01<h7:033?87f>3;:<63>a68254=:9h21=<?4=0c:>47634;jm7?>1:?2eg<69816=lm5103894gc28;:70?ne;325>;6nh0:<h5228a9547<58ih6<?=;<007?76:27:n44>139~w4`02909wS=9d:?2b2<6?m1v<m=:180[4b027:oo4>7e9>5dc=99l0q~==c;296~X4:j16>4;5d29~w6502909wS=<7:?1=0<cm2wx?9<50;0xZ625348297k6;|q00a<72;qU?9j4=3;6>`b<uz9>47>52z\01==::0?1ih5rs240>5<5sW9=?63=948fb>{t;?31<7<t^24:?84>=3l;7p}<6`83>7}Y;?k01?7::g38yv51j3:1>vP<6c9>6<3=nh1v>8l:181[51k279584i2:p77b=838pR><k;<0:1?`43ty8>h4?:3y]77c<5;3>6i:4}r11b?6=:rT8>k522879`0=z{:9;6=4={_104>;51<0o:6s|32394?4|V:9:70<65;f4?xu4;;0;6?uQ320897?22m20q~=<3;296~X4;:16>4;5d89~w6532909wS=<4:?1=0<aj2wx?>;50;0xZ652348297jn;|q073<72;qU?>84=3;6>ad<uz9847>52z\07==::0?1hn5rs21:>5<5sW98563=948g`>{t;:k1<7<t^21b?84>=3nm7p}<3c83>7}Y;:h01?7::d28yv54k3:1>vP<3b9>6<3=m81v>=k:181[54l279584j2:p76c=838pR>=j;<0:1?`d3ty8?k4?:3y]76`<5;3>6h=4}r174?6=:rT88=522879a1=z{:>:6=4={_175>;51<0n96s|35194?4|V:>870<65;g5?xu4<=0;6?uQ356897?22l=0q~=;5;296~X4<<16>4;5e99~w6212909wS=;6:?1=0<bi2wx?9950;0xZ620348297kl;|q00=<72;qU?964=3;6>cc<uz9?57>52z\00<=::021h>5rs26b>5<5sW9?m63=998ga>{t;=h1<7<t^26a?84>03o27p}<4b83>7}Y;=i01?77:df8yv53m3:1>vP<4d9>6<>=ml1v>:i:181[53n279554jf:p706=838pR>;?;<0:<?`73ty89<4?:3y]707<5;336k<4}r166?6=:rT89?5228:9b6=z{:?86=4={_167>;5110o86s|34694?4|V:??70<68;f6?xu4=<0;6?uQ347897??2m<0q~=:6;296~X4=?16>465d69~w6302909wS=:7:?1==<c02wx?8750;0xZ63>348247jn;|q01d<72;qU?8o4=3;;>ad<uz9>n7>52z\01g=::021hn5rs27`>5<5sW9>o63=998g`>{t;<n1<7<t^27g?84>03nm7p}<5d83>7}Y;<o01?77:d28yv52n3:1>vP<5g9>6<>=m81v>8?:181[518279554j3:p737=838pR>8>;<0:<?c33ty8:?4?:3y]734<5;336h;4}r150?6=:rT8:95228:9a3=z{:<>6=4={_151>;5110n;6s|37494?4|V:<=70<68;g;?xu4>10;6?uQ37:897??2lk0q~<n2;297~;5=m0>o63=5d86g>;5i=0:;i5rs3;e>5<6lr7:o>4:c:?2g1<2k27:o84:c:?2g3<2k27:o:4:c:?2g=<2k27:o44:c:?2gd<2k27:oo4:c:?2b3<6?k16=k9516`897g628=o70<>5;7`?846>3?h70<>7;7`?84603?h70<>9;7`?846i3?h70<>b;7`?846k3?h70<=6;7`?84503?h70<=9;7`?845i3?h70<=b;7`?845k3?h70<=d;7`?845n3?h70<<1;7`?xu5i:0;6<<t=3c6>41c348<m7;l;<04f?3d348<o7;l;<04`?3d348<i7;l;<04b?3d3483<7;l;<0;5?3d3483n7;l;<0;g?3d3483h7;l;<0;a?3d3483j7;l;<0:4?3d3482=7;l;<0:6?3d3482?7;l;|q0<5<72<qU?5>4=37e>46a34;ho7?>0:?176<68o16=o7511d8yv5013:1=>uQ36;894`?28:m70?m9;324>;6i?0:=<521`59546<58k36<??;<3b=?76827:ml4>119>5dd=98:01<ol:033?87fl3;:<63>ad8255=::;o1==h4=313>46a3488>7??f:?162<68o16>4m511d894ed28:m70<<3;324>{t:0h1<7=t=3;`>413348297??0:?1==<6891v?7k:182g~;5?h0?:63=7c872>;5?j0?:63=7e872>;5?l0?:63=7g872>;5090?:63=80872>;50k0?:63=8b872>;50m0?:63=8d872>;50o0?:63=91872>;5180?:63=93872>;51:0?:63=5g8231=::0?1>o:4=3;6>7d5348297<m1:?1=0<5j916>4;5fg9>6<>=:k>01?77:3`1?84>038i=63=9981f5=::021jk5rs3fb>5<4jrT9hl521b1900=:9j>188521b7900=:9j<188521b5900=:9j2188521b;900=:9jk188521b`900=:9o<188521g5900=::<n1885224g900=::h>188522`7900=::h:188522`3900=::h<188522`5900=::h218852207900=::8<18852205900=::821885220;900=::8k1885220`900=::8i18852234900=::;21885223;900=::;k1885223`900=::;i1885223f900=::;l18852223900=::>k1885226`900=::>i1885226f900=::>o1885226d900=::1:18852293900=::1h1885229a900=::1n1885229g900=::1l18852282900=::0;18852280900=::091885228790c=::0?1995228:90c=::021995rs33g>5<bs489:7?8d:?14=<69816=kl511d894`d28;:70<?9;326>;58j0:=>5221g9542<5;8<6<??;<0:1?5c348247=k;<00a?76:27:h:4>139>607=98801<kn:031?xu59l0;6;u223:952b<5;?:6<>i;<0:1?5b348247=j;<00a?76827:h:4>119~w77a290=w0<=9;34`>;5<90:=<521e;9547<5;3>6>h4=3;;>6`<5;?86<>i;|q165<72?q6>?o516f8972728:m70?k9;33b>;51<0?<63=99874>;5=:0:==5rs302>5<1s489n7?8d:?110<69816>9<5103894be28;:70<65;62?84>03>:7p}=2383>3}::;i1=:j4=376>46a348?>7??f:?2`g<68o16>4;5359>6<>=;=1v?<<:184845l3;<h63=568254=::=>1=<?4=0fg>4763489i7?>1:?1=0<4=279554<5:p672=83=p1?<i:05g?84483;:=63=94802>;51108:63=568257=::=>1=<<4=0fg>4753ty9>84?:6y>667=9>n01?==:032?84>=39370<68;1;?84213;:<63=478255=:9ml1=<>4}r0:a?6=<r79m=4>7e9>6<3=91901?77:0:0?84>k3;:<6s|2d`94?4|V;oi70<65;46?xu5n?0;6?uQ2g4897?22>:0q~=?1;296~X48816>4;57c9~w66d2909wS=?c:?1=0<0n2wx?<950;0xZ6703482976?;|q067<72;qU??<4=3;6>=7<uz9947>52z\06==::0?14?5rs20:>5<5sW99563=948;7>{t;;k1<7<t^20b?84>=3k<7p}<2c83>7}Y;;h01?7::968yv4bk3:1>vP=eb9>6<3=0<1v?kk:181[4bl27958496:p6`c=838pR?kj;<0:1?003ty9ik4?:3y]6``<5;3>6;64}r0e4?6=:rT9j=5228792<=z{;l:6=4={_0e5>;51<0=m6s|2g094?4|V;l970<65;4a?xu5n:0;6?uQ2g1897?22h20q~<i4;296~X5n=16>4;56b9~w7`22909wS<i5:?1=0<1l2wx>k950;0xZ7`03482978j;|q1b=<72;qU>k64=3;6>3`<uz8m57>52z\1b<=::0?1;<5rs3db>5<5sW8mm63=94846>{t:oh1<7<t^3da?84>=3=87p}=fb83>7}Y:oi01?7::668yv4al3:1>vP=fe9>6<3=i01v?hj:181[4am27958485:p6c`=838pR?hi;<0:1?113ty8<=4?:3y]756<5;3>6:94}r136?6=:rT8<?5228793==z{::86=4={_137>;51<0<56s|31694?4|V::?70<65;5b?xu48<0;6?uQ317897?22>i0q~=?6;296~X48?16>4;57d9~w6602909wS=?7:?1=0<fj2wx?=650;0xZ66?3482478:;|q04<<72;qU?=74=3;;>26<uz9;m7>52z\04d=::021;o5rs22a>5<5sW9;n63=9984b>{t;9n1<7<t^22g?84>032;7p}<0d83>7}Y;9o01?77:938yv57n3:1>vP<0g9>6<>=0;1v>??:181[56827955474:p747=838pR>?>;<0:<?>23ty8=?4?:3y]744<5;336;84}r127?6=:rT8=>5228:922=z{:;?6=4={_120>;5110=46s|30794?4|V:;>70<68;4:?xu49?0;6?uQ304897??2?k0q~=>8;296~X49116>4656b9~w67>2909wS=>9:?1==<1l2wx?<o50;0xZ67f3482478j;|q05g<72;qU?<l4=3;;>3`<uz9:o7>52z\05f=::021;<5rs23g>5<5sW9:h63=99846>{t;8o1<7<t^23f?84>03=87p}<1g83>7}Y;8l01?77:678yv5583:1>vP<219>6<>=??1v><>:181[55927955487:p775=838pR><<;<0:<?1?3ty8>94?:3y]772<5;336:74}r111?6=:rT8>85228:93d=z{:8<6=4={_113>;5110<o6s|29094?c|5;2i6<9k;<05`?769279:=4>0g9>637=98;01?8j:031?84093;:?63=728251=::hi1==k4=3;6>7c<5;336?k4=31f>47634;o;7?>1:?114<69816=ho51038yv4?;3:1:v3=8b823a=::0?1>k5228:96c=:::o1==h4=0f4>46a34;nm7??f:p6=2=83<p1?6k:05g?84383;:>63>d88257=::0?1?=5228:975=:9li1==h4}r0;1?6=>r794h4>7e9>616=98:01<kl:033?87c13;:<63=94805>;51108=6s|29494?0|5;2m6<9k;<076?76:27:ho4>139>6<3=;;16>465339>5`c=99l0q~<77;292~;5190:;i522509546<58on6<??;<3gf?768279584=5:?1==<5=2wx>5650;4x97?628=o70?i0;326>;51<09:63=99812>;5<=0:<k521ef955`<uz8357>56z?1=7<6?m16>9:5102894`728;;70?kd;324>;51<09;63=99813>{t:1k1<78t=3;0>41c34;m>7?>0:?1=0<51279554=9:?103<68o16=ih511d8yv7al3:15v3=14823a=::;21:=521g`9546<58lh6<??;<03=?769279<n4>139>65c=98901<kn:033?84703;:<6s|1gg94?>|5;;=6<9k;<01=?0734;no7?>1:?2bf<68o16>=751028976d28;;70<?e;324>;5810:<k5rs0de>5<>s48:;7?8d:?16d<18279=?4>129>646=98801??<:031?84713;;j63=0b8254=::9o1=<?4=0g`>4753ty9<=4?:9y>64>=9>n01?<m:728977528;970<>0;325>;58j0:<k5221g9544<58on6<?=;<020?7682wx>=?50;5x977>28=o70<=c;43?846:3;:=63=118255=::9o1==h4=0gf>476348:?7?>1:p654=83<p1??n:05g?87a83;:=63=138255=::8:1==h4=30f>477348:87??f:p655=83?p1??m:05g?87a83;;j63=13824c=::::1=<>4=330>4773ty9<94?:5y>64e=9>n01<h=:02e?846;3;;j63=338255=z{;3?6=4={<0;f?07348jo7?84:p634=833p1?9n:05g?84?k3<;70<:1;324>;5>90:==522739546<5;<n6<?>;<045?76:279;>4>129>63b=98:0q~<93;29<~;5?k0:;i5229f925=::?;1==h4=34f>477348<=7?>0:?136<69916>8=51038970c28:m7p}=6583><}::>i1=:j4=3:f>36<5;=<6<?<;<041?76:279;54>139>63c=99l01?9>:032?840;3;:=63=528257=z{;<>6=47{<04`?70l2794k490:?110<69;16>:951008971228;:70<81;33b>;5?:0:=?5226;9546<uz8=:7>57z?13`<6?m16>4>5619>603=98:01?98:032?840=3;:<63=72824c=::>21=<?4}r053?6=>r79;k4>7e9>6<7=>916>:951028971228:m70<:7;324>;5?00:<k5rs34;>5<2s483<7?8d:?1=7<18279;:4>0g9>601=99l01?97:033?xu5>00;69u2293952b<5;386;>4=35;>46a348>57??f:p60b=83?p1?;k:05g?842n3;:<63>cb8254=:::91=<?4=0`:>4763ty:n54?:`y>5f5=<?16=n:5479>5f3=<?16=n85479>5f1=<?16=n65479>5f?=<?16=no5479>5fd=<?16=o751668yv7><3:1==u218g90==:9hl18552207903=::8<18;52205903=::8218;5220;903=::8k18;5220`903=::8i18;5223:903=::;318;5223c903=::;h18;5223a903=:9o21=::4}r0bf?6==r79o<4>779>61d=<116>>65499>5`2=<116=i?5499~w4df290?w0?6e;47?[4cn27:o>4>7e9>5d0=99l0q~?65;296~;6io0:;9521b1925=z{8k=6=4<{<3:a?7??27:mk4>639>5d0=9>>0q~?6e;297~;61l0:;95218d90==:9k:1855rs0`a>5<3s4;2j78;;_0f4>;6k=0:;i521`5955`<uz;2:7>52z?2f5<6?=16=n:5619~w4g02908w0?6f;3;3>;6j90::?521`59522<uz;2j7>53z?2=c<6?=16=l>5499>5g7=<11v<ll:18787f83<?7S<j1:?2g0<6?m16=l6511d8yv7>?3:1>v3>b08231=:9j?1:=5rs0c;>5<4s4;j<7?77:?2f4<6>;16=l651668yv7f83:1?v3>a18231=:9h;185521c090==z{8ho6=4;{<3b5?033W8n>63>c7823a=:9h31==h4}r3:<?6=:r7:n?4>759>5f0=>91v<o6:18087f93;3;63>b38227=:9h31=::4}r3b5?6=;r7:m<4>759>5d4=<116=o=5499~w4db290?w0?n2;47?[4b;27:o:4>7e9>5dg=99l0q~?69;296~;6j:0:;9521b5925=z{8kj6=4<{<3b6?7??27:n>4>639>5dg=9>>0q~?n2;297~;6i;0:;9521`190==:9k>1855rs0`e>5<3s4;j?78;;_0f0>;6k10:;i521``955`<uz;2m7>52z?2f1<6?=16=n65619~w4ge2908w0?n3;3;3>;6j=0::?521``9522<uz;j?7>53z?2e6<6?=16=l:5499>5g3=<11v<m?:18787f<3<?7S<j5:?2g<<6?m16=lm511d8yv7>j3:1>v3>b48231=:9j31:=5rs0c`>5<4s4;j87?77:?2f0<6>;16=lm51668yv7f<3:1?v3>a58231=:9h?185521c490==z{8i:6=4;{<3b1?033W8n:63>c`823a=:9hn1==h4}r3:g?6=:r7:n;4>759>5fg=>91v<ok:18087f=3;3;63>b78227=:9hn1=::4}r3b1?6=:r7:m84>759>5g1=<11v<7k:18187e?3;<863>cc854>{t9ho1<7<t=0`4>40534;ji7?84:p5c3=839p1<h9:72894`02?:01<ml:057?xu6n=0;6?u21d:9522<58ih6<?;;|q2b6<72;q6=i;5166894ed28;87p}=5b83>6}::<n1:=5224g925=:::91=::4}r06f?6=:r798k4>759>665=9890q~<:a;296~;5;j0:;9522219542<uz8j57>55z?1e5<6?k16>l?516`897gf28=?70<n4;34f>;5i<0:;o5rs3c5>5<4s48j:7?8d:?1e2<18279ml4>0g9~w7g?2909w0<n8;34`>;5ih0:==5rs3c4>5<4s48j:7:9;<0b3?70l279m5490:p61g=838p1?:i:5:8972b28=?7p}=4883>7}::=n1=::4=36f>1><uz8?47>52z?10f<6?=16>9j5499~w7202909w0<;b;340>;5<j0?46s|24494?4|5;>n6<68;<063?70<2wx>8:50;0x972c282<70<:5;340>{t:<81<7<t=36`>4>0348>?7?84:p606=838p1?:m:0:4?84293;<86s|24:94?4|5;>m6<68;<06=?70<2wx>>950;0x975d2=201?=m:057?xu5;?0;6?u222c9522<5;9i6964}r001?6=:r79?44>759>66g=<11v?=;:18184403;<863=3887<>{t:=91<7<t=31a>4>0348?87?84:p617=838p1?=n:0:4?843:3;<86s|22d94?4|5;926<68;<074?70<2wx>>j50;0x975?282<70<<e;340>{t:=?1<7<t=31`>4>0348?:7?84:p5`5=838p1<k7:5:894c028=?7p}>e383>7}:9l<1=::4=0g4>1><uz;n=7>52z?2a0<6?=16=h85499~w4c72909w0?j4;340>;6m<0?46s|1dd94?4|58o<6<68;<3e4?70<2wx=hj50;0x94c1282<70?je;340>{t9lh1<7<t=0g6>4>034;no7?84:p5`?=838p1<k;:0:4?87bi3;<86s|1g394?4|58o36<68;<3e6?70<2wx=i>50;0x94b22=201<j;:057?xu6ko0;6?u21e19522<58n?6964}r3`a?6=:r7:h?4>759>5a5=<11v<mk:18187c93;<863>d387<>{t9mi1<7<t=0f7>4>034;oh7?84:p5ag=838p1<j<:0:4?87cj3;<86s|1e:94?4|58n96<68;<3g=?70<2wx=i850;0x94b6282<70?k7;340>{t9mo1<7<t=0f6>4>034;oj7?84:p65g=83>p1??=:037?84683;:?63=128251=::921=::4}r031?6=:r79=8490:?2bg<6?=1v?>9:181846>3<;70?ic;340>{t:9=1<7<t=334>36<5;:26<9;;|q14g<72;q6><65619>65e=9>>0q~<?d;296~;5900=<63=0d8231=z{;:m6=4={<02e?07348:<7?84:p647=838p1??m:728977528=?7p}=1283>7}::8i1:=522019522<uz8=j7>54z?132<69=16>:;51018971?28;?70<9d;340>{t:?k1<7<t=35b>36<5;<;6<9;;|q12g<72;q6>:l5619>637=9>>0q~<9c;296~;5?j0=<63=6d8231=z{;=;6=4={<04`?07348<=7?84:p624=838p1?9j:728971428=?7p}=7583>7}::>l1:=522679522<uz8<:7>52z?1<5<18279;:4>759~w71?2909w0<71;43?84003;<86s|16294?4|5;;?6<9;;<027?76;2wx=:?50;0x971>28=?70<88;327>{t9o31<7;t=305>10<5;8o6984=30e>10<5;9:6984=0db>4133ty9>:4?:3y>670=>916>?951668yv45m3:1>v3=2d8231=::;n1:=5rs313>5<5s488<7?84:?16c<182wx>><50;0x975528=?70<<1;43?x{i=<31<7?tH3cg?xh2=h0;6<uG2`f8yk32j3:1=vF=ae9~j03d290:wE<nd:m10b=83;pD?ok;|l61`<728qC>lj4}o76b?6=9rB9mi5rn443>5<6sA8jh6sa57394?7|@;ko7p`:6383>4}O:hn0qc;93;295~N5im1vb88;:182M4fl2we9;;50;3xL7gc3td>:;4?:0yK6db<ug?=;7>51zJ1ea=zf<<36=4>{I0b`>{i=?31<7?tH3cg?xh2>h0;6<uG2`f8yk31j3:1=vF=ae9~j00d290:wE<nd:m13b=83;pD?ok;|l62`<728qC>lj4}o75b?6=9rB9mi5rn453>5<6sA8jh6sa56394?7|@;ko7p`:7383>4}O:hn0qc;83;295~N5im1vb89;:182M4fl2we9:;50;3xL7gc3td>;;4?:0yK6db<ug?<;7>51zJ1ea=zf<=36=4>{I0b`>{i=>31<7?tH3cg?xh2?h0;6<uG2`f8yk30j3:1=vF=ae9~j01d290:wE<nd:m12b=83;pD?ok;|l63`<728qC>lj4}o74b?6=9rB9mi5rn4:3>5<6sA8jh6sa59394?7|@;ko7p`:8383>4}O:hn0qc;73;295~N5im1vb86;:182M4fl2we95;50;3xL7gc3td>4;4?:0yK6db<ug?3;7>51zJ1ea=zf<236=4>{I0b`>{i=131<7?tH3cg?xh20h0;6<uG2`f8yk3?j3:1=vF=ae9~j0>d290:wE<nd:m1=b=83;pD?ok;|l6<`<728qC>lj4}o7;b?6=9rB9mi5rn4;3>5<6sA8jh6sa58394?7|@;ko7p`:9383>4}O:hn0qc;63;295~N5im1vb87;:182M4fl2we94;50;3xL7gc3td>5;4?:0yK6db<ug?2;7>51zJ1ea=zf<336=4>{I0b`>{i=031<7?tH3cg?xh21h0;6<uG2`f8yk3>j3:1=vF=ae9~j0?d290:wE<nd:m1<b=83;pD?ok;|l6=`<728qC>lj4}o7:b?6=9rB9mi5rn4c3>5<6sA8jh6sa5`394?7|@;ko7p`:a383>4}O:hn0qc;n3;295~N5im1vb8o;:182M4fl2we9l;50;3xL7gc3td>m;4?:0yK6db<ug?j;7>51zJ1ea=zf<k36=4>{I0b`>{i=h31<7?tH3cg?xh2ih0;6<uG2`f8yk3fj3:1=vF=ae9~j0gd290:wE<nd:m1db=83;pD?ok;|l6e`<728qC>lj4}o7bb?6=9rB9mi5rn4`3>5<6sA8jh6sa5c394?7|@;ko7p`:b383>4}O:hn0qc;m3;295~N5im1vb8l;:182M4fl2we9o;50;3xL7gc3td>n;4?:0yK6db<ug?i;7>51zJ1ea=zf<h36=4>{I0b`>{i=k31<7?tH3cg?xh2jh0;6<uG2`f8yk3ej3:1=vF=ae9~j0dd290:wE<nd:m1gb=83;pD?ok;|l6f`<728qC>lj4}o7ab?6=9rB9mi5rn4a3>5<6sA8jh6sa5b394?7|@;ko7p`:c383>4}O:hn0qc;l3;295~N5im1vb8m;:182M4fl2we9n;50;3xL7gc3td>o;4?:0yK6db<ug?h;7>51zJ1ea=zf<i36=4>{I0b`>{i=j31<7?tH3cg?xh2kh0;6<uG2`f8yk3dj3:1=vF=ae9~j0ed290:wE<nd:m1fb=83;pD?ok;|l6g`<728qC>lj4}o7`b?6=9rB9mi5rn4f3>5<6sA8jh6sa5e394?7|@;ko7p`:d383>4}O:hn0qc;k3;295~N5im1vb8j;:182M4fl2we9i;50;3xL7gc3td>h;4?:0yK6db<ug?o;7>51zJ1ea=zf<n36=4>{I0b`>{i=m31<7?tH3cg?xh2lh0;6<uG2`f8yk3cj3:1=vF=ae9~j0bd290:wE<nd:m1ab=83;pD?ok;|l6``<728qC>lj4}o7gb?6=9rB9mi5rn4g3>5<6sA8jh6sa5d394?7|@;ko7p`:e383>4}O:hn0qc;j3;295~N5im1vb8k;:182M4fl2we9h;50;3xL7gc3td>i;4?:0yK6db<ug?n;7>51zJ1ea=zf<o36=4>{I0b`>{i=l31<7?tH3cg?xh2mh0;6<uG2`f8yk3bj3:1=vF=ae9~j0cd290:wE<nd:m1`b=83;pD?ok;|l6a`<728qC>lj4}o7fb?6=9rB9mi5rn4d3>5<6sA8jh6sa5g394?7|@;ko7p`:f383>4}O:hn0qc;i3;295~N5im1vb8h;:182M4fl2we9k;50;3xL7gc3td>j;4?:0yK6db<ug?m;7>51zJ1ea=zf<l36=4>{I0b`>{i=o31<7?tH3cg?xh2nh0;6<uG2`f8yk3aj3:1=vF=ae9~j0`d290:wE<nd:m1cb=83;pD?ok;|l6b`<728qC>lj4}o7eb?6=9rB9mi5rn723>5<6sA8jh6sa61394?7|@;ko7p`90383>4}O:hn0qc8?3;295~N5im1vb;>;:182M4fl2we:=;50;3xL7gc3td=<;4?:0yK6db<ug<;;7>51zJ1ea=zf?:36=4>{I0b`>{i>931<7?tH3cg?xh18h0;6<uG2`f8yk07j3:1=vF=ae9~j36d290:wE<nd:m25b=83;pD?ok;|l54`<728qC>lj4}o43b?6=9rB9mi5rn733>5<6sA8jh6sa60394?7|@;ko7p`91383>4}O:hn0qc8>3;295~N5im1vb;?;:182M4fl2we:<;50;3xL7gc3td==;4?:0yK6db<ug<:;7>51zJ1ea=zf?;36=4>{I0b`>{i>831<7?tH3cg?xh19h0;6<uG2`f8yk06j3:1=vF=ae9~j37d290:wE<nd:m24b=83;pD?ok;|l55`<728qC>lj4}o42b?6=9rB9mi5rn703>5<6sA8jh6sa63394?7|@;ko7p`92383>4}O:hn0qc8=3;295~N5im1vb;<;:182M4fl2we:?;50;3xL7gc3td=>;4?:0yK6db<ug<9;7>51zJ1ea=zf?836=4>{I0b`>{i>;31<7?tH3cg?xh1:h0;6<uG2`f8yk05j3:1=vF=ae9~j34d290:wE<nd:m27b=83;pD?ok;|l56`<728qC>lj4}o41b?6=9rB9mi5rn713>5<6sA8jh6sa62394?7|@;ko7p`93383>4}O:hn0qc8<3;295~N5im1vb;=;:182M4fl2we:>;50;3xL7gc3td=?;4?:0yK6db<ug<8;7>51zJ1ea=zf?936=4>{I0b`>{i>:31<7?tH3cg?xh1;h0;6<uG2`f8yk04j3:1=vF=ae9~j35d290:wE<nd:m26b=83;pD?ok;|l57`<728qC>lj4}o40b?6=9rB9mi5rn763>5<6sA8jh6sa65394?7|@;ko7p`94383>4}O:hn0qc8;3;295~N5im1vb;:;:182M4fl2we:9;50;3xL7gc3td=8;4?:0yK6db<ug<?;7>51zJ1ea=zf?>36=4>{I0b`>{i>=31<7?tH3cg?xh1<h0;6<uG2`f8yk03j3:1=vF=ae9~j32d290:wE<nd:m21b=83;pD?ok;|l50`<728qC>lj4}o47b?6=9rB9mi5rn773>5<6sA8jh6sa64394?7|@;ko7p`95383>4}O:hn0qc8:3;295~N5im1vb;;;:182M4fl2we:8;50;3xL7gc3td=9;4?:0yK6db<ug<>;7>51zJ1ea=zf??36=4>{I0b`>{i><31<7?tH3cg?xh1=h0;6<uG2`f8yk02j3:1=vF=ae9~j33d290:wE<nd:m20b=83;pD?ok;|l51`<728qC>lj4}o46b?6=9rB9mi5rn743>5<6sA8jh6sa67394?7|@;ko7p`96383>4}O:hn0qc893;295~N5im1vb;8;:182M4fl2we:;;50;3xL7gc3td=:;4?:0yK6db<ug<=;7>51zJ1ea=zf?<36=4>{I0b`>{i>?31<7?tH3cg?xh1>h0;6<uG2`f8yk01j3:1=vF=ae9~j30d290:wE<nd:m23b=83;pD?ok;|l52`<728qC>lj4}o45b?6=9rB9mi5rn753>5<6sA8jh6sa66394?7|@;ko7p`97383>4}O:hn0qc883;295~N5im1vb;9;:182M4fl2we::;50;3xL7gc3td=;;4?:0yK6db<ug<<;7>51zJ1ea=zutwKLNu8968:<0650=hvLMLt0|BCT~{GH
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x34fw.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3g744<,[o}e~g`n;"2*736(-;0<<>40123456789:;<=>?1193456789:;<=??01224>6789:;<=:?0123454<81>0<5HI1:32?7773;:;<=>=01034567:=19<IH;;31077=5I880?94FNQWW>aoi4:91<3?;;269KPRW]]0ocxz33283:46<<=>?89:;0123056702?O98;>543827=18880:7GAPTV9EABUI5?1<3?=;78JJUSS2HNO^O2::1<26>0=AGZ^X7OKDSA?1?699;1=6D@_UU8B@ATC4<0;2k59smz71q``o=2?!???;923<767:=:;8<>?1028<56?<9:?>=>=112255=?8=:9<<>?8163657?31>9==>?059;A=G63080M=<4A008E74<I:80M9<4A408E32<IH<J46OKDSC?4;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K783o4AEFQE93=8720MIJ]A=7=<>GCL[H7<364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;g<IMNYN1;50?:8EABUJ5?546OKDSA?4;><IMNYO1?18:CG@WE;:720MIJ]C=1=<>GCL[I783o4AEFQG93=8720MIJ]C=7=<>GCL[N7<364AEFQ@97902KOH_J32?:8EABUL59546OKDSF?0;g<IMNYH1;50?:8EABUL5?556O\YOA\V@A53K:37OONA9CBE==EMHKJMLO7;CWP[LHAG81H46M9CBA@GF4<KL;97NFJCJ]OMFCI[LU_U]K=;BK7?FJL8?1H@F?7079@HN408<1H@F<W8:AOO7^609?0OAE6049@HNG6?2IGGL?K269@HNG6L==0OAEN1E:6?FJLJ8?0OAEL149@HNB6=2IGGIXl;BNH@SYCA_COI85LLJD[<>EKCOR:4==4CMP:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II?4D39GM6=CAH90HDL<;EK@7>BNL:1OCL=4DN@0?AID;2NDH>5KPN78@UTF8<1O\_O>5:FSVD423MZYM>;4DQPB00=CX[K>==5I06:E4C6A8O:M<K>=;G06?CGK[L80JI=4FEA7?CBDM:1MH]:4FERF55=ANOLMJKH?8GD3<5>63N90KCJ>;H08M54<A880E?<4I208M14<A<30ECG[SUCWA3=NF@^TM;5FNHV\F3=NF@^TO;5FNHV\@6=NF_80@D84LNCGAA0<DFI@II94LNEJGDJ33E__>95CUU17?ISS<=1GYY;;;MWW20=J[NEE96CZXB[6?HS_N>i0Ald`rWgqwliik2Gbbb|Yesqjkk><E{kfS`kb2:L26>H5:2D8>6@;2:L63>HB\^EYG<5@7:M-05bXD=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB12Z@SEBZTOO0?UTB>2ZXHB@J1:S5?WGJ>=G37_OB65Z2@4=T>2YDY_MJ3:QSK3=T\H^^_95[YQG56>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nx:"hxfu-Nip~XZHGT^IQJN^DTBQ76>=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}1/pescr(EdsS_OB_SF\AKYA_O^:=R?98:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+bt6&{l|jy!Bmtz\VDKXZMUNBRHXFU32[fcwg}<n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&GfyuQ]AL]Q@ZCIWO]MX<?PcdrlpZOI^V:<:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%FaxvPgnkmcZcjx}sTjzh{_QUQ[73XgVG^TR8:_n321g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,VDKXZLMDYYQJN046?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{;%~kyit.avvwYao~Tyo{e^DPIZ@Al8<37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gs3-vcqa|&i~~Qiwgv\wqgsmVLXARHId0/Jj3?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~< }fvdw+fsuzVl|jyQ|t`vf[CUJWOLo= Ga1778Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`z8$yjzh{/bwqvZ`pn}Uxxlzj_GQN[C@c:?20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk2,Km2<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my=#|iwgv,gptuWo}mxR}{aug\BVKXNOn9!D`>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au9'xm{kz elrw}Z`pn}Umn874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dv4(un~l#hctx]escrXa?l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'nebbjQjmqvz[cqa|VZ\^R<:_n]NQ]Y1=Ve>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fp2*w`pn}%~h|Pv`nj`=g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'DkohRy}_qlwvZtcWykoW;SPre]sjqtXag|T|ljT6\]b`aY5WF__Snw30?:b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*KflmU|~R~ats]q`ZvflR<VSjPpovq[lhqWykoW;SPaef\6ZIR\Vir0<07a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-NeabX{U{by|Pre]sea]1UVxoS}`{r^kmrZvflR<VSljk_3]LQQYdq5854l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k M`fg[rtXxg~ySjPp`fX2XYulVzexQfnw]sea]1UVkohR<POTV\g|:461k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cuc)zmzn#@okd^uq[uhszVxoS}ok[7_\vaYwf}xTecxPp`fX2XYflmU9SB[[_b{?0;>f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&GjhiQxr^rmpwYulVzjhV8R_sf\tkruW`d}S}ok[7_\eabX:VE^XRmv<4<;f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)JimnT{Qnup\vaYwimQ=QR|k_qlwvZoi~VzjhV8R_`fg[7YH]]Ugyy2>>9`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+HgclV}yS}`{r^pg[ugcS?WT~iQnup\mkpXxhnP:PQnde]1[JSSWe0?07b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-NeabX{U{by|Pre]sea]1UVxoS}`{r^kmrZvflR<VSljk_3]LQQYk}}6825l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)oyo%~i~j/Lcg`ZquWyd~R|k_qcg_3[XzmU{by|Piot\tdb\>TUjhiQ=_NWW[iss4=43n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!Baef\swYwf}xT~iQaeY5YZtcWyd~Rgav^rb`^0ZWhnoS?Q@UU]oqq:261h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cuc)zmzn#@okd^uq[uhszVxoS}ok[7_\vaYwf}xTecxPp`fX2XYflmU9SB[[_mww838?j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/esa+tcxl%FmijPws]sjqtXzmU{miU9]^pg[uhszVcezR~ndZ4^[dbcW;UDYYQwos>2:=d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'DkohRy}_qlwvZtcWykoW;SPre]sjqtXag|T|ljT6\]b`aY5WF__Sua}<3<;f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)JimnT{Qnup\vaYwimQ=QR|k_qlwvZoi~VzjhV8R_`fg[7YH]]Usc2<>9`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+HgclV}yS}`{r^pg[ugcS?WT~iQnup\mkpXxhnP:PQnde]1[JSSWqey0907b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-NeabX{U{by|Pre]sea]1UVxoS}`{r^kmrZvflR<VSljk_3]LQQYg{6>25l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)oyo%~i~j/Lcg`ZquWyd~R|k_qcg_3[XzmU{by|Piot\tdb\>TUjhiQ=_NWW[}iu4?4<:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!Bmtz\twi`Wlg{xtQf_QUQ[75XgVG^TR;9_n321g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(Wg:;84Qfnw7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*Yi89>2Sd`y1628Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%k}k!rerf+aoanVzexU:]^EM@Z40WfUn}xoc_9]l31=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc(l`lmS}`{rZ7^[BHCW;=TcRk~u`n\<Zi;>7;==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!kigd\tkruWyko0<091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-gmc`Xxg~yS}ok<3<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)caolT|cz}_qcg868192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/esa+tcxl%oekhPpovq[ugc4=4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+awm'xo|h!kigd\tkruWyko08091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-gmc`Xxg~yS}ok<7<54>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ie/pgt`)caolT|cz}_qcg[4073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&nbjkQnup\tdbX:?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cuc)zmzn#igif^rmpwYwimU8:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`xl$yh}k dhde[uhszVzjhR:90:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-gmc`Xxg~yS}ok_443?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"j~j.sfsa*bnnoU{by|Pp`f\20b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'yxdkRkbpu{\m3`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'yxdkRkbpu{\mZVPZV88SbQBUY]62Zi2k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/esa+tcxl%ym`Q}d^gm[l3d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.frf*wbwm&xjaRy}_dl\m34<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'~xT|cz}_sf\tdb;87<87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"y}_qlwvZtcWyko0=0>839V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,swYwf}xT~iQaeY5YZtcWyd~Rgav^rb`^0ZWhnoS?Q@UU>2:=4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gqg-vavb'~xT|cz}_sf\tdb\>TUyhR~ats]jjsYwimQ=QRokd^0\KPR;:7297X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bvb&{n{i"y}_qlwvZtcWykoW;SPre]sjqtXag|T|ljT6\]b`aY5WF__0>072:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'m{i#|kpd-tvZvi|{UyhR~ndZ4^[wbXxg~ySd`y_qcg_3[XimnT>RAZT=6=<7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hpd,q`uc({U{by|Pre]sea]1UVxoS}`{r^kmrZvflR<VSljk_3]LQQ:26180Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cuc)zmzn#z|Ppovq[wbXxhnP:PQ}d^rmpwYnfU{miU9]^cg`Z4XG\^7:39?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"Cbuy]dklh`Wlg{xtQ_WS]14ZiXE\RT89Q`1638Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ47WfUFYUQ;4^m2527<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV8;SbQBUY]70Zi6:>;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'Dg~tRi`ioe\ahvsqVZ\^R<?_n]NQ]Y3<Ve:?:?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#@czx^elmkaXmdzuR^XR^03[jYJ]QU?8Ra>4618Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ47WfUFYUQ;4^m20Z@0;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTkbgag^gntqXX^XT>=Q`_LW[[12Xg8>TK8=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#nabp1367>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv7:?k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'nebbjQjmqvz[UQUW;:TcRCZX^67[j3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPfc]j2c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Mlw{[be7&je~byU:]^EM@Z46WfUfyuQ=_NWW640a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Onq}Y`k9$hcx`{[4_\CKBX:8UdS`{w_3]LQQ56=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfex1?1549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp949=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfex1=1549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp929=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfex1;16c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^3ZWNDOS??Po^ov|Z4XG\^7=38m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX1XY@FMU9=RaPmtz\6ZIR\585:o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ7^[BHCW;;TcRczx^0\KPR;;7<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT5\]DJAY59VeTaxvP2^MVP9599?h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU:]^EM@Z46WfUfyuQ=_NWW8181k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW8SPGOF\64YhWdsS?Q@UU>7:40e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P9PQHNE]15ZiXe|rT>RAZT=7=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R?VSJ@K_33\kZkrpV8TCXZ35?360>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW8??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP2468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY4==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR::4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[03?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<2?>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95;5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2878202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?33?7;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl86?2864U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5939=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_17:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U;S<;j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y7W8Uo|bz:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X8Vn{cy;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y6=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_0]212=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S?;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y5W8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]1[7303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q<589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W:U:9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[13>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q;_074?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U>945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[0Y6191^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY5YZtcWyd~Ry}_hlu[ugcS?WTmijP2^MVPZe~4942<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSSWjs7=37?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^Tot2=>828Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclV}yS}`{r^roqv7XxhnP:PQ}d^rmpwYpzVcezR~ndZ4^[dbcW;UDYYQly=1==5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU9]^pg[uhszV}ySd`y_qcg_3[XimnT>RAZT^az818>82_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ4^[wbXxg~ySz|Piot\tdb\>TUjhiQ=_NWW[f;=73:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_3[XzmU{by|Pws]jjsYwimQ=QRokd^0\KPRXd|~7=37>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^T`xz32?;2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmU|~R~ats]shpu6WykoW;SPre]sjqtX{Ubb{QaeY5YZgclV8TCXZPltv?7;?63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[7_\vaYwf}xT{Qfnw]sea]1UVkohR<POTV\hpr;<73:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_3[XzmU{by|Pws]jjsYwimQ=QRokd^0\KPRXd|~7937>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^T`xz36?;2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmU|~R~ats]shpu6WykoW;SPre]sjqtX{Ubb{QaeY5YZgclV8TCXZPxnp?5;?63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[7_\vaYwf}xT{Qfnw]sea]1UVkohR<POTV\|jt;:73:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_3[XzmU{by|Pws]jjsYwimQ=QRokd^0\KPRXpfx7?37>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS?WT~iQnup\swYnfU{miU9]^cg`Z4XG\^Ttb|34?;2?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmU|~R~ats]shpu6WykoW;SPre]sjqtX{Ubb{QaeY5YZgclV8TCXZPxnp?1;?63\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[7_\vaYwf}xT{Qfnw]sea]1UVkohR<POTV\|jt;>7=>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cbuy]svjaXn|fgSdQHNE]02ZiXE\RT;8Q`107a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*Yi89?:Sd`y5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,[k67=8Ubb{?80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yW8SPGOF\73YhWl{~maQ7_n57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|T5\]DJAY4>VeTi|{nl^:\k9099?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwim6:2;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{mi2=>738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]sea:36?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwim6>2;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{mi29>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+aoanVzexQae]225=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(l`lmS}`{r^rb`Z4182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugcW:<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"jffg]sjqtXxhnT8;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/ekebZvi|{U{miQ:619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,`l`aWyd~R~nd^46g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)wzfmTjxbc_h4f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*vugnUmyabPi^EM@Z51WfUFYUQ85^m6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)uidUyhRka_h7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*tfeV}ySh`Pi7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]sea:76Vgjbi?78:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-tvZvi|{U{`x}>_qcg_3[XzmU{by|Pws]jjsYwimQ=QRokd^0\KPR;97237X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"y}_qlwvZvk}z;T|ljT6\]q`Zvi|{U|~Rgav^rb`^0ZWhnoS?Q@UU>1:=><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'~xT|cz}_qnvw4YwimQ=QR|k_qlwvZquW`d}S}ok[7_\eabX:VE^X1=1899V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,swYwf}xT|a{|1^rb`^0ZW{nT|cz}_vp\mkpXxhnP:PQnde]1[JSS4=4346[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!xr^rmpwYwd|y:S}ok[7_\vaYwf}xT{Qfnw]sea]1UVkohR<POTV?1;>?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&}yS}`{r^roqv7XxhnP:PQ}d^rmpwYpzVcezR~ndZ4^[dbcW;UDYY29>608Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/Lov|ZtfeVl~`aQlol`\CKBX;<UdS@[W_7;\k4143\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*Kj}qUym`Qiumn\gjkeWNDOS>;Po^OV\Z0>Wf;:;>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$A`{w_scn[cskdVidaoQHNE]01ZiXE\RT:4Q`1350?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW:?TcRCZX^4:[j74?:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(EdsSob_gwohZehekULBIQ<5^m\IP^X>0Ud=99:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"Cbuy]qehYa}efTobcm_FLG[63XgVG^TR86_n37[C123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*Kj}qUym`Qiumn\gjkeWNDOS>;Po^OV\Z0>Wf;?SJ8l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"|nm^dvhiYdgdhTKCJP34]l[HS_W?3Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4133\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^3ZWNDOS>=Po^ov|Z4XG\^9=::4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW8SPGOF\76YhWdsS?Q@UU121d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by2>>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7>3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphs4:4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp929=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu>6:26<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczT5\]DJAY4;VeTaxvP2^MVP979?91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznuY6YZAILV98SbQbuy]1[JSS4;4<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^3ZWNDOS>=Po^ov|Z4XG\^7?39>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS<WTKCJP32]l[hsW;UDYY2<>053?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW8SPGOF\76YhWdsS?Q@UU>7:27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczT5\]DJAY4;VeTaxvP2^MVP9299>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX1XY@FMU8?RaPmtz\6ZIR\5?5;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjq]2UVMEHR=<_n]nq}Y5WF__080>589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}U:945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqY5=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]01<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byQ;589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}U>9i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95:59i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95;59i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95859i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95959i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95>59i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc95?59n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V:>i6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W9U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V;>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W;?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X;<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y3=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z32;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z|Ppovq[ujr{86;2974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:76=k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>24;2f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRg310<7e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}Ub0<<14`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXa5;829o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:6<7>j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k?5083i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<04=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1?8>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6:43:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;904?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8483i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf<32=0d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~Te1<>>5c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`69>3:n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZo;::4?m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j8729<h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi=06:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2=6?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7>:0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4;258l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m94>6=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>1:1g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2<0?6b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|Vc7?<0;a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYn4:858l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!kotv\m9546=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>0:1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd2;>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`6>2974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[l:16=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h>4:1?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSd27>5;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`622964U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY7<11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ocxzPi^37=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<>;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW8;?56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[44312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_017=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<:;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW8??56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[40312_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&ndyyQf_057=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*bh}}UbS<6;9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW83?46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"j`uu]j[72>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP216:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT><:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:;>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\662>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP256:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>8:6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX:?>27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\622>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'me~xRgP296:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+air|VcT>4:7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/emvpZoX;=30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]041?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ<15;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,`jssW`U8>974U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc dnww[lY4;=20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$hb{{_h]70==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)cg|~TeR;;8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.flqqYnW?>37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#iazt^k\31><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(lfSdQ7499V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-gkprXaV3><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+HtfeVXJA<;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(E{kfS_OB1172?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Aob_SCN54363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ9;?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,IwgjW[KF>8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)JzhgT^LC<519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Gym`Q]AL664>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#@|nm^PBI0373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic Mscn[WGJ><:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-NvdkXZHG<9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*KuidUYM@6:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'DxjaR\NM873?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$Sc>?17>3:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12229776<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566>5;:28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9:::1?=>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>6=30:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn12229736<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566>5;>28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9:::1?9>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>6=34:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!Pn122297?6<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-\j566>5;228>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9:::1?1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<32=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01358779=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45714;859<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;=0?=1509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<36=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa01358739=81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45714;<59<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*Yi89;=0?91509V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&Ue<=?9<3:=14=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa013587?9=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.]m45714;4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788<7?=0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'Vd;<<8330<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#R`?004?778292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;;:4><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+Zh788<7?3;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(Wg:;=;2;>428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%Tb=>>6=7=15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"Qa0135838282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/^l3440;?7?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,[k679?6328>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)Xf9:::171519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf<1<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?558292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;984>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=?0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg312<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?518292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;9<4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=;0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg316<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k?5=8292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZo;904><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc7=3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=0?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>15;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:5:7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`69?3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=4?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>11;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:5>7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`69;3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSd2=8?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>1=;373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[l:56<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa59;28?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~Te1=>>438Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi=11:07<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m9546<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXa5959=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}Ub090:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRg35?73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h>5:06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\m919=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYn414><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|Vc753:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ?559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_1]gtjr3n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;;985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<>Pdqmw15=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[472=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX98Uo|bz:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP1376?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]26Zbwg}?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U:?8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR?<_erlp06<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ73=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW8>Th}a{519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_0761>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\50Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT=;;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ>6^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY6?<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV;<Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^3;10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[4>Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS<7:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP18]gtjr2<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX9Vn{cy:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_3261>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\65Ycxf~><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT><;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=1^fskq373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY5:<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$kic!dl-gkprXaV89Si~`t428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^0010=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[75Xlye9=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hdl,gi*bh}}UbS?::5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP25]gtjr282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX:<?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U99Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]1203<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ41Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR<8549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_35\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW;2>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT>5Qkpnv64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*ace'nf#iazt^k\6<323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY51Vn{cy;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/ffn*ak(lfSdQ=_erlp1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ5282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&moa#jb/emvpZoX;9?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jjb.eo,`jssW`U8<Rjou73?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]0503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(omg%h`!kotv\mZ56Wmzdx8>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR==549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-d`h(ce&ndyyQf_20\`uis=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW:9>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT?Rjou6e?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bbj&mg$hb{{_h]711=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[1Ycxf~?j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"ikm/fn+air|VcT98:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR;Pdqmw0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`ld$oa"j`uu]j[3333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY1Wmzdx9h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc geo-`h)cg|~TeR9:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP7^fskq2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nnf"ic dnww[lY?==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%lh` km.flqqYnW1Uo|bz;f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.egi+bj'me~xRgP9468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cak)ld%ocxzPi^;\`uis<k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ym`Q}d^gm[l503\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qehYumne~xRka329V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#ob_vp\ak2d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>3:1e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg=0=0g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_16a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}UyijQ=4b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0=0;c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.vp\vvrXzlm7=3:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU;8o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`W89o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumn>;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnUo=9>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXizxnkRj=3b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l<d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vidao?<f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l${Qnup?4;Ynf;TECXP0b9VW@TX^@YBNAK6;WKFSZR^XL>0[NBD2:UF5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDOn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx;m4_^][HKKXWV:TSR[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3\4Z7Xlye;k5P_^ZOJHYXW8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_0[XOGNT?>Q`_lw{[7YH]]682<9n;^]\\IHJWVU9SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ7^[BHCW;;TcRczx^0\KPR;;7;<;6QP_YNMIZYX;VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hr0,qbr`s'jy~Rhxfu]ppdrbWOYFSKHk2,Km53g<WVUS@CCP_^6\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)Xf9:>=Rgav04b?ZYXPEDFSRQ:_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$l|h }dqg,[k67<0Ubb{?72:]\[]JIEVUT:RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW8SPGOF\76YhWdsS?Q@UU023a=XWVRGB@QP_6]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cbuy]dg5(dg|dW8SPGOF\64YhWdsS?Q@UU027>ekc>1hc`~nrd33?frudVlbjb8;x26es7c<k}xgSkgio76{71`p$ocmcRijndpbpjtX~1U: CAAE-LLJ@771k1hxbPfhdl21~4<o}'jdh`_fgmawgsg{U}4R?#{b]b`aYiojUhcc}{r`kmp86+kVk{|aglaukljZr~xlUjtd}20-a\euvkajkeb`Ptxrf[wgd`4:'oRopmk`eqohfV~r|hQ}dbj>4)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4;'oRm`uov\p|vb59&hSio{a^alqkrX`nd09#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:;=&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe3<4-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y6<!mPesplvZoiblieb`Ptxrf[wgd`4:'oRk}rnp\mklbk}cdbRzvpd]q`fn:8%iTi|`r^kmn`esafdTxt~j_vc`l86+kVoy~b|PiohfgqohfV~r|hQxdbj>4)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7; nQgar]bhhit|Vof|yw20-a\lduXiegdyQiumn>4)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6<!mPh`q\e}oXzmUhdl`aem?3(fYoizUjtdQ}urgq95*dWakxSlvf_vp\gmgiflf6<!mPh`q\e}oX|yn~0>#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7; nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>5)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs59&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k20-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`48'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>6)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0<#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa84+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb20-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7; nQrdnleaYumny6=!mPpskn[coagV~r|h3:13z02)eXx{elShctx]wlwct`Vkxh|{_wco91*dWyxdkRkbpu{\pmtb{aUj~k}t^tbhZgaz7:<?<#c^rqkbYbey~rSyf}erj\evubz}U}maQ}abj>5545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf69!mPpsmd[`kw|pUu}k21-a\twi`Wlg{xtQ{yqg\e}ot5<&hS}|`g^gntqX|pznSolh<7/gZvugnUna}zv_u{saZtcka7> nQrne\ahvsqV~r|hQxabj>1)eXx{elShctx]w}ucXmic18"l_qplcZcjx}sTxt~j_vp`l83+kVzycjQiumn\pmtb{aUj~k}t^tbh855$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;49%iT|ah_gwohZr~xl7: nQrne\bpjkW}s{iRowir?6(fYwzfmTjxbc_u{saZtfka7> nQrne\bpjkW}s{iR|kci?6(fYwzfmTjxbc_u{saZqfka7> nQrne\bpjkW}s{iRykci?6(fYwzfmTjxbc_u{saZquka7> nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=6.`[wbXllzd08;,b]q`Z`umx7: nQ}d^rmpwYpam~c1;"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQznegqbiipWee|1="l_tqf[fijefdTc{k}fmmt95*dW|ynSnabmnl\qkbbzofd{0>#c^wpaZbbnhgxR}jtukmcv;7$jU~hQkotv\vvr:9%iTy~kPeba>4)eX}zoTinmPaykp95*dW|ynShml_sc`l86+kVxiRklc^pggm;7$jU~hQjcb]tefn:8%iTy~kPeba\saeo59&hSx}j_da`[rtd`4:'oR{|e^gnf`bcmmUyij3?,b]vw`Yaaoe::Rhcafq>4)eX}zoTjzh{_ecweZeh}g~6=!mPv`nj`Zjh4:'oRynci]w}uc:8%iT{img_u{sa86+kV}ySlmd_mmt95*dW~xThlzn_bmvjqYpam~c1:"l_vp\``vs`4<? nQxr^fftqnXiqcx1<>=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=7.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x`<k|xySkyit^qweqc43mnf:6jfn=2=3>bnf5;;2:5kio>25;1<l`d7=?08;ekm8459?2nbb1?;>69gmk:6=7=0hd`317<4?aoi48=5;6jfn=3;:2=cag6:5384dhl?5;1<l`d7>=08;ekm8779?2nbb1<=>69gmk:5;7=0hd`325<4?aoi4;?5;6jfn=05:2=cag69;394dhl?6=803mce0?716:fjj949?2nbb1=?>69gmk:497=0hd`333<:?aoi4:91<394dhl?76813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce040;;eofi2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?:8`jss4:;546j`uu>06;g<lf0>=50?:8`jss4:95;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss404>7hctx35?coagVmnbh|ntnp\vdkaaoe:>6hffn]dakcui}eySya129emciXoldn~lz`r^t;[44a3ocmcRijndpbpjtX~1U: -Vflhl{$FIUM)Mnbh|ntnp#56(69>1mekaPgdlfvdrhzV|3S<Qwsu68bpjk12ce|xzPbmi5?hcjg{s37cilbtko`d=h}}z~xRlck`9svjaXmdzu45rne\bpjkj2xoSoga_blwv0=ulVoe;6|k_guep==ulVfdkdm7;sf\t`Yt{880~iQ|t`vvwZ`kinyT~?>;sgpaqYedbUym`hffn18vvr23kgeil4ws]amkYdf}x>7z|Peo:8swYkgnch46y}_qd\wv753~xTyo{ur]ehdatWzyvLM~6d79CD}40l3L187?tS729624=i90:??jj348137`esg8<<7?4n352>3=#:?o1>;74}R7f>7152h:1=><ke279625712Y3n7<k2;29564cm:?1>:=?b:Q6a?4c:3:1=><ke2796257j2n9;i4?:082V072;=96l>5120ga63=:>9;;6x[ac83>4<6289iw^8?:351>d6=9:8oi>;526133>"5>?03h6X=6g81p?1281~5:4?;|&b5?703k8<h7>54e8eb?74jrB9:85+ad813a=]<?09wi4j:|&12a<5km1/8l4=7d9'1c<5?o1b>4j50;&b7?4>k2dj>7>4;h0:f?6=,h91>4m4n`095>=n:0k1<7*n3;0:g>hf:3807d<69;29 d5=:0i0bl<53:9j6<1=83.j?7<6c:lb6?2<3`82:7>5$`196<e<fh81965f28794?"f;382o6`n2;48?l4><3:1(l=528a8jd4=?21b>4=50;&b7?4>k2dj>764;h0:6?6=,h91>4m4n`09=>=n:0;1<7*n3;0:g>hf:3k07d<60;29 d5=:0i0bl<5b:9j6=`=83.j?7<6c:lb6?e<3`83i7>5$`196<e<fh81h65f29a94?"f;382o6`n2;g8?l4?j3:1(l=528a8jd4=n21b>5o50;&b7?4>k2dj>7??;:k1<<<72-k86?7l;oc1>47<3`8347>5$`196<e<fh81=?54i3:4>5<#i:095n5aa3827>=n:1<1<7*n3;0:g>hf:3;?76g=8483>!g42;3h7co=:078?l4?<3:1(l=528a8jd4=9?10e?6<:18'e6<51j1em?4>7:9j6d2=83.j?7<6c:lb6?7?32c9m>4?:%c0>7?d3gk96<74;h0b6?6=,h91>4m4n`095d=<a;k:6=4+a281=f=ii;0:n65f2`294?"f;382o6`n2;3`?>o51o0;6)o<:3;`?kg528n07d<6e;29 d5=:0i0bl<51d98m7??290/m>4=9b9me7<6n21b>5j50;&b7?4>k2dj>7<?;:k1<7<72-k86?7l;oc1>77<3`8<n7>5;h0g`?6=3`8n<7>5;h0g3?6=3f8h=7>5$`196f6<fh81<65`2cd94?"f;38h<6`n2;38?j4em3:1(l=52b28jd4=:21d>oj50;&b7?4d82dj>7=4;n0af?6=,h91>n>4n`090>=h:kk1<7*n3;0`4>hf:3?07b<m9;29 d5=:j:0bl<56:9l6g>=83.j?7<l0:lb6?1<3f8i;7>5$`196f6<fh81465`2c494?"f;38h<6`n2;;8?j4e=3:1(l=52b28jd4=i21d>o:50;&b7?4d82dj>7l4;n0a7?6=,h91>n>4n`09g>=h:k81<7*n3;0`4>hf:3n07b<m0;29 d5=:j:0bl<5e:9l6d`=83.j?7<l0:lb6?`<3f8ji7>5$`196f6<fh81==54o3cg>5<#i:09o=5aa3825>=h:hi1<7*n3;0`4>hf:3;976a=ac83>!g42;i;7co=:018?j4fi3:1(l=52b28jd4=9=10c?o6:18'e6<5k91em?4>5:9l6d>=83.j?7<l0:lb6?7132e9m:4?:%c0>7e73gk96<94;n0`<?6=,h91>n>4n`095==<g;i<6=4+a281g5=ii;0:565`2b494?"f;38h<6`n2;3b?>i5k<0;6)o<:3a3?kg528h07b<l4;29 d5=:j:0bl<51b98k7e4290/m>4=c19me7<6l21d>n<50;&b7?4d82dj>7?j;:m1ff<72-k86?m?;oc1>4`<3f8i=7>5$`196f6<fh81>=54o3c5>5<#i:09o=5aa3815>=h:jl1<75`2b;94?=h:mo1<75`2e794?=h:m>1<75m27a94?7=83:p(lk57b9K63d<@;<>7b9m:188yg`?290?6=4?{%cf>2><@;<i7E<95:&;0?1<a==1<75f6083>>of=3:17bo8:188yg7213:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xd6=10;694?:1y'e`<0>2B9:o5G2778 =2=92c?;7>5;h7g>5<<a?;1<75`a683>>{e:?;1<7=50;2x dc=?<1C>;l4H346?M253-386::4$9695>o3?3:17d8>:188kd1=831vn?8?:180>5<7s-kn6:;4H34a?M41=2B?>6*63;57?!>3281b8:4?::k55?6=3fk<6=44}c06b?6==3:1<v*ne;5:?M41j2B9:85G439'=6<0<2c?;7>5;h6:>5<<a?;1<75fa483>>if?3:17pl=5`83>1<729q/mh488:J12g=O:??0(5:57:k73?6=3`<:6=44i`794?=hi>0;66sm24;94?2=83:p(lk5799K63d<@;<>7)6;:69j02<722c==7>5;hc6>5<<gh=1<75rb37f>5<3290;w)oj:6:8L70e3A8=96*74;58m11=831b:<4?::kb1?6=3fk<6=44}c06`?6=<3:1<v*ne;5;?M41j2B9:85+8584?l202900e;?50;9je0<722ej;7>5;|`2fd<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:n44?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f=<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:n:4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f0<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:n94?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f6<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:n?4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f4<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:n=4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2ec<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:mh4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2ea<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:mn4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2ed<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:m44?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2e=<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:m:4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2e3<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:m84?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2e1<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:m>4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2e7<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:m<4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2g5<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:nk4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f`<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:ni4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2ff<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:no4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2f3<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:mo4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2e5<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th:5k4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`2g6<72;81<7>t$`g9e==O:?h0D?8:;[65>1}6;3;?6<;5178~ =3=:2.3:7<4$9596>"?0380e?88:188m70?2900e;=50;9j21<722c=97>5;h45>5<<g?=1<75`6983>>i113:17b8n:188m7e=83.j?7<m;oc1>5=<a;k1<7*n3;0a?kg52810e?750;&b7?4e3gk96?54i3:94?"f;38i7co=:298m71=83.j?7<m;oc1>1=<a;<1<7*n3;0a?kg52<10e>=50;&b7?553gk96=54i2394?"f;3997co=:098m66=83.j?7==;oc1>7=<a;l1<7*n3;11?kg52:10e?k50;&b7?553gk96954i3f94?"f;3997co=:498m6g=83.j?7=6;oc1>5=<a:21<7*n3;1:?kg52810e>950;&b7?5>3gk96?54i2494?"f;3927co=:298m63=83.j?7=6;oc1>1=<a:>1<7*n3;1:?kg52<10e9?50;&b7?273gk96=54i2d94?"f;3>;7co=:098m6c=83.j?7:?;oc1>7=<a:n1<7*n3;63?kg52:10e>m50;&b7?273gk96954i2`94?"f;3>;7co=:498yg7d:3:1>?4?:1y'e`<f02B9:o5G2778^10=<r;86<:514822?{#0<097)69:39'<2<53-236?5f27594?=n:?21<75f6283>>o1<3:17d8::188m30=831d::4?::m5<?6=3f<26=44o7c94?=n:j0;6)o<:3`8jd4=821b>l4?:%c0>7d<fh81=65f2883>!g42;h0bl<52:9j6=<72-k86?l4n`097>=n:>0;6)o<:3`8jd4=<21b>;4?:%c0>7d<fh81965f3283>!g42:80bl<50:9j74<72-k86><4n`095>=n;90;6)o<:208jd4=:21b>k4?:%c0>64<fh81?65f2d83>!g42:80bl<54:9j6a<72-k86><4n`091>=n;h0;6)o<:2;8jd4=821b?54?:%c0>6?<fh81=65f3683>!g42:30bl<52:9j73<72-k86>74n`097>=n;<0;6)o<:2;8jd4=<21b?94?:%c0>6?<fh81965f4083>!g42=:0bl<50:9j7c<72-k869>4n`095>=n;l0;6)o<:528jd4=:21b?i4?:%c0>16<fh81?65f3b83>!g42=:0bl<54:9j7g<72-k869>4n`091>=zj8i?6=4>1;294~"fm3k27E<9b:J120=#0=097d?j:188m4`=831b>=4?::k15?6=3`896=44i3194?=n>80;66g9c;29?l0c2900e;k50;9j2c<722c<<7>5;h52>5<<a;<<6=44i34;>5<<ghi1<75`6c83>>{e9jh1<7<=:183!gb2h20D?8m;I051>\3>3>p=>4>4;36>40=u-2>6?5+8781?!>02;1/454=;h053?6=3`8=47>5;h40>5<<a?>1<75f6483>>o1>3:17b88:188k3>=831d:44?::m5e?6=3`8h6=4+a281f>hf:3:07d<n:18'e6<5j2dj>7?4;h0:>5<#i:09n6`n2;08?l4?290/m>4=b:lb6?5<3`8<6=4+a281f>hf:3>07d<9:18'e6<5j2dj>7;4;h10>5<#i:08>6`n2;28?l56290/m>4<2:lb6?7<3`9;6=4+a2806>hf:3807d<i:18'e6<4:2dj>7=4;h0f>5<#i:08>6`n2;68?l4c290/m>4<2:lb6?3<3`9j6=4+a280=>hf:3:07d=7:18'e6<412dj>7?4;h14>5<#i:0856`n2;08?l51290/m>4<9:lb6?5<3`9>6=4+a280=>hf:3>07d=;:18'e6<412dj>7;4;h62>5<#i:0?<6`n2;28?l5a290/m>4;0:lb6?7<3`9n6=4+a2874>hf:3807d=k:18'e6<382dj>7=4;h1`>5<#i:0?<6`n2;68?l5e290/m>4;0:lb6?3<3th:ol4?:3094?6|,ho1m55G27`8L7023S>=69u>3;37>43=9?0v(5;52:&;2?4<,1=1>6*78;08m7002900e?87:188m35=831b:94?::k51?6=3`<=6=44o7594?=h>10;66a99;29?j0f2900e?m50;&b7?4e3gk96=54i3c94?"f;38i7co=:098m7?=83.j?7<m;oc1>7=<a;21<7*n3;0a?kg52:10e?950;&b7?4e3gk96954i3494?"f;38i7co=:498m65=83.j?7==;oc1>5=<a:;1<7*n3;11?kg52810e>>50;&b7?553gk96?54i3d94?"f;3997co=:298m7c=83.j?7==;oc1>1=<a;n1<7*n3;11?kg52<10e>o50;&b7?5>3gk96=54i2:94?"f;3927co=:098m61=83.j?7=6;oc1>7=<a:<1<7*n3;1:?kg52:10e>;50;&b7?5>3gk96954i2694?"f;3927co=:498m17=83.j?7:?;oc1>5=<a:l1<7*n3;63?kg52810e>k50;&b7?273gk96?54i2f94?"f;3>;7co=:298m6e=83.j?7:?;oc1>1=<a:h1<7*n3;63?kg52<10qo?lc;2967<729q/mh4n8:J12g=O:??0V9854z30>42=9<0::7s+8481?!>12;1/4:4=;%:;>7=n:?=1<75f27:94?=n>:0;66g94;29?l022900e;850;9l22<722e=47>5;n4:>5<<g?k1<75f2b83>!g42;h0bl<50:9j6d<72-k86?l4n`095>=n:00;6)o<:3`8jd4=:21b>54?:%c0>7d<fh81?65f2683>!g42;h0bl<54:9j63<72-k86?l4n`091>=n;:0;6)o<:208jd4=821b?<4?:%c0>64<fh81=65f3183>!g42:80bl<52:9j6c<72-k86><4n`097>=n:l0;6)o<:208jd4=<21b>i4?:%c0>64<fh81965f3`83>!g42:30bl<50:9j7=<72-k86>74n`095>=n;>0;6)o<:2;8jd4=:21b?;4?:%c0>6?<fh81?65f3483>!g42:30bl<54:9j71<72-k86>74n`091>=n<80;6)o<:528jd4=821b?k4?:%c0>16<fh81=65f3d83>!g42=:0bl<52:9j7a<72-k869>4n`097>=n;j0;6)o<:528jd4=<21b?o4?:%c0>16<fh81965rb0a;>5<5:3:1<v*ne;c;?M41j2B9:85U478745=9=0:97?9:|&;1?4<,1<1>6*77;08 =>=:2c9::4?::k12=<722c=?7>5;h47>5<<a??1<75f6783>>i1?3:17b87:188k3?=831d:l4?::k1g?6=,h91>o5aa383?>o5i3:1(l=52c9me7<632c957>5$`196g=ii;0976g=8;29 d5=:k1em?4<;:k13?6=,h91>o5aa387?>o5>3:1(l=52c9me7<232c8?7>5$`1977=ii;0;76g<1;29 d5=;;1em?4>;:k04?6=,h91??5aa381?>o5n3:1(l=5339me7<432c9i7>5$`1977=ii;0?76g=d;29 d5=;;1em?4:;:k0e?6=,h91?45aa383?>o403:1(l=5389me7<632c8;7>5$`197<=ii;0976g<6;29 d5=;01em?4<;:k01?6=,h91?45aa387?>o4<3:1(l=5389me7<232c?=7>5$`1905=ii;0;76g<f;29 d5=<91em?4>;:k0a?6=,h918=5aa381?>o4l3:1(l=5419me7<432c8o7>5$`1905=ii;0?76g<b;29 d5=<91em?4:;:a5f1=83896=4?{%cf>d><@;<i7E<95:X72?2|9:0:87?::049y!>22;1/4;4=;%:4>7=#01097d<97;29?l4103:17d8<:188m32=831b:84?::k52?6=3f<<6=44o7:94?=h>00;66a9a;29?l4d290/m>4=b:lb6?6<3`8j6=4+a281f>hf:3;07d<6:18'e6<5j2dj>7<4;h0;>5<#i:09n6`n2;18?l40290/m>4=b:lb6?2<3`8=6=4+a281f>hf:3?07d=<:18'e6<4:2dj>7>4;h12>5<#i:08>6`n2;38?l57290/m>4<2:lb6?4<3`8m6=4+a2806>hf:3907d<j:18'e6<4:2dj>7:4;h0g>5<#i:08>6`n2;78?l5f290/m>4<9:lb6?6<3`936=4+a280=>hf:3;07d=8:18'e6<412dj>7<4;h15>5<#i:0856`n2;18?l52290/m>4<9:lb6?2<3`9?6=4+a280=>hf:3?07d:>:18'e6<382dj>7>4;h1e>5<#i:0?<6`n2;38?l5b290/m>4;0:lb6?4<3`9o6=4+a2874>hf:3907d=l:18'e6<382dj>7:4;h1a>5<#i:0?<6`n2;78?xd6k00;6?<50;2x dc=i11C>;l4H346?_212=q:?7?;:07953<z,1?1>6*76;08 =1=:2.347<4i344>5<<a;<36=44i7194?=n>=0;66g95;29?l012900c;950;9l2=<722e=57>5;n4b>5<<a;i1<7*n3;0a?kg52910e?o50;&b7?4e3gk96<54i3;94?"f;38i7co=:398m7>=83.j?7<m;oc1>6=<a;=1<7*n3;0a?kg52=10e?850;&b7?4e3gk96854i2194?"f;3997co=:198m67=83.j?7==;oc1>4=<a::1<7*n3;11?kg52;10e?h50;&b7?553gk96>54i3g94?"f;3997co=:598m7b=83.j?7==;oc1>0=<a:k1<7*n3;1:?kg52910e>650;&b7?5>3gk96<54i2594?"f;3927co=:398m60=83.j?7=6;oc1>6=<a:?1<7*n3;1:?kg52=10e>:50;&b7?5>3gk96854i5394?"f;3>;7co=:198m6`=83.j?7:?;oc1>4=<a:o1<7*n3;63?kg52;10e>j50;&b7?273gk96>54i2a94?"f;3>;7co=:598m6d=83.j?7:?;oc1>0=<uk;h97>52383>5}#il0j46F=6c9K633<R=<18v?<:06950<6>3w/484=;%:5>7=#0>097)67:39j631=831b>;650;9j26<722c=87>5;h46>5<<a?<1<75`6683>>i103:17b86:188k3g=831b>n4?:%c0>7d<fh81<65f2`83>!g42;h0bl<51:9j6<<72-k86?l4n`096>=n:10;6)o<:3`8jd4=;21b>:4?:%c0>7d<fh81865f2783>!g42;h0bl<55:9j76<72-k86><4n`094>=n;80;6)o<:208jd4=921b?=4?:%c0>64<fh81>65f2g83>!g42:80bl<53:9j6`<72-k86><4n`090>=n:m0;6)o<:208jd4==21b?l4?:%c0>6?<fh81<65f3983>!g42:30bl<51:9j72<72-k86>74n`096>=n;?0;6)o<:2;8jd4=;21b?84?:%c0>6?<fh81865f3583>!g42:30bl<55:9j04<72-k869>4n`094>=n;o0;6)o<:528jd4=921b?h4?:%c0>16<fh81>65f3e83>!g42=:0bl<53:9j7f<72-k869>4n`090>=n;k0;6)o<:528jd4==21vn<m>:1816?6=8r.ji7o7;I05f>N5><1Q8;4;{01951<6=3;=6p*75;08 =0=:2.3;7<4$9:96>o5>>0;66g=6983>>o1;3:17d8;:188m33=831b:;4?::m53?6=3f<36=44o7;94?=h>h0;66g=c;29 d5=:k1em?4?;:k1e?6=,h91>o5aa382?>o513:1(l=52c9me7<532c947>5$`196g=ii;0876g=7;29 d5=:k1em?4;;:k12?6=,h91>o5aa386?>o4;3:1(l=5339me7<732c8=7>5$`1977=ii;0:76g<0;29 d5=;;1em?4=;:k1b?6=,h91??5aa380?>o5m3:1(l=5339me7<332c9h7>5$`1977=ii;0>76g<a;29 d5=;01em?4?;:k0<?6=,h91?45aa382?>o4?3:1(l=5389me7<532c8:7>5$`197<=ii;0876g<5;29 d5=;01em?4;;:k00?6=,h91?45aa386?>o393:1(l=5419me7<732c8j7>5$`1905=ii;0:76g<e;29 d5=<91em?4=;:k0`?6=,h918=5aa380?>o4k3:1(l=5419me7<332c8n7>5$`1905=ii;0>76sm1b494?45290;w)oj:`:8L70e3A8=96T;6;6x56<6<3;>6<85}%:6>7=#0?097)68:39'<=<53`8=;7>5;h05<?6=3`<86=44i7694?=n><0;66g96;29?j002900c;650;9l2<<722e=m7>5;h0`>5<#i:09n6`n2;28?l4f290/m>4=b:lb6?7<3`826=4+a281f>hf:3807d<7:18'e6<5j2dj>7=4;h04>5<#i:09n6`n2;68?l41290/m>4=b:lb6?3<3`986=4+a2806>hf:3:07d=>:18'e6<4:2dj>7?4;h13>5<#i:08>6`n2;08?l4a290/m>4<2:lb6?5<3`8n6=4+a2806>hf:3>07d<k:18'e6<4:2dj>7;4;h1b>5<#i:0856`n2;28?l5?290/m>4<9:lb6?7<3`9<6=4+a280=>hf:3807d=9:18'e6<412dj>7=4;h16>5<#i:0856`n2;68?l53290/m>4<9:lb6?3<3`>:6=4+a2874>hf:3:07d=i:18'e6<382dj>7?4;h1f>5<#i:0?<6`n2;08?l5c290/m>4;0:lb6?5<3`9h6=4+a2874>hf:3>07d=m:18'e6<382dj>7;4;|`103<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9894?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`107<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th98=4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`17f<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9?l4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`17=<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9?;4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`171<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9??4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`175<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9>h4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`16f<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9>l4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`163<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9>94?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`167<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9>=4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`15`<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9=n4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`15d<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9=54?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`153<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9=94?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`117<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th99=4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`10`<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th98n4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`10d<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9854?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`17c<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9>44?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`156<72<0;6=u+ad843>N5>k1C>;;4$9695>o3?3:17d:6:188m0b=831b:<4?::mb3?6=3th9=<4?:483>5}#il0<;6F=6c9K633<,1>1=6g;7;29?l2>2900e8j50;9j24<722ej;7>5;|`g4?6=;3:1<v*ne;05e>N5>k1C>;;4i5`94?=n0h0;66a6f;29?xddn3:1?7>50z&ba?41i2B9:o5G2778m1d=831b4l4?::m:b?6=3thh87>54;294~"fm33?7E<9b:J120=n<k0;66g92;29?lgf2900c4h50;9~ffc=8391<7>t$`g963g<@;<i7E<95:k7f?6=3`2j6=44o8d94?=zjj91<7:50;2x dc=1=1C>;l4H346?l2e2900e;<50;9jed<722e2j7>5;|```?6=;3:1<v*ne;05e>N5>k1C>;;4i5`94?=n0h0;66a6f;29?xdd:3:187>50z&ba??33A8=n6F=649j0g<722c=>7>5;hcb>5<<g0l1<75rbba94?5=83:p(lk527c8L70e3A8=96g;b;29?l>f2900c4h50;9~ff7=83>1<7>t$`g9=1=O:?h0D?8:;h6a>5<<a?81<75fa`83>>i>n3:17pllb;297?6=8r.ji7<9a:J12g=O:??0e9l50;9j<d<722e2j7>5;|``4?6=<3:1<v*ne;;7?M41j2B9:85f4c83>>o1:3:17don:188k<`=831vnoh50;694?6|,ho1595G27`8L7023`>i6=44i7094?=nih0;66a6f;29?xdb93:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdb83:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdcn3:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdcm3:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdcl3:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdck3:187>50z&ba?113A8=n6F=649'<1<63`><6=44i4f94?=n>80;66an7;29?xdb:3:197>50z&ba?1>3A8=n6F=649'<1<03`><6=44i5;94?=n>80;66gn5;29?jg02900qok::187>5<7s-kn6:84H34a?M41=2.387?4i5594?=n=m0;66g91;29?jg02900qok;:187>5<7s-kn6:84H34a?M41=2.387?4i5594?=n=m0;66g91;29?jg02900qo?=0;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg76m3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?>c;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg7613:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?>7;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg76;3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo??c;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg77j3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo??a;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg7713:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo??8;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188ygd?290?6=4?{%cf>20<@;<i7E<95:&;0?7<a==1<75f5e83>>o193:17bo8:188ygd2290?6=4?{%cf>20<@;<i7E<95:&;0?7<a==1<75f5e83>>o193:17bo8:188ygdb290?6=4?{%cf>20<@;<i7E<95:&;0?7<a==1<75f5e83>>o193:17bo8:188ygdd290?6=4?{%cf>2><@;<i7E<95:&;0?1<a==1<75f6083>>of=3:17bo8:188ygde290?6=4?{%cf>2><@;<i7E<95:&;0?1<a==1<75f6083>>of=3:17bo8:188yg74;3:1?7>50z&ba?41i2B9:o5G2778m1d=831b4l4?::m:b?6=3th:??4?:283>5}#il09:l5G27`8L7023`>i6=44i9c94?=h1o0;66sm13594?2=83:p(lk5959K63d<@;<>7d:m:188m34=831bml4?::m:b?6=3th:?<4?:283>5}#il09:l5G27`8L7023`>i6=44i9c94?=h1o0;66sm13494?2=83:p(lk5959K63d<@;<>7d:m:188m34=831bml4?::m:b?6=3th:?=4?:283>5}#il09:l5G27`8L7023`>i6=44i9c94?=h1o0;66sm13794?2=83:p(lk5959K63d<@;<>7d:m:188m34=831bml4?::m:b?6=3th:>k4?:283>5}#il09:l5G27`8L7023`>i6=44i9c94?=h1o0;66sm13694?2=83:p(lk5959K63d<@;<>7d:m:188m34=831bml4?::m:b?6=3th:>h4?:283>5}#il09:l5G27`8L7023`>i6=44i9c94?=h1o0;66sm13194?2=83:p(lk5959K63d<@;<>7d:m:188m34=831bml4?::m:b?6=3th:>?4?:583>5}#il0286F=6c9K633<a=h1<75f6383>>ofi3:17b7i:188yg73=3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?;4;290?6=8r.ji799;I05f>N5><1/494>;h64>5<<a<n1<75f6083>>if?3:17pl>4283>1<729q/mh486:J12g=O:??0(5:51:k73?6=3`?o6=44i7394?=hi>0;66sm15094?2=83:p(lk5779K63d<@;<>7)6;:09j02<722c>h7>5;h42>5<<gh=1<75rb062>5<3290;w)oj:648L70e3A8=96*74;38m11=831b9i4?::k55?6=3fk<6=44}c374?6=<3:1<v*ne;55?M41j2B9:85+8582?l202900e8j50;9j24<722ej;7>5;|`27c<72=0;6=u+ad842>N5>k1C>;;4$9695>o3?3:17d;k:188m37=831dm:4?::a511=83>1<7>t$`g933=O:?h0D?8:;%:7>4=n<>0;66g:d;29?l062900cl950;9~f42?290?6=4?{%cf>20<@;<i7E<95:&;0?7<a==1<75f5e83>>o193:17bo8:188yg70j3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?8a;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg7013:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?88;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg70?3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?85;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg71m3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?9d;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg71k3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?9b;291?6=8r.ji798;I05f>N5><1/494>;h64>5<<a=31<75f5e83>>o193:17bo8:188yg71i3:197>50z&ba?103A8=n6F=649'<1<63`><6=44i5;94?=n=m0;66g91;29?jg02900qo?:a;297?6=8r.ji76j;I05f>N5><1/4947;h5f>5<<a>l1<75`9g83>>{e:?91<7=50;2x dc=0l1C>;l4H346?!>3211b;h4?::k4b?6=3f3m6=44}cf0>5<3290;w)oj:9d8L70e3A8=96*74;31?!g32;=37d9j:188m2`=831b4=4?::m:b?6=3tho=7>54;294~"fm32m7E<9b:J120=#0=0>7)o;:35;?l1b2900e:h50;9j<5<722e2j7>5;|`g1?6=?3:1<v*ne;;1?M41j2B9:85+8580?l1b2900e:h50;9j<5<722c3=7>5;h:1>5<<a191<75`9g83>>{ej=0;694?:1y'e`<?n2B9:o5G2778 =2=<:1b;h4?::k4b?6=3`2;6=44o8d94?=zjkk1<7:50;2x dc=0o1C>;l4H346?!>32>90(l:52648m2c=831b;k4?::k;4?6=3f3m6=44}c`0>5<2290;w)oj:828L70e3A8=96*74;3`?l1b2900e:h50;9j<5<722c3=7>5;n;e>5<<ukh<6=4::183!gb20:0D?8m;I051>"?<3<0(l:52648m2c=831b;k4?::k;4?6=3`2:6=44o8d94?=zjhl1<7;50;2x dc=191C>;l4H346?!>32k1b;h4?::k4b?6=3`2;6=44i9394?=h1o0;66sm12494?2=83:p(lk58g9K63d<@;<>7)6;:008 d2=:>=0e:k50;9j3c<722c3<7>5;n;e>5<<uk;887>54;294~"fm32m7E<9b:J120=#0=0>7)o;:354?l1b2900e:h50;9j<5<722e2j7>5;|`27=<72>0;6=u+ad8:6>N5>k1C>;;4$9690>o0m3:17d9i:188m=6=831b4<4?::k;6?6=3`286=44o8d94?=zjo?1<7950;2x dc=1;1C>;l4H346?!>328k0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75f8283>>i>n3:17pli6;293?6=8r.ji77=;I05f>N5><1/494>9:k4a?6=3`=m6=44i9294?=n080;66g72;29?l>42900c4h50;9~f``=83<1<7>t$`g9=4=O:?h0D?8:;%:7>4d<a>o1<75f7g83>>o?83:17d6>:188m=4=831d5k4?::ab4<72>0;6=u+ad8:6>N5>k1C>;;4$9695==n?l0;66g8f;29?l>72900e5?50;9j<7<722c3?7>5;n;e>5<<uk;>=7>57;294~"fm3397E<9b:J120=#0=0:46g8e;29?l1a2900e5>50;9j<4<722c3>7>5;h:0>5<<g0l1<75rb070>5<0290;w)oj:808L70e3A8=96*74;;8m2c=831b;k4?::k;4?6=3`2:6=44i9094?=n0:0;66a6f;29?xdd=3:1?7>50z&ba?>b3A8=n6F=649'<1<6l2c<i7>5;h5e>5<<g0l1<75rb00;>5<4290;w)oj:9g8L70e3A8=96*74;32?l1b2900e:h50;9l=c<722wii:4?:683>5}#il02>6F=6c9K633<,1>1;l5f7d83>>o0n3:17d6?:188m=7=831b4?4?::k;7?6=3f3m6=44}c37=?6=?3:1<v*ne;;1?M41j2B9:85+858e?l1b2900e:h50;9j<5<722c3=7>5;h:1>5<<a191<75`9g83>>{ek?0;6>4?:1y'e`<?m2B9:o5G2778 =2=9m1b;h4?::k4b?6=3f3m6=44}c31=?6=;3:1<v*ne;:f?M41j2B9:85+8582`>o0m3:17d9i:188k<`=831vnn950;194?6|,ho14h5G27`8L7023-2?6<j4i6g94?=n?o0;66a6f;29?xd6:h0;6>4?:1y'e`<?m2B9:o5G2778 =2=9m1b;h4?::k4b?6=3f3m6=44}ca;>5<4290;w)oj:9g8L70e3A8=96*74;3g?l1b2900e:h50;9l=c<722wi=?l50;194?6|,ho14h5G27`8L7023-2?6<j4i6g94?=n?o0;66a6f;29?xdd13:1?7>50z&ba?>b3A8=n6F=649'<1<6l2c<i7>5;h5e>5<<g0l1<75rb00`>5<4290;w)oj:9g8L70e3A8=96*74;3g?l1b2900e:h50;9l=c<722wiol4?:283>5}#il03i6F=6c9K633<,1>1=i5f7d83>>o0n3:17b7i:188yg75l3:1?7>50z&ba?>b3A8=n6F=649'<1<6l2c<i7>5;h5e>5<<g0l1<75rb020>5<2290;w)oj:828L70e3A8=96*74;06?!g32;=>7d9j:188m2`=831b4=4?::k;5?6=3f3m6=44}c331?6=>3:1<v*ne;;2?M41j2B9:85+8587<>"f<38<96g8e;29?l1a2900e5>50;9j<4<722c3>7>5;n;e>5<<uk;;;7>57;294~"fm3397E<9b:J120=#0=0<>6g8e;29?l1a2900e5>50;9j<4<722c3>7>5;h:0>5<<g0l1<75rbg;94?5=83:p(lk58d9K63d<@;<>7)6;:0f8 d2=:>k0e:k50;9j3c<722e2j7>5;|`ee?6=<3:1<v*ne;:e?M41j2B9:85+85810>"f<38<m6g8e;29?l1a2900e5>50;9l=c<722wi=;;50;794?6|,ho15=5G27`8L7023-2?6?;4$`69622<a>o1<75f7g83>>o?83:17d6>:188k<`=831vn<88:185>5<7s-kn64?4H34a?M41=2.387:7;%c7>7133`=n6=44i6d94?=n090;66g71;29?l>52900c4h50;9~f40>290<6=4?{%cf><4<@;<i7E<95:&;0?153`=n6=44i6d94?=n090;66g71;29?l>52900e5=50;9l=c<722wi=8l50;194?6|,ho14h5G27`8L7023-2?6<j4$`6962?<a>o1<75f7g83>>i>n3:17pl>5b83>1<729q/mh47f:J12g=O:??0(5:5259'e1<5?01b;h4?::k4b?6=3`2;6=44o8d94?=zjk:1<7;50;2x dc=191C>;l4H346?!>32k1b;h4?::k4b?6=3`2;6=44i9394?=h1o0;66sm10694?3=83:p(lk5769K63d<@;<>7)6;:09j02<722c?57>5;h7g>5<<a?;1<75`a683>>{e98l1<7;50;2x dc=?>1C>;l4H346?!>3281b8:4?::k7=?6=3`?o6=44i7394?=hi>0;66sm10c94?3=83:p(lk5769K63d<@;<>7)6;:09j02<722c?57>5;h7g>5<<a?;1<75`a683>>{e9;;1<7;50;2x dc=?>1C>;l4H346?!>3281b8:4?::k7=?6=3`?o6=44i7394?=hi>0;66sm10f94?3=83:p(lk5769K63d<@;<>7)6;:09j02<722c?57>5;h7g>5<<a?;1<75`a683>>{e9821<7;50;2x dc=?>1C>;l4H346?!>3281b8:4?::k7=?6=3`?o6=44i7394?=hi>0;66sm16494?3=83:p(lk5769K63d<@;<>7)6;:09j02<722c?57>5;h7g>5<<a?;1<75`a683>>{e:881<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:==1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=n1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:<91<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:831<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:8l1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;?1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;n1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::91<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::31<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=;1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::o1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=h1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:<;1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:8=1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:8n1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;91<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;h1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::;1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::=1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::n1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=?1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;21<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=31<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=l1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:8?1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:8h1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;;1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;=1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:;l1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::?1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e::h1<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e:=91<7850;2x dc=181C>;l4H346?!>32=>0e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e98h1<7;50;2x dc=?>1C>;l4H346?!>3281b8:4?::k7=?6=3`?o6=44i7394?=hi>0;66sm10794?0=83:p(lk5909K63d<@;<>7)6;:028 d2=:>90e:k50;9j3c<722c3<7>5;h:2>5<<a181<75`9g83>>{e98<1<7;50;2x dc=191C>;l4H346?!>32h1/m94=729j3`<722c<j7>5;h:3>5<<a1;1<75`9g83>>{e:?>1<7<50;2x dc=001C>;l4H346?l1c2900c4h50;9~fg4=8381<7>t$`g9<<=O:?h0D?8:;h5g>5<<g0l1<75rb077>5<3290;w)oj:878L70e3A8=96g8e;29?l1a2900elo50;9l=c<722wi=8;50;494?6|,ho15<5G27`8L7023-2?69;4i6g94?=n?o0;66g70;29?l>62900e5<50;9l=c<722wi=8850;694?6|,ho14k5G27`8L7023-2?6n5f7d83>>o0n3:17d6?:188k<`=831vnk<50;694?6|,ho1585G27`8L7023`=n6=44i6d94?=nih0;66a6f;29?xda;3:1:7>50z&ba??63A8=n6F=649'<1<3=2c<i7>5;h5e>5<<a1:1<75f8083>>o?:3:17b7i:188yg`3290?6=4?{%cf>=`<@;<i7E<95:&;0?e<a>o1<75f7g83>>o?83:17b7i:188yv4cl3:1>vP=de9>60`=i<1v4750;36841k3=i70<:d;42?842m3<:70<:9;42?842i3<:70<:f;42?87d>3<=70?l1;45?87d=3<=70?l9;45?87d?3<=70?l8;45?87dk3<=70?la;45?87dj3<=70?l2;45?87d;3<=70li:5`89g`=ih16=?<54c9>574=ih1v?;9:182g~;6k?09:5521b3963><58i>6?87;<3`=?41027:o:4=699>5f>=:?201<ml:34;?87di38=463>cc812==:9j>1>;64=0a1>70?34;h?7<98:?f1?0634;?478>;<35e?2>34;=n7:6;<35g?2>34;=h7:6;<35a?2>34;<97:6;<343?2>34;<47:6;<34=?2>34;<m7:6;<34f?2>34;>m77i;<342?2>34l96lo4}r371?6=:rT9h8521579e2=z{8?26=4={_0`b>;6=00j;6s|17d94?7?s4;h:7=m;<3`5?5e34;h97=m;<3`=?5e34;h;7=m;<3`<?5e34;ho7=m;<3`e?5e34;hn7=m;<3`0?7b34;h>7=m;<3`7?5e34i;6;<4=056>d1<5j?1;h5213:93`=:9??14<521759<5=:9?314<5214`93`=:9<i14=5227693a=:9<?14=52f28;4>{t9>:1<7?>{<3`2?5d34;h=7=l;<3`1?5d34;h57=l;<3`3?5d34;h47=l;<3`g?5d34;hm7=l;<3`f?5d34;h87?i;<3`6?5d34;h?7=l;<a2>34<58=<6l94=b493`=:n<03?63i1;:2?xu6?80;6<?t=0a5>6b<58i:6>j4=0a6>6b<58i26>j4=0a4>6b<58i36>j4=0a`>6b<58ij6>j4=0aa>6b<58i?6?>4=0a1>6b<58i86>j4=b0927=:9>21m:52c684a>;a>32970h>:908yv70:3:1=<u21b497`=:9j;1?h521b797`=:9j31?h521b597`=:9j21?h521ba97`=:9jk1?h521b`97`=:9j>1><521b097`=:9j91?h52c2856>;6?00j;63l8;5f?8`121901hh5809~w414290:=v3>c780b>;6k808j63>c480b>;6k008j63>c680b>;6k108j63>cb80b>;6kh08j63>cc80b>;6k=09>63>c380b>;6k:08j63l4;41?870i3k<70m6:6g89c3=0816j<473:p522=83;;w0?l6;62?87d93>:70?l5;62?87d13>:70?l7;62?87d03>:70?lc;62?87di3>:70?lb;62?87d<38870?l2;62?87d;3>:70?8b;c4?8ef2>o01k;5839>ac<?82wxnh4?:3y]6ac<5ko1m:5rs376>5<5;r7:5k4;9:?2e5<3127:mo4;9:?2f3<3127:no4;9:?2ff<3127:ni4;9:?2f`<3127:nk4;9:?2g5<3127:m<4;9:?2e7<3127:m>4;9:?2e1<3127:m84;9:?2e3<3127:m:4;9:?2e=<3127:m44;9:?2ed<3127:mn4;9:?2ea<3127:mh4;9:?2ec<3127:n=4;9:?2f4<3127:n?4;9:?2f6<3127:n94;9:?2f0<3127:n:4;9:?2f=<3127:n44;9:?2fd<3127i877i;|qf6?6=:rT9h952e38b3>{tn10;64u2f98b3>;e?32:70k8:918942>21901o<57e9>b6<0m27m879j;<ce>2`<5k:1;k5rsca94?4|V;i270ll:`58yv77l3:1>hu21b4963=:9j<1>i521b4971=:9j;1>;521b396a=:9j;1?9521b7963=:9j?1>i521b7971=:9j31>;521b;96a=:9j31?9521b5963=:9j=1>i521b5971=:9j21>;521b:96a=:9j21?9521ba963=:9ji1>i521ba971=:9jk1>;521bc96a=:9jk1?9521b`963=:9jh1>i521b`971=:9j>1:n521b0963=:9j81>i521b0971=:9j91>;521b196a=:9j91?9521019e2=:k<0<j63>2984b>;68:03=63>048;4>;68>03=63i9;5f?8`f21:01<?::6g8947121;01<;::6d89c5=?o1v<>j:1812~;6k?09;63>c781a>;6k?08963>c0813>;6k809i63>c0801>;6k<09;63>c481a>;6k<08963>c8813>;6k009i63>c8801>;6k>09;63>c681a>;6k>08963>c9813>;6k109i63>c9801>;6kj09;63>cb81a>;6kj08963>c`813>;6kh09i63>c`801>;6kk09;63>cc81a>;6kk08963>c585`>;6k;09;63>c381a>;6k;08963>c2813>;6k:09i63>c2801>;69>0j;63l6;5e?87513=m70?:3;:1?xu68o0;6?;t=0a5>7><58i=6?h4=0a5>60<58i:6?64=0a2>7`<58i:6>84=0a6>7><58i>6?h4=0a6>60<58i26?64=0a:>7`<58i26>84=0a4>7><58i<6?h4=0a4>60<58i36?64=0a;>7`<58i36>84=0a`>7><58ih6?h4=0a`>60<58ij6?64=0ab>7`<58ij6>84=0aa>7><58ii6?h4=0aa>60<58i?6;k4=0a1>7><58i96?h4=0a1>60<58i86?64=0a0>7`<58i86>84=03:>d1<5j=1;k5213c93c=z{8;;6=4=6z?2g3<5127:o;4<0:?2g3<4?27:o<4=9:?2g4<4827:o<4<7:?2g0<5127:o84<0:?2g0<4?27:o44=9:?2g<<4827:o44<7:?2g2<5127:o:4<0:?2g2<4?27:o54=9:?2g=<4827:o54<7:?2gf<5127:on4<0:?2gf<4?27:ol4=9:?2gd<4827:ol4<7:?2gg<5127:oo4<0:?2gg<4?27:o949f:?2g7<5127:o?4<0:?2g7<4?27:o>4=9:?2g6<4827:o>4<7:?25f<f?27h479i;<31f?1a34;>?76<;|q254<72;<p1<m9:3c894e12:;01<m9:2:894e62;k01<m>:23894e62:201<m::3c894e22:;01<m::2:894e>2;k01<m6:23894e>2:201<m8:3c894e02:;01<m8:2:894e?2;k01<m7:23894e?2:201<ml:3c894ed2:;01<ml:2:894ef2;k01<mn:23894ef2:201<mm:3c894ee2:;01<mm:2:894e32>:01<m=:3c894e52:;01<m=:2:894e42;k01<m<:23894e42:201<?j:`589f?=?o16=?m57g9>507=0;1v<?=:1812~;6k?09o63>c7807>;6k?08m63>c081g>;6k808?63>c080e>;6k<09o63>c4807>;6k<08m63>c881g>;6k008?63>c880e>;6k>09o63>c6807>;6k>08m63>c981g>;6k108?63>c980e>;6kj09o63>cb807>;6kj08m63>c`81g>;6kh08?63>c`80e>;6kk09o63>cc807>;6kk08m63>c5845>;6k;09o63>c3807>;6k;08m63>c281g>;6k:08?63>c280e>;6:90j;63la;5e?875l3=m70?:1;:0?xu6?m0;6?uQ2`4894?a2h=0q~?78;296~X5j816=l>5a69~w4?42909wS<mc:?2eg<f?2wx=4650;0xZ7e534;i:7o8;|q2=<<72;qU>n=4=0`a>d1<uz;2m7>52z\1g1=:9ki1m:5rs0;a>5<5sW8h963>be8b3>{t90i1<7<t^3a5?87em3k<7p}>9e83>7}Y:j=01<li:`58yv7>m3:1>vP=c99>5f6=i>1v<9j:181[4f?27:m<4n7:p52`=838pR?o7;<3b6?g03ty:4=4?:3y]6d?<58k86l94}r3;5?6=:rT9ml521`69e2=z{8296=4={_0bf>;6i<0j;6s|19194?4|V;kh70?n6;c4?xu60=0;6?uQ2`f894g02h=0q~?75;296~X5il16=l65a69~w4>12909wS<nf:?2e<<f?2wx=5950;0xZ7d734;jm7o8;|q2<<<72;qU>o<4=0c`>d1<uz;3m7>52z\1f6=:9hn1m:5rs0:a>5<5sW8i863>ad8b3>{t91i1<7<t^3`6?87fn3k<7p}>8e83>7}Y:k<01<l?:`58yv7?m3:1>vP=b69>5g7=i>1v<6i:181[4e027:n?4n7:p5<6=838pR?l6;<3a7?g03ty:5<4?:3y]6gg<58h?6l94}r3:6?6=:rT9no521c79e2=z{83?6=4={_0a`>;6j>0j;6s|18794?4|V;hn70?m8;c4?xu61?0;6?uQ2cd894d>2h=0q~?67;296~X5k816=oo5a69~w73e290?w0?:8;7g?87213?o70<:d;c4?873=3?o7p}=5683>13|5;?26l94=0;e>0b<58k;68j4=0ca>0b<58h=68j4=0`a>0b<58hh68j4=0`g>0b<58hn68j4=0`e>0b<58i;68j4=0c2>0b<58k968j4=0c0>0b<58k?68j4=0c6>0b<58k=68j4=0c4>0b<58k368j4=0c:>0b<58kj68j4=0c`>0b<58ko68j4=0cf>0b<58km68j4=0`3>0b<58h:68j4=0`1>0b<58h868j4=0`7>0b<58h>68j4=0`4>0b<58h368j4=0`:>0b<58hj68j4=332>0b<5;;868j4=30:>0b<5;9m68j4=36;>0b<5;>j68j4=36`>0b<5;>n68j4=373>0b<5;?968j4=337>0b<5;;=68j4=33;>0b<5;;j68j4=33`>0b<5;;n68j4=303>0b<5;8968j4=307>0b<5;8=68j4=30b>0b<5;8h68j4=30f>0b<5;9;68j4=311>0b<5;9?68j4=315>0b<5;9368j4=31b>0b<5;9h68j4=363>0b<5;>968j4=367>0b<5;>=68j4}r06<?6=:;q6j54n5:?11d<f?27n87;k;<g6>0b<5l81m852db86`>;cl3?o70jj:4f89a`==m16i=4:d:?f5?3c34;;47;k;<33=?3c34;;m7;k;<33f?3c34;;o7;k;<327?3c34;:;7;k;<32=?3c34;:o7;k;<32a?3c34;9<7;k;<`a>d3<5ki1m852bd86`>;e=3?o70l7:4f894732<n01<?i:4f8947f2<n01<<>:4f8947c2<n01<?7:4f8947e2<n0q~<:c;2950}::<o1m:5215:91a=:9==19i5212d91a=:9=:19i5215391a=:9=819i5215191a=:9=>19i5217c91a=:9?h19i5217a91a=:9?n19i5217g91a=:9>?19i5216591a=:9>219i5216;91a=:9>k19i5216`91a=:9><19i5rs3g3>5<2sW8n<63>5`84a>;6=:0<i63j7;:1?87313=m7p}=d683>7e|V;n<70ln:6d89g5=0816n:470:?f3?>734;?576=;<026?1a348?;79i;<07`?1a348>?79i;<02=?1a348:j79i;<011?1a3489h79i;<007?1a3488579i;<075?1a3488i79i;<07f?1a348>=79i;<023?1a348:h79i;<017?1a3489n79i;<005?1a3488;79i;<00`?1a348?979i;<01<?1a348?579i;<07b?1a348:979i;<02f?1a3489=79i;<013?1a3489j79i;<001?1a3488n79i;<077?1a34;:976?;<322?1a34h?6:k4=`d93`=:j90<i6s|26`94??7sW8<n63i8;64?87203><70?:9;64?842l3><70<:e;64?84213><70<:a;64?842n3><70<90;64?84193><70?6f;64?87f83><70?nb;64?87e>3><70?mb;64?87ek3><70?md;64?87em3><70?mf;64?87d83><70?n1;64?87f:3><70?n3;64?87f<3><70?n5;64?87f>3><70?n7;64?87f03><70?n9;64?87fi3><70?nc;64?87fl3><70?ne;64?87fn3><70?m0;64?87e93><70?m2;64?87e;3><70?m4;64?87e=3><70?m7;64?87e03><70?m9;64?87ei3><70<>1;64?846;3><70<=9;64?844n3><70<;8;64?843i3><70<;c;64?843m3><70<:0;64?842:3><70<>4;64?846>3><70<>8;64?846i3><70<>c;64?846m3><70<=0;64?845:3><70<=4;64?845>3><70<=a;64?845k3><70<=e;64?84483><70<<2;64?844<3><70<<6;64?84403><70<<a;64?844k3><70<;0;64?843:3><70<;4;64?843>3><70?l6;053>;6k809::521b79631<58i26?88;<3`3?41?27:o54=669>5fe=:?=01<mn:344?87dj38=;63>c58122=:9j81>;94=0a0>70034o?6994=d7902=:m;0?;63kc;64?8bc2==01ik5469>`c<3?27n<7:8;<g2>11<58:36994=02:>11<58:j6994=02a>11<58:h6994=030>11<58;<6994=03:>11<58;h6994=03f>11<588;6994=c`902=:jj0?;63me;64?8d22==01o65469>51>=<>16=995469>56`=<>16=9>5469>517=<>16=9<5469>515=<>16=9:5469>513=<>16=;o5469>53d=<>16=;m5469>53b=<>16=;k5469>523=<>16=:95469>52>=<>16=:75469>52g=<>16=:l5469>542=<>16=<h5469>54g=<>16=??5469>54b=<>16=<65469>520=<>16=<l5469~w7>52909wS<72:?2g4<1;2wx>5j50;0xZ7>c34;h=78;;|q1==<72;qU>464=0a2>33<uz82i7>52z\1=`=:9j?1:>5rs3;e>5<5sW82j63>c4850>{t:h:1<7<t^3c3?87d=3<>7p}=a083>7}Y:h;01<m9:718yv4f:3:1>vP=a39>5f0=>=1v?o<:181[4f;27:o;495:p6d2=838pR?o;;<3`3?043ty94>4?:3y]6=5<58i<6;:4}r0;0?6=:rT949521b5920=z{;2>6=4={_0;1>;6k10=?6s|29494?4|V;2=70?l8;47?xu50>0;6?uQ295894e?2??0q~<78;296~X50116=n75629~w7>>2909wS<79:?2g<<1<2wx>5o50;0xZ7>f34;h578:;|q1<g<72;qU>5l4=0ab>35<uz83o7>52z\1<f=:9jk1:95rs3:f>5<5sW83i63>c`851>{t:1l1<7<t^3:e?87dj3<87p}=9183>7}Y:0:01<mm:768yv4>93:1>vP=909>5fd=><1v?7=:181[4>:27:on493:p6<5=838pR?7<;<3`g?033ty9594?:3y]6<2<58ih6;;4}r0:1?6=:rT958521b0926=z{;3=6=4={_0:2>;6k;0=86s|28594?4|V;3<70?l2;46?xu5100;6?uQ28;894e42?90q~<6a;296~X51h16=n=5659~w7?e2909wS<6b:?2g6<1=2wx>4j50;0xZ7?c34;h878>;|qef?6=1r7:<54n7:?252<1927:<>470:?240<?927:<:472:?e=?1a34lj6:h4=03;>37<5o;14=5rsga94??|58:26l94=03:>37<58:86:h4=026>=4<58:<65=4=gc93`=:98k1:<5210`924=:n80<j6s|fe83>2}:99k1m:5210a924=:mo0<j63>0284a>;68<0<i63>0684a>;69m0==6s|fd83>3}:99h1m:5210g924=:n80<i63>0484b>;68>0<j63>1g855>{tno0;68u211a9e2=:9;:1:<521159<5=:9;;1:<52eg84a>{t9>i1<7:t=000>34<58=>6;?4=055>37<5;<?64h4}r36`?6=ir7:>9492:?22d<f?27:;:491:?26<<0m27::8470:?222<?927::4472:?21g<0n27:9n48f:?216<?92wx=8k50;;x94422?801<8m:`58941?2?;01<<n:6g894022>l01<88:908940>21901<;l:6g8943621:0q~?:f;29<~;6:?0=>63>6b8b3>;6?00==63>528;4>;6:k0<i63>6484a>;6>>0<i63>6884a>{t9?:1<79t=004>34<58<o6l94=05b>37<588h6:k4=044>2`<58<26:h4=072>2`<uz;==7>55z?22`<f?27:;o491:?214<0m27:>i48e:?22<<?82wx=8650;5x943?2h=01<;n:6d894342>l01h95809>51?=?l16=8;57d9>500=?l1vk950;0x9c>=>816j?46f:p501=839p1<;7:738943>2?;01<;;:8d8yv41:3:19v3=588b1>;5=h0j963=628:b>;5=m0j963=5d8b1>{t:<l1<7=t=37e>d1<5;<;6;?4=340>2c<uz8==7>52z?124<f?279:>48f:p636=839p1?;i:5;897072h=01?8>:738yv42<3:1=8u225490<=:m=0==63>0987=>;6800?563>0`87=>;68k0?563>0b87=>;69>0?563>1887=>;69j0?563>1d87=>;6:90?563>46855>;fn33m70?>f;6:?876i3>270?=1;6:?876l3>270?>8;6:?876j3>270?:4;cb?xu6mo0;6>u22039e2=:90l1:<522009<7=z{8lj6=4<{<027?g034;j<78>;<01<?>53ty9<84?:2y>67?=i>16=ll5609>66c=0;1v?>n:180844n3k<70?m6;42?843?3297p}=0c83>6}::=21m:521c`924=::=314?5rs32`>5<4s48?m7o8;<3ag?06348?n76=;|q14a<72:q6>9m5a69>5gb=>816>9j5839~w76b2908w0<;e;c4?87em3<:70<;f;:1?xu58o0;6>u22429e2=:9kl1:<522439<7=z{;;;6=4<{<066?g034;h<78>;<067?>53ty:j=4?:2y>642=i>16=l?5609>643=0;1v<h>:180846>3k<70?n2;42?846?3297p}>f383>6}::821m:521`1924=::8314?5rs0d0>5<4s48:m7o8;<3b0?06348:n76=;|q2b1<72:q6><m5a69>5d3=>816><j5839~w4`22908w0<>e;c4?87f>3<:70<>f;:1?xu6n?0;6>u22329e2=:9h=1:<522339<7=z{8l<6=4<{<016?g034;j478>;<017?>53ty:j54?:2y>672=i>16=l75609>673=0;1v<h6:180845>3k<70?na;42?845?3297p}>fc83>6}::;k1m:521`a924=::;h14?5rs0d`>5<4s489o7o8;<3b`?063489h76=;|q2ba<72:q6>?k5a69>5dc=>816>?h5839~w4`b2908w0<<0;c4?87fn3<:70<<1;:1?xu6no0;6>u22209e2=:9k:1:<522219<7=z{;:;6=4<{<000?g034;i=78>;<001?>53ty9<<4?:2y>660=i>16=o<5609>661=0;1v?>=:18084403k<70?m3;42?84413297p}=0283>6}:::k1m:521c6924=:::h14?5rs327>5<4s488o7o8;<3a1?063488h76=;|q143<72:q6>9>5a69>5g1=>816>9?5839~w7602908w0<;2;c4?87e03<:70<;3;:1?xu5810;6>u22569e2=:9k31:<522579<7=z{;:26=4={<072?g034;im78>;|q2ga<72;q6=n?5669>644=?l1v<j7:18187d93<370<=8;5f?xu6m:0;6?u21b392<=:::o1;h5rs0g;>5<5s4;h9788;<073?1b3ty:i44?:3y>5f3=>116>9757d9~w4cf2909w0?l5;4:?843j3=n7p}>ec83>7}:9j<1::5225f93`=z{8oh6=4={<3`2?0?348?j79j;|q2aa<72;q6=n85689>607=?l1v<kj:18187d?3<<70<:3;5f?xu6kl0;6?u21b592==::8?1;h5rs0ae>5<5s4;h;786;<023?1b3ty:h=4?:3y>5f>=>>16><757d9~w4b62909w0?l8;4;?846j3=n7p}>d383>7}:9j21:45220f93`=z{8n86=4={<3`=?00348:j79j;|q2`1<72;q6=n75699>677=?l1v<j::18187d13<270<=3;5f?xu6l?0;6?u21bc922=::;?1;h5rs0f4>5<5s4;hm787;<013?1b3ty:h44?:3y>5fg=>016>?l57d9~w4bf2909w0?lb;44?845l3=n7p}>dc83>7}:9jh1:55223d93`=z{8nh6=4={<3`f?0>3488=79j;|q2`a<72;q6=nm5669>665=?l1v<jj:18187dk3<370<<5;5f?xu6lo0;6?u21ba92<=:::=1;h5rs0g3>5<5s4;h>788;<00=?1b3ty:i<4?:3y>5f4=>116>>l57d9~w4c52909w0?l2;4:?844l3=n7p}>e583>7}:9j91::5225393`=z{8o>6=4={<3`7?0?348??79j;|q2a3<72;q6=n=5689>613=?l1v<k8:181843>3<:70?l4;4a?xudi3:1>v3k0;:b?8ef20l0q~m;:1818e320l01i>54c9~wf?=839p1n:5a`9>gc<?i27h577i;|q`7?6=;r7h?77i;<a7>1d<5jl18o5rsb:94?5|5j91ml52cd8;e>;d033m7p}l2;297~;d:33m70m<:5`89fc=<k1vn950;1x9f4=ih16oi47a:?`3??a3tyh=7>53z?`5??a34i969l4=bf90g=z{j<1<7=t=b39ed=:kj03m63l6;;e?xud83:1?v3l0;;e?8e62=h01nm54c9~wf3=839p1n>5a`9>gg<?i27h977i;|qab?6=;r7ij77i;<a3>1d<5jh18o5rse094?4|5l818452d28:b>{tl=0;6>u2d084a>;c=33m70j<:928yvc12909w0li:7089`1=1o1vh650;0x9fd=1o16hn491:pa<<72;q6on46f:?g`?063tynm7>52z?``??a34nn6;?4}rga>5<5s4in64h4=ed924=z{li1<7<t=bd9=c=:m90==6s|ee83>7}:l902j63j1;42?xuc>3:1>v3kc;c4?8b22190q~j8:1818bc2h=01i;5839~wa>=838p1ik5a69>`0<?92wxh44?:3y>`c<f?27o976?;|qge?6=:r7n<7o8;<f6>2`<uzni6=4={<g2>d1<5m?1;h5rsd794?5|5l?1m:52d08;4>;c;3=n7p}j4;297~;b<3k<70j<:6d89a7=?o1vh=50;0x9`4=>816h<46f:p556=838p1<>7:7389c?=1o1v<>>:18187713<:70hn:8d8yv77:3:1>v3>0`855>;68:02j6s|11694?4|58:i6;?4=026><`<uz;;:7>52z?24f<1927:<:46f:pf3<72;q6n8491:?a3??a3tyi57>53z?a<?0634hn6;?4=cc9=c=z{kn1<7=t=c`924=:jj0==63m3;;e?xue03:1>nu2b98b3>;e<3=m70ln:6g89g5=?o16n:48f:?f3?1a34;?576?;<026?>6348?;76>;<07`?>6348>?76>;<02=?>6348:j76>;<011?>63489h76>;<007?>63488576>;<075?>63488i76>;<07f?>6348>=76>;<023?>6348:h76>;<017?>63489n76>;<005?>63488;76>;<00`?>6348?976>;<01<?>6348?576>;<07b?>6348:976>;<02f?>63489=76>;<013?>63489j76>;<001?>63488n76>;<077?>634;:976>;<322?1b34km65?4=c29<4=z{k?1<7<l{<`6>d1<5kk14=52b28;4>;e?3=n70oi:9289`1=?l16=975809>f5<?8279=?470:?102<?82798i470:?116<?8279=4470:?15c<?8279>8470:?16a<?8279?>470:?17<<?82798<470:?17`<?82798o470:?114<?8279=:470:?15a<?8279>>470:?16g<?8279?<470:?172<?8279?i470:?100<?8279>5470:?10<<?82798k470:?150<?8279=o470:?164<?8279>:470:?16c<?8279?8470:?17g<?82798>470:?250<0n27:=;470:?a0?>73tyin7>52z?af?g034h86:k4}r31`?6=:r7:?>47a:?26a<>n2wx=?950;0x944020l01<=<:5`8yv75k3:1?v3>268be>;6;;03m63>2b8:b>{t9;<1<7=t=005><`<588<69l4=011>1d<uz;9n7>53z?263<fi27:?<47a:?26g<>n2wx=?;50;1x944220l01<<9:5`894562=h0q~?=a;297~;6:<0jm63>318;e>;6:h02j6s|13694?5|588?64h4=006>1d<589;69l4}r31=?6=;r7:>94na:?26c<?i27:>446f:p575=839p1<<<:8d894432=h01<<i:5`8yv7503:1?v3>228be>;6:l03m63>298:b>{t9;81<7=t=001><`<588869l4=00f>1d<uz;?57>52z?267<1:27:8446f:p563=838p1<:::5;8945120l0q~?<7;297~;6;=0<j63>398:b>;6;?03<6s|15c94?4|588n64h4=01e>37<uz;?n7>52z?26c<>n27:8=491:p51e=838p1<=?:8d894262?;0q~?;d;296~;6;802j63>43855>{t9=o1<7<t=011><`<58>86;?4}r37b?6=:r7:?>46f:?201<192wx=9850;0x94222?;01<=;:8d8yv7413:1>v3>3g8b3>;6;103?6s|12c94?4|58>;6l94=01;>=4<uz;8n7>52z?204<f?27:?5471:p56e=838p1<:=:`58945?21:0q~?<d;296~;6<:0j;63>3984b>{t9:o1<7<t=067>d1<58936:k4}r373?6=;r7:8:4n7:?273<0n27:?9470:p51>=839p1<:7:`5894532>o01<=9:6g8yv71:3:1>v3>6`855>;6=k02j6s|17194?4|58<i6;?4=07`><`<uz;=87>52z?22f<1927::846f:p530=838p1<8k:738940020l0q~?98;296~;6>l0==63>688:b>{tn<0;6>u2f48:b>;6=?0<j63i4;5e?xua>3:1?v3i6;;e?872>32;70h;:928yvcb2909w0ki:8d89c5=0;1vk>50;0x9c7=1o16j>471:p506=838p1<;>:8d8943221;0q~?:2;296~;6=:02j63>548;6>{tio0;6?u2b18:b>;bn3297p}>1583>6}:98>1m:521079<7=:n?03=6s|10d94?4|58;m6l94=g793`=z{8;j6=4={<32e?g034l=6:k4}r315?6=:r7:><4n7:?e1?1a3ty:=i4?:3y>54b=i>16j;48f:p54>=838p1<?7:`589c3=091v<99:181870>3k<70h9:928yvd629098v3=1087=>;59:0?563=2887=>;5;o0?563=4987=>;5<h0?563=4b87=>;5<l0?563=5187=>;5=;0?563=1587=>;59?0?563=1987=>;59h0?563=1b87=>;59l0?563=2187=>;5:;0?563=2587=>;5:?0?563=2`87=>;5:j0?563=2d87=>;5;90?563=3387=>;5;=0?563=3787=>;5;10?563=3`87=>;5;j0?563=4187=>;5<;0?563=4587=>;69:0?563>1587=>;e:33m7p}=1383>7}::8;1:<522009=c=z{;836=4={<027?063489477i;|q17`<72;q6>?75609>66c=1o1v?:8:181844n3<:70<;7;;e?xu5<00;6?u225:924=::=315k5rs36a>5<5s48?m78>;<07f??a3ty98i4?:3y>61e=>816>9j59g9~w72a2909w0<;e;42?843n33m7p}=5083>7}::<:1:<522439=c=z{;?86=4={<066?06348>?77i;|q150<72;q6><:5609>643=1o1v??8:181846>3<:70<>7;;e?xu5900;6?u220:924=::8315k5rs33a>5<5s48:m78>;<02f??a3ty9=i4?:3y>64e=>816><j59g9~w77a2909w0<>e;42?846n33m7p}=2083>7}::;:1:<522339=c=z{;886=4={<016?063489?77i;|q160<72;q6>?:5609>673=1o1v?<8:181845>3<:70<=7;;e?xu5:k0;6?u223c924=::;h15k5rs30g>5<5s489o78>;<01`??a3ty9>k4?:3y>67c=>816>?h59g9~w7562909w0<<0;42?844933m7p}=3283>7}:::81:<522219=c=z{;9>6=4={<000?063488977i;|q172<72;q6>>85609>661=1o1v?=6:18184403<:70<<9;;e?xu5;k0;6?u222c924=:::h15k5rs31g>5<5s488o78>;<00`??a3ty98<4?:3y>616=>816>9?59g9~w7242909w0<;2;42?843;33m7p}=4483>7}::=>1:<522579=c=z{8;=6=4={<327?0634;::77i;|q250<72;q6=<:5609>543=1o1v<?m:181876j3k<70?:1;:2?xu>i3:1>v3>548:b>;6==0<i6s|9c83>7}:9<<15k5214693c=z{0i1<7<t=g19=c=:n;0<i6s|9e83>7}:n=02j63i2;5e?x{i??:1<7?tH346?xh0>80;6<uG2778yk11:3:1=vF=649~j204290:wE<95:m332=83;pD?8:;|l420<728qC>;;4}o552?6=9rB9:85rn644>5<6sA8=96sa77:94?7|@;<>7p`86883>4}O:??0qc99a;295~N5><1vb:8m:182M41=2we;;m50;3xL7023td<:i4?:0yK633<ug==i7>51zJ120=zf><m6=4>{I051>{i?>:1<7?tH346?xh0?80;6<uG2778yk10:3:1=vF=649~j214290:wE<95:m322=83;pD?8:;|l430<728qC>;;4}o542?6=9rB9:85rn654>5<6sA8=96sa76:94?7|@;<>7p`87883>4}O:??0qc98a;295~N5><1vb:9m:182M41=2we;:m50;3xL7023td<;i4?:0yK633<ug=<i7>51zJ120=zf>=m6=4>{I051>{i?1:1<7?tH346?xh0080;6<uG2778yk1?:3:1=vF=649~j2>4290:wE<95:m3=2=83;pD?8:;|l4<0<728qC>;;4}o5;2?6=9rB9:85rn6:4>5<6sA8=96sa79:94?7|@;<>7p`88883>4}O:??0qc97a;295~N5><1vb:6m:182M41=2we;5m50;3xL7023td<4i4?:0yK633<ug=3i7>51zJ120=zf>2m6=4>{I051>{i?0:1<7?tH346?xh0180;6<uG2778yk1>:3:1=vF=649~j2?4290:wE<95:m3<2=83;pD?8:;|l4=0<728qC>;;4}o5:2?6=9rB9:85rn6;4>5<6sA8=96sa78:94?7|@;<>7p`89883>4}O:??0qc96a;295~N5><1vb:7m:182M41=2we;4m50;3xL7023td<5i4?:0yK633<ug=2i7>51zJ120=zf>3m6=4>{I051>{i?h:1<7?tH346?xh0i80;6<uG2778yk1f:3:1=vF=649~j2g4290:wE<95:m3d2=83;pD?8:;|l4e0<728qC>;;4}o5b2?6=9rB9:85rn6c4>5<6sA8=96sa7`:94?7|@;<>7p`8a883>4}O:??0qc9na;295~N5><1vb:om:182M41=2we;lm50;3xL7023td<mi4?:0yK633<ug=ji7>51zJ120=zf>km6=4>{I051>{i?k:1<7?tH346?xh0j80;6<uG2778yk1e:3:1=vF=649~j2d4290:wE<95:m3g2=83;pD?8:;|l4f0<728qC>;;4}o5a2?6=9rB9:85rn6`4>5<6sA8=96sa7c:94?7|@;<>7p`8b883>4}O:??0qc9ma;295~N5><1vb:lm:182M41=2we;om50;3xL7023td<ni4?:0yK633<ug=ii7>51zJ120=zf>hm6=4>{I051>{i?j:1<7?tH346?xh0k80;6<uG2778yk1d:3:1=vF=649~j2e4290:wE<95:m3f2=83;pD?8:;|l4g0<728qC>;;4}o5`2?6=9rB9:85rn6a4>5<6sA8=96sa7b:94?7|@;<>7p`8c883>4}O:??0qc9la;295~N5><1vb:mm:182M41=2we;nm50;3xL7023td<oi4?:0yK633<ug=hi7>51zJ120=zf>im6=4>{I051>{i?m:1<7?tH346?xh0l80;6<uG2778yk1c:3:1=vF=649~j2b4290:wE<95:m3a2=83;pD?8:;|l4`0<728qC>;;4}o5g2?6=9rB9:85rn6f4>5<6sA8=96sa7e:94?7|@;<>7p`8d883>4}O:??0qc9ka;295~N5><1vb:jm:182M41=2we;im50;3xL7023td<hi4?:0yK633<ug=oi7>51zJ120=zf>nm6=4>{I051>{i?l:1<7?tH346?xh0m80;6<uG2778yk1b:3:1=vF=649~j2c4290:wE<95:m3`2=83;pD?8:;|l4a0<728qC>;;4}o5f2?6=9rB9:85rn6g4>5<6sA8=96sa7d:94?7|@;<>7p`8e883>4}O:??0qc9ja;295~N5><1vb:km:182M41=2we;hm50;3xL7023td<ii4?:0yK633<ug=ni7>51zJ120=zf>om6=4>{I051>{i?o:1<7?tH346?xh0n80;6<uG2778yk1a:3:1=vF=649~j2`4290:wE<95:m3c2=83;pD?8:;|l4b0<728qC>;;4}o5e2?6=9rB9:85rn6d4>5<6sA8=96sa7g:94?7|@;<>7p`8f883>4}O:??0qc9ia;295~N5><1vb:hm:182M41=2we;km50;3xL7023td<ji4?:0yK633<ug=mi7>51zJ120=zf>lm6=4>{I051>{i09:1<7?tH346?xh?880;6<uG2778yk>7:3:1=vF=649~j=64290:wE<95:m<52=83;pD?8:;|l;40<728qC>;;4}o:32?6=9rB9:85rn924>5<6sA8=96sa81:94?7|@;<>7p`70883>4}O:??0qc6?a;295~N5><1vb5>m:182M41=2we4=m50;3xL7023td3<i4?:0yK633<ug2;i7>51zJ120=zf1:m6=4>{I051>{i08:1<7?tH346?xh?980;6<uG2778yk>6:3:1=vF=649~j=74290:wE<95:m<42=83;pD?8:;|l;50<728qC>;;4}o:22?6=9rB9:85rn934>5<6sA8=96sa80:94?7|@;<>7p`71883>4}O:??0qc6>a;295~N5><1vb5?m:182M41=2we4<m50;3xL7023td3=i4?:0yK633<ug2:i7>51zJ120=zf1;m6=4>{I051>{i0;:1<7?tH346?xh?:80;6<uG2778yk>5:3:1=vF=649~j=44290:wE<95:m<72=83;pD?8:;|l;60<728qC>;;4}o:12?6=9rB9:85rn904>5<6sA8=96sa83:94?7|@;<>7p`72883>4}O:??0qc6=a;295~N5><1vb5<m:182M41=2we4?m50;3xL7023td3>i4?:0yK633<ug29i7>51zJ120=zf18m6=4>{I051>{i0::1<7?tH346?xh?;80;6<uG2778yk>4:3:1=vF=649~j=54290:wE<95:m<62=83;pD?8:;|l;70<728qC>;;4}o:02?6=9rB9:85rn914>5<6sA8=96sa82:94?7|@;<>7p`73883>4}O:??0qc6<a;295~N5><1vb5=m:182M41=2we4>m50;3xL7023td3?i4?:0yK633<ug28i7>51zJ120=zf19m6=4>{I051>{i0=:1<7?tH346?xh?<80;6<uG2778yk>3:3:1=vF=649~j=24290:wE<95:m<12=83;pD?8:;|l;00<728qC>;;4}o:72?6=9rB9:85rn964>5<6sA8=96sa85:94?7|@;<>7p`74883>4}O:??0qc6;a;295~N5><1vb5:m:182M41=2we49m50;3xL7023td38i4?:0yK633<ug2?i7>51zJ120=zf1>m6=4>{I051>{i0<:1<7?tH346?xh?=80;6<uG2778yk>2:3:1=vF=649~j=34290:wE<95:m<02=83;pD?8:;|l;10<728qC>;;4}o:62?6=9rB9:85rn974>5<6sA8=96sa84:94?7|@;<>7p`75883>4}O:??0qc6:a;295~N5><1vb5;m:182M41=2we48m50;3xL7023td39i4?:0yK633<ug2>i7>51zJ120=zf1?m6=4>{I051>{i0?:1<7?tH346?xh?>80;6<uG2778yk>1:3:1=vF=649~j=04290:wE<95:m<32=83;pD?8:;|l;20<728qC>;;4}o:52?6=9rB9:85rn944>5<6sA8=96sa87:94?7|@;<>7p`76883>4}O:??0qc69a;295~N5><1vb58m:182M41=2we4;m50;3xL7023td3:i4?:0yK633<ug2=i7>51zJ120=zf1<m6=4>{I051>{i0>:1<7?tH346?xh??80;6<uG2778yk>0:3:1=vF=649~j=14290:wE<95:m<22=83;pD?8:;|l;30<728qC>;;4}o:42?6=9rB9:85rn954>5<6sA8=96sa86:94?7|@;<>7p`77883>4}O:??0qc68a;295~N5><1vb59m:182M41=2we4:m50;3xL7023td3;i4?:0yK633<ug2<i7>51zJ120=zf1=m6=4>{I051>{i01:1<7?tH346?xh?080;6<uG2778yk>?:3:1=vF=649~j=>4290:wE<95:m<=2=83;pD?8:;|l;<0<728qC>;;4}o:;2?6=9rB9:85rn9:4>5<6sA8=96sa89:94?7|@;<>7p`78883>4}O:??0qc67a;295~N5><1vb56m:182M41=2we45m50;3xL7023td34i4?:0yK633<ug23i7>51zJ120=zf12m6=4>{I051>{i00:1<7?tH346?xh?180;6<uG2778yk>>:3:1=vF=649~j=?4290:wE<95:m<<2=83;pD?8:;|l;=0<728qC>;;4}o::2?6=9rB9:85rn9;4>5<6sA8=96sa88:94?7|@;<>7p`79883>4}O:??0qc66a;295~N5><1vqpsO@By:`3<bi>:n<9hr@A@x4xFGXrwKL
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo512x64st_v0.xco
0,0 → 1,217
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Oct 19 11:25:05 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:8.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.2
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=ctrl_fifo512x64st_v0
CSET data_count=true
CSET data_count_width=9
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=3
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Common_Clock_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=0
CSET full_threshold_assert_value=510
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=509
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=64
CSET input_depth=512
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=64
CSET output_depth=512
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=9
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=9
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-14T07:12:32.000Z
# END Extra information
GENERATE
# CRC: c15403dd
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x34fw.xco
0,0 → 1,213
##############################################################
#
# Xilinx Core Generator version 13.1
# Date: Thu Sep 01 16:04:23 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Behavioral
SET speedgrade = -2
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.1
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=ctrl_fifo64x34fw
CSET data_count=false
CSET data_count_width=7
CSET disable_timing_violations=true
CSET disable_timing_violations_axi=true
CSET dout_reset_value=0
CSET empty_threshold_assert_value=4
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=5
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Common_Clock_Distributed_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Distributed_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Distributed_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Distributed_RAM
CSET full_flags_reset_value=0
CSET full_threshold_assert_value=32
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=31
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=34
CSET input_depth=64
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=34
CSET output_depth=64
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=First_Word_Fall_Through
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=7
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=true
CSET valid_flag=true
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=7
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-02-03T22:23:32.000Z
# END Extra information
GENERATE
# CRC: c767f131
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x37st.vhd
0,0 → 1,288
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo64x37st.vhd when simulating
-- the core, ctrl_fifo64x37st. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo64x37st IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(36 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(36 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END ctrl_fifo64x37st;
 
ARCHITECTURE ctrl_fifo64x37st_a OF ctrl_fifo64x37st IS
-- synthesis translate_off
COMPONENT wrapped_ctrl_fifo64x37st
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(36 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(36 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo64x37st USE ENTITY XilinxCoreLib.fifo_generator_v8_1(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 6,
c_default_value => "BlankString",
c_din_width => 37,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 37,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 2,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 2,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 2,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 2,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "512x72",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 1,
c_prog_empty_type_axis => 5,
c_prog_empty_type_rach => 5,
c_prog_empty_type_rdch => 5,
c_prog_empty_type_wach => 5,
c_prog_empty_type_wdch => 5,
c_prog_empty_type_wrch => 5,
c_prog_full_thresh_assert_val => 56,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 55,
c_prog_full_type => 1,
c_prog_full_type_axis => 5,
c_prog_full_type_rach => 5,
c_prog_full_type_rdch => 5,
c_prog_full_type_wach => 5,
c_prog_full_type_wdch => 5,
c_prog_full_type_wrch => 5,
c_rach_type => 0,
c_rd_data_count_width => 6,
c_rd_depth => 64,
c_rd_freq => 1,
c_rd_pntr_width => 6,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 6,
c_wr_depth => 64,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 6,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_ctrl_fifo64x37st
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full,
prog_empty => prog_empty
);
-- synthesis translate_on
 
END ctrl_fifo64x37st_a;
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo512x64st_v0.vhd
0,0 → 1,281
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo512x64st_v0.vhd when simulating
-- the core, ctrl_fifo512x64st_v0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo512x64st_v0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END ctrl_fifo512x64st_v0;
-- synthesis translate_off
ARCHITECTURE ctrl_fifo512x64st_v0_a OF ctrl_fifo512x64st_v0 IS
 
COMPONENT wrapped_ctrl_fifo512x64st_v0
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo512x64st_v0 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 9,
c_default_value => "BlankString",
c_din_width => 64,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 64,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "virtex5",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 1,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "512x72",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 510,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 509,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 9,
c_rd_depth => 512,
c_rd_freq => 1,
c_rd_pntr_width => 9,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
--c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 9,
c_wr_depth => 512,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 9,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
BEGIN
U0 : wrapped_ctrl_fifo512x64st_v0
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
data_count => data_count
);
 
END ctrl_fifo512x64st_v0_a;
-- synthesis translate_on
/core/ds_dma64/pcie_src/components/coregen_s6/ctrl_fifo64x34fw.vhd
0,0 → 1,288
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2011 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo64x34fw.vhd when simulating
-- the core, ctrl_fifo64x34fw. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo64x34fw IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(33 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(33 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END ctrl_fifo64x34fw;
 
ARCHITECTURE ctrl_fifo64x34fw_a OF ctrl_fifo64x34fw IS
-- synthesis translate_off
COMPONENT wrapped_ctrl_fifo64x34fw
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(33 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(33 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo64x34fw USE ENTITY XilinxCoreLib.fifo_generator_v8_1(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 7,
c_default_value => "BlankString",
c_din_width => 34,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 34,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "spartan6",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 0,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 1,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 2,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 2,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 2,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 2,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 0,
c_preload_regs => 1,
c_prim_fifo_type => "512x36",
c_prog_empty_thresh_assert_val => 4,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 5,
c_prog_empty_type => 1,
c_prog_empty_type_axis => 5,
c_prog_empty_type_rach => 5,
c_prog_empty_type_rdch => 5,
c_prog_empty_type_wach => 5,
c_prog_empty_type_wdch => 5,
c_prog_empty_type_wrch => 5,
c_prog_full_thresh_assert_val => 32,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 31,
c_prog_full_type => 1,
c_prog_full_type_axis => 5,
c_prog_full_type_rach => 5,
c_prog_full_type_rdch => 5,
c_prog_full_type_wach => 5,
c_prog_full_type_wdch => 5,
c_prog_full_type_wrch => 5,
c_rach_type => 0,
c_rd_data_count_width => 7,
c_rd_depth => 64,
c_rd_freq => 1,
c_rd_pntr_width => 6,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 1,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 7,
c_wr_depth => 64,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 6,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_ctrl_fifo64x34fw
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
valid => valid,
prog_full => prog_full,
prog_empty => prog_empty
);
-- synthesis translate_on
 
END ctrl_fifo64x34fw_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.