OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma
    from Rev 37 to Rev 38
    Reverse comparison

Rev 37 → Rev 38

/trunk/core/ds_dma64/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd
167,9 → 167,12
-------------------------------------------------------------------------------
--
-- Declare Global SYS_CON stuff:
signal s_core_clk_out : std_logic;
signal s_reset_out : std_logic;
signal s_dcm_rst_out : std_logic;
signal clk : std_logic;
signal reset : std_logic;
signal dcm_rst : std_logic;
signal reset_p : std_logic;
signal reset_p_z1 : std_logic;
signal reset_p_z2 : std_logic;
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
200,12 → 203,12
pcie_link_up => pcie_link_up,
 
clk_out => s_core_clk_out, -- S6 PCIE x1 module clock output
reset_out => s_reset_out, --
dcm_rstp => s_dcm_rst_out, -- S6 PCIE x1 module INV trn_reset_n_c
clk_out => clk, -- S6 PCIE x1 module clock output
reset_out => reset, --
dcm_rstp => dcm_rst, -- S6 PCIE x1 module INV trn_reset_n_c
---- BAR1 (PB bus) ----
aclk => s_core_clk_out, -- !!! same clock as clk_out
aclk => clk, -- !!! same clock as clk_out
aclk_lock => '1', --
pb_master => pb_master, --
pb_slave => pb_slave, --
239,8 → 242,8
port map
(
---- Global ----
 
 
 
 
 
---- HOST ----
252,7 → 255,13
 
 
);
);
 
 
reset_p <= (not reset) or (not brd_mode(3));
reset_p_z1 <= reset_p after 1 ns when rising_edge( clk );
reset_p_z2 <= reset_p_z1 after 1 ns when rising_edge( clk );
 
-------------------------------------------------------------------------------
--
-- Instantiate PB BUS <-> WB BUS translator module:
260,8 → 269,8
PW_WB : core64_pb_wishbone
port map
(
 
 
 
 
---- BAR1 ----
 
289,8 → 298,17
--
-- Module Output route:
--
o_wb_clk <= s_core_clk_out; -- route from PW_WB wrk clock
o_wb_clk <= clk; -- route from PW_WB wrk clock
--
o_wb_rst <= s_dcm_rst_out; -- convert to POSITIVE LOGIC
 
pr_o_wb_rst: process( reset_p, clk ) begin
if( reset_p='1' ) then
o_wb_rst <= '1' after 1 ns;
elsif( rising_edge( clk ) ) then
o_wb_rst <= reset_p_z2 after 1 ns;
end if;
end process;
 
 
-------------------------------------------------------------------------------
end pcie_core64_wishbone;
/trunk/core/wishbone/coregen_s6/ctrl_fifo1024x64_st_v1.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2c:44<,[o}e~g`n;"2*732(-80!<?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>7;1234=678=1;54>>;037?42=AGZ^X7OKDSC?56<768>0=94FNQWW>DBCZK6:?7>11:026>552@D[YY4KI@>05?699;18>7GAPTV9@LD;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<76=1?<9:>1:69MKVR\3NB\L2<:1<25>2=AGZ^X7JFPC>0>58682>1EC^ZT;UFE95=87;;794FNQWW>RCE4:0;2<<44;MVPUSS2ME[M1=50?31?1<H]]Z^X7J@PC>0>5863?;97;:5IORVP?bnf5<86=0>4:47>JSSX\^1hb{{<7194;4<>H>0:LON8:4BEDGFIH;;7;ONA@CBEDGFIHKJMk59smz71q``o=2?!>?47394B1=?:=;?75:=109:0>?78080M;:4A@4B<>GFIH<JML64A@CB<@GF991JMLONA@C5EDGFIHK:<6ONA@CBEDGFIH<JML??;@CBEDGFJHKJMLON899B@ATF49427LJKR@>24;?<IMNYM1?>>89B@ATF4885n6OKDSC?56<7601JHI\N<01=<>GCL[K7=364AEFQE94902KOH_O33?:8EABUI5>546OKDSC?1;><IMNYM1818:CG@WG;?720MIJ]A=:=<>GCL[K75364AEFQF96912KOH_L311<:?DBCZK6:=374AEFQF9756k1JHI\M<0194;?<IMNYN1?<>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE40487LBC9:CP]KEXZLM37O[\_HLEK4=D:2IN=?5LHDAH[IODMGYNSYW_E39@M1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2?7NBDB49@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;?6MCR89@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OO=6J=;E:`?AGSIV]BHYFPAb9GEQGX_@N_DRL=;EK5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;1<L@K7=>08;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<L@K7><08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;0<L@H7=394DH@?65803MCI0??17:FJF9456>1OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1<L@H7>508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1<L@ZI0?06;EKSF95=87=0HD^M<2<5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;1<LFK7=>08;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<LFK7><08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75394DN@\V@A03ME[M1>17:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;1<LFZI0=08;EMSF979?2ND\O2=>89GKUD;;3:5;6J@PC>0:==BIHK=M?O<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@24>@4I;LMM?H<01DE454<N=80J:??;GC06CGA:O:8<K>I049EEIUB:2LO?6HKC59E@FC43ON[86HKPD:8BC@A?OLM46HIFGDEB@7<O:1LBI?4I39J47=N9;1B>?5F339J07=N=11BBDZ__12;?LHN\YU;=55FNHVS[54?3@DBX]Q?399JJLRWW9>37D@FTQ]31==NF@^[S=87;HLJPUY7?01BBDZ\T@VF3>OIA]U;<:5FNHV\441<AGC_S=<8;HLJPZ64?2CEEYQ?469JJLRX8<=0ECG[_144?LHN\V:<;6GAIU]3<2=NF@^T<494IOKW[5G03@DBXR>M7:KMMQY7K>1BBDZP0E58MKOSW9O<7D@FT^2E3>OIA]U:<:5FNHV\541<AGC_S<<8;HLJPZ74?2CEEYQ>469JJLRX9<=0ECG[_044?LHN\V;<;6GAIU]2<2=NF@^T=494IOKW[4G03@DBXR?M7:KMMQY6K>1BBDZP1E58MKOSW8O<7D@FT^3E3>OIA]U9<:5FNHV\641<AGC_S?<8;HLJPZ44?2CEEYQ=469JJLRX:<=0ECG[_344?LHN\V8<;6GAIU]1<2=NF@^T>494IOKW[7G03@DBXR<M7:KMMQY5K>1BBDZP2E58MKOSW;O<7D@FT^0E3>OIA]U8<:5FNHV\741<AGC_S><8;HLJPZ54?2CEEYQ<469JJLRX;<=0ECG[_244?LHN\V9<;6GAIU]0<2=NF@^T?494IOKW[6G03@DBXR=M7:KMMQY4K>1BBDZP3E58MKOSW:O<7D@FT^1E2>OIA]UJ:6GAIU]A=>OIA]UMEAK<;HLU6>JN>2FDMIKK6:NLGNCC?2FDKDMNL59OQQ433E__?95CUU67?ISS==1GYY8:;LQDKK3<E\RHUn5BakmqR`ttafdh7@gaosTfvvohf;1E<>5A0008J44<F;80B9<4N708J=1<FL^\C_E;;OMMA4=H02E%:<vk_M38T1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF=>VLWAF^XCC<;QPF2>VTLFDN=6_n;SCNF40E_LXj7_KND^DJVVR13[OLOHO9;SGDG@D33[Y_M95]SU@4?WUS\PZNi6\\T^RQMJTN\PUJi6\\T^RQMJTN\PUI=6]>1:QKV@AXMQ^YMNZPNFAf?VNUMNUFECQ\I[G27>UNEVID@AG\INL\GMCDCh1XE@QKEWK@A3=TG\XHI55\P@PWEK003ZX]MAQN7:QQRDJXJ?1XXLZZS59P]KE33]X^I95[YQG45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-Nip~XofcekRkbpu{\TRTX;0UdS@[W_06\k47182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&mfyu laspzj`r;87<;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/abvwim}6:2;>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? glw{*fguzpdnx1<1619V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-dip~)khxyuck{<2<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*aj}q$laV>R.fo2*h7192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&mfyu hmZ3^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="ibuy,di^4Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(`eR9V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*aj}q$laV:R.fop*hu2=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d9&|:0=0:6:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.t28586=<1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k8%}=1?1579V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-u59799<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$z<2=>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,r4:568?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?33?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;;7;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="x><5<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*p64=4::=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!hmtz-gdtuqgo0=090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.enq}(di{xrbhz31?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'ij~waeu>1:36<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(ods"no}rxlfp959>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%laxv!glY3Y+aj9'g::<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!hmtz-ch]6U'mf#c|609V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-dip~)odQ9Q#ibs/op24=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%k`U<]/enw+kt>81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%laxv!glY7Y+aj{'gx985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o?!y1=2=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)q95:5=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n< v0>2:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f4(~86:2<;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?6;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7>3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.t28682>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&|:0>0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5929=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1:11408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/bmnt5353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*ehey;=m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%lcd`h_dosp|YW_[U85RaPMTZ\51Yh=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPi5d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uidUyhRka_h363>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{nT|cz}_qnvw4:76Vcez:?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'Dg~tRil0/alqkr\1TULBIQ=80]l[hsW;UDYY??1638Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@czx^e`4+eh}g~P5PQHNE]1<4YhWdsS?Q@UU33724<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lov|Zad8'idyczT9\]DJAY508UdS`{w_3]LQQ77;8==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kj}qUlo= lotlw_<[XOGNT>5?Po^ov|Z4XG\^:=RGAV^244>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW64173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Onq}Y`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR49>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]]>:;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EdsSjm?.bmvjq]>UVMEHR<71^m\ip~X:VE^X9?>719V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$A`{w_fa3*firf}Q2QRIAD^0;5ZiXe|rT>RAZT5044>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW14173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Onq}Y`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR19>:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]]=:;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EdsSjm?.bmvjq]>UVMEHR<71^m\ip~X:VE^X5?81:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%FaxvPgb2-gjsi|R3VSJ@K_3:2[jYj}qU9SB[[80345>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW=470:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj:%ob{atZ;^[BHCW;2:SbQbuy]1[JSS18UM985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=2=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5;5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=0=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|595985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=6=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5?5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=4=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|5=5985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at=:=10=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|535:n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{atZ;^[BHCW;2:SbQbuy]1[JSS484=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{[8_\CKBX:1;TcRczx^0\KPR;:7<h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczT9\]DJAY508UdS`{w_3]LQQ:46?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byU6]^EM@Z4?9VeTaxvP2^MVP929>j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV7R_FLG[7>6WfUfyuQ=_NWW8081k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dW4SPGOF\6=7XgVg~tR<POTV?2;0d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~P5PQHNE]1<4YhWdsS?Q@UU>4:3e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Q2QRIAD^0;5ZiXe|rT>RAZT=:=2f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|R3VSJ@K_3:2[jYj}qU9SB[[<8<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsW9??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczP1468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY5==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR=:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[1333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T98:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]511=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V=>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_977?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX1<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;;7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>7:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1;1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64?4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?3;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<27>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95359:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q=569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W:?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]712=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:S8;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uov\`4Y1=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexRj>_674?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrXl8U39:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[<?53\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVPZe~4942>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^77UVxoS}`{r^uq[lhqWykoW<>R_`fg[7YH]]Uhu1?1939V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,IdbcW~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZPcx>1:<4<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[f;;7397X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\Vir09062:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQly=7==7=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU>0\]q`Zvi|{U|~Rgav^rb`^77UVkohR<POTV\g|:16080Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#@okd^uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSSWjs7;37=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS8:VSjPpovq[rtXag|T|ljT11_\eabX:VE^XRmv<9<:6>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU]`}9?91=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPRXd|~7==063:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQcuu>2:<5<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[iss4;42?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!Baef\swYwf}xT|a{|1^rb`^77UVxoS}`{r^uq[lhqWykoW<>R_`fg[7YH]]Ugyy2<>818Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+HgclV}yS}`{r^roqv7XxhnP==SPre]sjqtX{Ubb{QaeY24XYflmU9SB[[_mww818>;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%FmijPws]sjqtXxex=R~ndZ33YZtcWyd~Ry}_hlu[ugcS8:VSljk_3]LQQYk}}6>24=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/Lcg`ZquWyd~R~cur3\tdb\99WT~iQnup\swYnfU{miU>0\]b`aY5WF__Sa{{<7<:7>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)JimnT{Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU]oqq:06090Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#@okd^uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSSWe05063:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-NeabX{U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYYQcuu>::<2<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'DkohRy}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW[}iu48:55>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^Ttb|31?;0?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*KflmU|~R~ats]shpu6WykoW<>R_sf\tkruW~xTecxPp`fX55[XimnT>RAZT^zlv9491:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$Aljk_vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPRXpfx7?37<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.Ob`aYpzVzexQltq2[ugcS8:VSjPpovq[rtXag|T|ljT11_\eabX:VE^XRv`r=6==6=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`(EhnoSz|Ppovq[ujr{8U{miU>0\]q`Zvi|{U|~Rgav^rb`^77UVkohR<POTV\|jt;=7387X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"Cnde]tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\Vrd~181929V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$l|k xrqd,IdbcW~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZPxnp?3;?43\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&GjhiQxr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVPZ~hz5255>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h M`fg[rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^Ttb|39?54?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|T9\]SSWY3=VeTi|{nl^:\k9?9WZ];;:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszR3VS]Y]_57\kZcv}hfT4Ra39?]PS4053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdb;994==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko0<091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<3<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)caolT|cz}_qcg868192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc4=4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko08091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok<7<55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)caolT|cz}_qcg828192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%oekhPpovq[ugc414==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWyko04090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\55073\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.fre*rtwn&nbjkQnup\tdbX:?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-cu`){zm#igif^rmpwYwimU8:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(`xo$|~}h dhde[uhszVzjhR:90:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-gmc`Xxg~yS}ok_443?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*bnnoU{by|Pp`f\236<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'mcmjR~ats]seaY0>91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl$hdhi_qlwvZvflV2=<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!kigd\tkruWykoS4;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.rqkbYa}efTe;=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/qplcZ`rdeUbS}{pnv6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#if/uqtc)uidUyhRka_h7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"j~i.vpsb*tfeV}ySh`Pi7`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]sea:76Vgjbi?7b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'m{j#y}pg-tvZvi|{U{`x}>_qcg_46ZW{nT|cz}_vp\mkpXxhnP==SPaef\6ZIR\5;;25o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)oyl%{~i/vp\tkruWyf~<QaeY24XYulVzexQxr^kmrZvflR;;QRokd^0\KPR;972j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*bva&~x{j"y}_qlwvZvk}z;T|ljT11_\vaYwf}xT{Qfnw]sea]68TUjhiQ=_NWW878?i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/esb+quxo%|~R~ats]shpu6WykoW<>R_sf\tkruW~xTecxPp`fX55[XimnT>RAZT=1=<d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ hpg,tvu`({U{by|Ppmwp5ZvflR;;QR|k_qlwvZquW`d}S}ok[02^[dbcW;UDYY2;>9c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%k}h!wsre+rtXxg~yS}bzs0]sea]68TUyhR~ats]tvZoi~VzjhV??]^cg`Z4XG\^7936n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&nzm"z|f.uq[uhszVzgy~?Pp`fX55[XzmU{by|Pws]jjsYwimQ:<PQnde]1[JSS4?43m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+awn'}y|k!xr^rmpwYwd|y:S}ok[02^[wbXxg~ySz|Piot\tdb\99WTmijP2^MVP9190h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,dtc(pzyl${Qnup\tist9VzjhV??]^pg[uhszV}ySd`y_qcg_46ZWhnoS?Q@UU>;:=g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!gqd-swva'~xT|cz}_qnvw4YwimQ:<PQ}d^rmpwYpzVcezR~ndZ33YZgclV8TCXZ39?57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW;3?SbQBUY]22Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i;#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g5)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k8%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j;$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(kfg{=;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ=95]l[HS_W8<Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4113\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^?ZWNDOS?7=_n]nq}Y5WF__==?8a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%FaxvPgba3*be7&je~byU6]^EM@Z4>:VeTaxvP2^MVP466WZ];;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU0230=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| Mlw{[bed8'mh<#m`uovX=XY@FMU95?Q`_lw{[7YH]]9:;85Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU6233=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| Mlw{[bed8'mh<#m`uovX=XY@FMU95?Q`_lw{[7YH]]>:=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV7623<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^>=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV5523<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^<=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV;523<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^2=:74U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV:5ZUP8<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov?5;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<3<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1=15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6?28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;=7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8382i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=5=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by27>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7539>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ31?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV?6;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[8_\CKBX:08TcRczx^0\KPR;;7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^7839>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ35?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW4SPGOF\6<4XgVg~tR<POTV?2;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[8_\CKBX:08TcRczx^0\KPR;?7=:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_<[XOGNT>4<Po^ov|Z4XG\^7439>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS0WTKCJP280\kZkrpV8TCXZ39?7:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS<;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW;?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[63>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_57:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS8;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW??27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[23>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_97:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS4;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;793;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7:3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7;3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;743;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;753;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z02k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[23d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\<0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczPd0]:16=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}yS}`{r^roqv7;87h=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]7U'xja#| s7]mklhn|'xja"]KP/QJIUJBWYXBA<6!r`o`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T0\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa5e73\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&YO\#]FMQNF[UTNE82%~lcPioqvhdrb;k<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!gcod*bdjoh$ekhml.cod+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-ueioc&jy~"|nmmmlt^7Z&{kf"!|6^llmkos&{kf#^J_.RKNTICXX[CF=5 }ala3?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.lgn+bdj&nhfk#immfc-jbcdk'hfk"iaaukuagk`&gke{kPbmi\i`kXold$zlbfd/appw)uidfdc}U>]/pbi+t({?Uecd`ft/pbi*UCX'YBA]BJ_QPJI4>)zhgTec}zl`vf5f6<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!yamkg*fusz&xjaaa`pZ3^*wgj&{%x:R``iokw*wgj'ZN["^GBPMG\TWOJ91$ym`Qfnrwoeqc5j?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_7[)zhg%~"}9_omjjlr)zhg$_I^!SHOSH@YWZ@G:4#|nmb28Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!mdo,cgk)okgl"jlbg`,mc`ed&kgl#j`nthtffha)fh~bzhQmlj]nahY`mg%}magk.bqwv*tfeeed|V<R.scn*w)t>Vddecg{.scn+VBW&ZCF\AKPPSKN5=(uidUbb~{caug2g5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[3_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjaRgastnbp`4e>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x$~lcconrX7X(uid$y#~8Pnnkmmq(uid%XH] \ILROAZVUAD;3"obc19V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(`jdm%kocha/ldafe)jdm$kco{iwgaib(ii}c}iRlck^ofiZabf&|j`dj!crvq+wgjdfe{W>S!r`o-v*u1Wgebbdz!r`o,WAV)[@G[@HQ_RHO2<+tfeVcexbntd2`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T3\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa6363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"yc_scn[wbXmg?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.uos[wgjW{nTic?<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPre]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld?o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;87>h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ63j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?<d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hi;0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd063?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySl}}ef]g66e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa7a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(zmUyyQlol`27c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/vp\tkru494Tecx>_HLU[5e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959k2]YEYKPMNFF[De<_[C_IRC@DD]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN48\adXAml0TifPPsknR`ttafd:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq5<Qly3<6QP_YNMIZYX8VUTY=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+HkrpVmh<#m`uovX=XY@FMU94<Q`_lw{[7YH]];;?<6<;^]\\IHJWVU:SRQZ0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(EdsSjml0/e`4+eh}g~P5PQHNE]1=7YhWdsS?Q@UU613`=XWVRGB@QP_3]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cbuy]dg5(dg|dW4SPGOF\6=7XgVg~tR<POTV76=2<WVUS@CCP_^1\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Bmtz\cfe7&ni;"naznuY:YZAILV82>RaPmtz\6ZIR\=;:4:5P_^ZOJHYXW=UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kj}qUlon>!gb2-gjsi|R3VSJ@K_3;1[jYj}qU9SB[[90]PS51b3VUTTA@B_^]6[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Mlw{[be7&je~byU6]^EM@Z4?9VeTaxvP2^MVP772l2UTSUBAM^]\2ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7602hggRcjm^efjZabflxboRm|ts3;?gjlWdofSjka_qpjiZqnl}bT=<64bmi\i`kXoldT|gb_vkgpmY5911i`fQbel]dakYwz`gT{dj{h^12<>dkcVgnaRijn^rqmhYpam~cS9?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S<?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S??k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S>?k;cnh[hcjWnoeS}|fm^uqeuvbzVy=S9h4bmi\i`kXoldTxb~>4:`ooZkbeVmnbRx9_3]{wq743kf`S`kb_hlsqqYedfi`=95mlj]nahYh}}z~xRlcobi0?fjl?2ida}o}e048gqtkWocmc<>=4y47[vrX~8;;mo5ltsn\bl`h998?t;:Psu]u5)`nnfUlick}aumq[s>X:%qhSljk_oe`[fii{}xjecz20-a\euvkajkeb`Ptxrf[d~n{4:'oRopmk`eqohfV~r|hQ}abj>4)eXiyzgeno{inl\p|vbW{nhd0>#c^cstiodi}cdbRzvpd]tefn:8%iTm}~cibcwmjhX|pznSzjlh<2/gZgwxechmyg`n^vzt`Ypzjb6<!mPayk\eabuW~coxe3<2-a\e}oXi{xiQxievk94*dWhrbSlyzsdp\slbs`4;'oRowi^`vw`tX`nd0?#c^c{mZbf|hU|eizg=76/gZgaVcoSzgkti?7(fYfp`Uyy~k}_vkgpm;6$jUjtdQ{yqg>4)eXiqcT{x}jr^uj`qn:9%iTmug|_ufbpdYpam~c1;:#c^c{mvYsllySzgkti?7(fYfp`yTxdjPwhfwl8>+kVkse~Q{kdgs[roc|a7? nQnxhq\pvrujV}bhyf24-a\e}otW}xiQxievk91*dWhrbRzvpd?3(fYdgdgdbRmcobi>5)eXkfexRzvpd?3(fYci}kTob{at^uj`qn:99&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<2/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=0.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4;`t>8#c^rqkbYbey~rSyf}erj\evubz}U}ma3=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPaykp9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW{khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^pggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|mnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\saeo58:9>!mPpsmd[`kw|pUdk|h^cpw`tsWkgSz|lh<3367*dWyxdkRkbpu{\pmtb{aUeijo{e^tbh85+kVzycjQjmqvz[qwm4:'oR~}of]fiur~W}s{iRowir?6(fYwzfmTi`~{y^vzt`Yuijb69!mPpsmd[`kw|pUu}kPreak90*dWyxdkRkbpu{\p|vbW~khd0;#c^rqkbYbey~rSywe^uggm;2$jU{~biPelrw}Zr~xlU|~nf25-a\twi`Wog`Rzgrdqk[dutm{~Tzlb2500/gZvugnUmyabPtipfwmYf{zoyxRxnl^c{mv;68;9'oR~}of]eqijX|axneQnsrgqpZpfdVxjoe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^pggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}joe3>031/gZvugnUmyabPtipfwmYf{zoyxRxnl^uggm;68;9'oR~}of]eqijX|axneQnsrgqpZpfdV}yoe3>031/gZvugnUmyabPtipfwmYimnkiRxnl<725)eXx{elSk{cl^vzt`;6$jU{~biPftno[qwmVkse~3:,b]svjaXn|fgSywe^pbgm;2$jU{~biPftno[qwmVxooe3:,b]svjaXn|fgSywe^ubgm;2$jU{~biPftno[qwmV}ooe3:,b]svjaXn|fgSywe^uqgm;2$jUymnfPtxrf95*dW{nThlzn_bmvjqYpam~c1<>#c^pg[acw|a7:<?:#c^pg[ctby4;'oR|k_qlwvZqnl}b6=="l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQznegqbiipWee|1="l_tqf[fijefdTc{k}fmmt95*dW|ynSnabmnl\qkbbzofd{0>#c^wpaZbbnhgxR}jtukmcv;7$jU~hQkotv\vvr:9%iTy~kPeba>4)eX}zoTinmPaykp95*dW|ynShml_sc`l86+kVxiRklc^pggm;7$jU~hQjcb]tefn:8%iTy~kPeba\saeo59&hSx}j_da`[rtd`4:'oR{|e^gnf`bcmmUyij3?,b]vw`Yaaoe::Rhcafq>4)eX}zoTjzh{_ecweZeh}g~6<!mPv`nj`Zjh4:'oRynci]w}uc:8%iT{img_u{sa86+kV}ySlmd_mmt95*dW~xThlzn_bmvjqYpam~c1<>#c^uq[acw|a7:<?:#c^uq[acw|aUjtd}21107(fYpzVnn|yfPr`ak940+kV}ySikti]q`fn:998? nQxr^fftqnXhic1<8#c^uq[acw|aU|hnf21107(fYpzVnn|yfPwsak940+kV}ySk|jq<3/gZquWyd~Ryfduj>55*dW~xT|cz}_vkgpmYfp`y6=="l_vp\tkruW~coxeQ}abj>0)eX{U{by|PwhfwlZtcka7:<!mPws]sjqtX`ndRynci?7(fYpzVzexQxievk[rbd`4;; nQxr^rmpwYpam~cSz|lh<6/gZquW{ox|b`|e^nbp`hdq4;'oRy}ci]w}uc:8t8o7nz}l^djbj77:=r=8R}{_w3/bl`hWnoeio{os]u<Z4+st90hic9;ekm85803mce0<>17:fjj9766>1oec2>2?58`lh;9:4<7iga<06=3>bnf5;>2:5kio>22;1<l`d7=:08;ekm84>9?2nbb1?6>79gmk:66>1oec2=0?58`lh;:84<7iga<30=3>bnf5882:5kio>10;1<l`d7>808;ekm8709?2nbb1<8>69gmk:507=0hd`328<5?aoi4;4<7iga<22=3>bnf59:2:5kio>06;1<l`d7?>08;ekm8629?2nbb1=:>69gmk:4>7=0hd`336<4?aoi4:25;6jfn=1::3=cag682:5kio>74;1<l`d78<08;ekm8149?2nbb1:<>69gmk:3<7=0hd`344<4?aoi4=<5;6jfn=64:2=cag6?4394dhl?0<813mce0908;ekm8069?2nbb1;>>69gmk:2:7=0hd`352<4?aoi4<>5;6jfn=76:2=cag6>:394dhl?12803mce08617:fjj93>6?1oec2:>69gmk:187=0hd`360<4?aoi4?8556jfn=40>5803mce0;=16:fjj909>2nbb1916:fjj9>9>2nbb1717:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf0?618:flqq:517=0hb{{<3<;?air|59;255kotv?748?3me~x1==>99gkpr;;:437iazt=17:==cg|~7?807;emvp951611ocxz336<;?air|593255kotv?7<803me~x1=18:flqq:38720hb{{<53=<>bh}}6?>364dnww815902ndyy2;4?:8`jss4=?546j`uu>72;><lf09918:flqq:30720hb{{<5;=3>bh}}6?255kotv?158?3me~x1;>>99gkpr;=;437iazt=70:==cg|~79907;emvp932611ocxz357<;?air|5?<255kotv?1=8?3me~x1;6>69gkpr;=720hb{{<72=<>bh}}6==364dnww8349i2ndyy293;2=<>bh}}6=?394dnww83803me~x1917:flqq:?6>1ocxz39?78ahvsq8<0jdh`_fgmawgsg{Uym`hffn31?coagVmnbh|ntnp\pjv6;2lbjbQheogqeqiuW2T>?h4fhdl[bcim{kcQy8^0/$]okagr+OB\J Fgmawgsg{*:?#<>7:djbjY`mgoymya}_w:\6Z~t|=1myab6;hlsqqYedb<0ahc`rx:8jbee}`fom6aztqww[gjl12zycjQiumna?wbXj`dTocz}5:pg[`h?3{nT`bifc008vaYt|h~~Rhcafq\wv763{oxiyQmlj]qeh`nnf90~~zm;vp\flhXkg~y96y}_dl;?rtXdfmbo55xr^re[vu6:2}yS~znttq\big`{VyxqMN9918DE~48j0M694>{R45>7`3282?6<==ddca>4b108qe>k<51:l1b6<13-8m<7<ja:P21<5n=0:494>33ffeg<6l?i37^?9e;1:f?6=9:8oill51e4`e>U1<392n7>5120gadd=9m<hn6j<0b83>4<6sZ<=6?h;:0:7>455llki6<j9c79uP4?e290:6<4>2gyP23<5n=0:494>33ffeg<6l?i=7)<kd;344>P5n809wx?88;38q41>291v(<69:0f8f66d2903;7oi:00eM4cj2.:m?4<0b9Y0g<5s8=1=54r$3ge>6?13->n6>>j;%46>66c3-;247?4$0;4>7`23`9?o7>5$0:;>62e3g;3;7>4;h17e?6=,8236>:m;o3;3?7<3`9?57>5$0:;>62e3g;3;7<4;h17<?6=,8236>:m;o3;3?5<3`9?:7>5$0:;>62e3g;3;7:4;h171?6=,8236>:m;o3;3?3<3`9?87>5$0:;>62e3g;3;784;h177?6=,8236>:m;o3;3?1<3`9?>7>5$0:;>62e3g;3;764;h175?6=,8236>:m;o3;3??<3`9?<7>5$0:;>62e3g;3;7o4;h10b?6=,8236>:m;o3;3?d<3`98i7>5$0:;>62e3g;3;7m4;h10`?6=,8236>:m;o3;3?b<3`98n7>5$0:;>62e3g;3;7k4;h10e?6=,8236>:m;o3;3?`<3`9857>5$0:;>62e3g;3;7??;:k07=<72-;347=;b:l2<2<6921b?>950;&2<=<4<k1e=5951398m651290/=56535`8j4>028907d=<5;29 4>?2:>i7c?77;37?>o4;=0;6)?78;17f>h60>0:965f32194?"601088o5a195953=<a:996=4+19:971d<f82<6<94;h104?6=,8236>:m;o3;3?7?32c8>k4?:%3;<?53j2d:4:4>9:9j77c=83.:454<4c9m5=1=9h10e><k:18'5=>=;=h0b<68:0`8?l55k3:1(<67:26a?k7??3;h76g<2c83>!7?039?n6`>8682`>=n;;k1<7*>89800g=i91=1=h54i20:>5<#9121?9l4n0:4>4`<3`9947>5$0:;>62e3g;3;7<?;:k062<72-;347=;b:l2<2<5921b??;50;&2<=<4<k1e=5952398m643290/=56535`8j4>02;907d==3;29 4>?2:>i7c?77;07?>o4:;0;6)?78;17f>h60>09965f33394?"601088o5a195963=<a:8;6=4+19:971d<f82<6?94;h12b?6=,8236>:m;o3;3?4?32c8=h4?:%3;<?53j2d:4:4=9:9j74b=83.:454<4c9m5=1=:h10e>?l:18'5=>=;=h0b<68:3`8?l56i3:1(<67:26a?k7??38h76g<1883>!7?039?n6`>8681`>=n;821<7*>89800g=i91=1>h54i234>5<#9121?9l4n0:4>7`<3`9::7>5$0:;>62e3g;3;7=?;:k050<72-;347=;b:l2<2<4921b?<:50;&2<=<4<k1e=5953398m674290/=56535`8j4>02:907d=>2;29 4>?2:>i7c?77;17?>o4980;6)?78;17f>h60>08965f34294?"601088o5a195973=<a:>m6=4+19:971d<f82<6>94;h17a?6=,8236>:m;o3;3?5?32c88i4?:%3;<?53j2d:4:4<9:9j711=83.:454<4c9m5=1=;h10e>=l:18'5=>=;=h0b<68:2`8?l5493:1(<67:26a?k7??39h76g<2783>!7?039?n6`>8680`>=n;8h1<7*>89800g=i91=1?h54i233>5<#9121?9l4n0:4>6`<3`9;m7>5;h1b7?6=3`9j97>5;h1:b?6=3f93h7>5$0:;>6>d3g;3;7>4;n1;f?6=,8236>6l;o3;3?7<3f93m7>5$0:;>6>d3g;3;7<4;n1;=?6=,8236>6l;o3;3?5<3f93;7>5$0:;>6>d3g;3;7:4;n1;2?6=,8236>6l;o3;3?3<3f9397>5$0:;>6>d3g;3;784;n1;0?6=,8236>6l;o3;3?1<3f93?7>5$0:;>6>d3g;3;764;n1;6?6=,8236>6l;o3;3??<3f93=7>5$0:;>6>d3g;3;7o4;n1;4?6=,8236>6l;o3;3?d<3f9<j7>5$0:;>6>d3g;3;7m4;n14a?6=,8236>6l;o3;3?b<3f9<o7>5$0:;>6>d3g;3;7k4;n14f?6=,8236>6l;o3;3?`<3f9<m7>5$0:;>6>d3g;3;7??;:m03<<72-;347=7c:l2<2<6921d?:650;&2<=<40j1e=5951398k610290/=56539a8j4>028907b=86;29 4>?2:2h7c?77;37?>i4?<0;6)?78;1;g>h60>0:965`36694?"601084n5a195953=<g:=86=4+19:97=e<f82<6<94;n145?6=,8236>6l;o3;3?7?32e8;=4?:%3;<?5?k2d:4:4>9:9l73`=83.:454<8b9m5=1=9h10c>8j:18'5=>=;1i0b<68:0`8?j51l3:1(<67:2:`?k7??3;h76a<6b83>!7?0393o6`>8682`>=h;?h1<7*>8980<f=i91=1=h54o24b>5<#9121?5m4n0:4>4`<3f9=57>5$0:;>6>d3g;3;7<?;:m02=<72-;347=7c:l2<2<5921d?;850;&2<=<40j1e=5952398k602290/=56539a8j4>02;907b=94;29 4>?2:2h7c?77;07?>i4>:0;6)?78;1;g>h60>09965`37094?"601084n5a195963=<g:<:6=4+19:97=e<f82<6?94;n154?6=,8236>6l;o3;3?4?32e89k4?:%3;<?5?k2d:4:4=9:9l70c=83.:454<8b9m5=1=:h10c>;k:18'5=>=;1i0b<68:3`8?j52j3:1(<67:2:`?k7??38h76a<5`83>!7?0393o6`>8681`>=h;<31<7*>8980<f=i91=1>h54o27;>5<#9121?5m4n0:4>7`<3f9>;7>5$0:;>6>d3g;3;7=?;:m013<72-;347=7c:l2<2<4921d?8;50;&2<=<40j1e=5953398k633290/=56539a8j4>02:907b=:3;29 4>?2:2h7c?77;17?>i4=;0;6)?78;1;g>h60>08965`38394?"601084n5a195973=<g:3;6=4+19:97=e<f82<6>94;n1;b?6=,8236>6l;o3;3?5?32e84h4?:%3;<?5?k2d:4:4<9:9l7=>=83.:454<8b9m5=1=;h10c>9k:18'5=>=;1i0b<68:2`8?j50:3:1(<67:2:`?k7??39h76a<6683>!7?0393o6`>8680`>=h;<i1<7*>8980<f=i91=1?h54o272>5<#9121?5m4n0:4>6`<3f9247>5;n1:6?6=3f92h7>5;c0fa?6=93:1<v*>a381`f=O:li0D?jm;n3;1?6=3th9ii4?:083>5}#9h81=<64H3g`?M4cj2e:=:4?::a5fc=83>1<7>t$0c1>4743A8no6F=dc9'57>=?2c?o7>5;h44>5<<a82j6=44o0:`>5<<uk;hh7>54;294~"6i;0:=>5G2da8L7be3-;94794i5a94?=n>>0;66g>8`83>>i60j0;66sm26g94?2=83:p(<o=:032?M4bk2B9ho5+13:96>o3k3:17d8<:188m31=831d=5m50;9~f71c290?6=4?{%3b6?7692B9in5G2e`8 44?2;1b8n4?::k57?6=3`<<6=44o0:`>5<<uk8o;7>53;294~"6i;0:==5G2da8L7be3A>j7)?86;3b5>"6:1097d:l:188m31=831d=5m50;9~f7b129086=4?{%3b6?7682B9in5G2e`8L1g<,8==6<o>;%31<?4<a=i1<75f6683>>i60j0;66sm2e794?3=83:p(<o=:037?M4bk2B9ho5G4`9'520=9h;0e9m50;9j0a<722c=;7>5;h3;e?6=3f;3o7>5;|`1`5<72=0;6=u+1`09545<@;oh7E<kb:&26=<03`>h6=44i7594?=n91k1<75`19a94?=zj;im6=4;:183!7f:3;:?6F=eb9K6ad<,8836:5f4b83>>o1?3:17d?7a;29?j7?k3:17pl=d583>1<729q/=l<51018L7cd3A8on6*>2984?l2d2900e;950;9j5=g=831d=5m50;9~f7b4290?6=4?{%3b6?76;2B9in5G2e`8 44?2>1b8n4?::k53?6=3`;3m7>5;n3;g?6=3th99n4?:583>5}#9h81=:94H3g`?M4cj2c?j7>5;h4a>5<<a83=6=44o0:0>5<<uk8>n7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj;?j6=4;:183!7f:3;<;6F=eb9K6ad<a=l1<75f6c83>>o61?0;66a>8283>>{e:<31<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd5=10;694?:1y'5d4=9>=0D?kl;I0gf>o3n3:17d8m:188m4?12900c<6<:188yg41n3:187>50z&2e7<6?>1C>hm4H3fa?l2a2900e;l50;9j5<0=831d=5=50;9~f70b290?6=4?{%3b6?70?2B9in5G2e`8m1`=831b:o4?::k2=3<722e:4>4?::a63b=83>1<7>t$0c1>4103A8no6F=dc9j0c<722c=n7>5;h3:2?6=3f;3?7>5;|`12f<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c05f?6=<3:1<v*>a38232=O:li0D?jm;h6e>5<<a?h1<75f18494?=h9191<75rb0c`>5<3290;w)?n2;343>N5mj1C>il4i5d94?=n>k0;66g>9783>>i60:0;66sm1``94?2=83:p(<o=:054?M4bk2B9ho5f4g83>>o1j3:17d?66;29?j7?;3:17pl>a`83>1<729q/=l<51658L7cd3A8on6g;f;29?l0e2900e<79:188k4>42900qo?n9;290?6=8r.:m?4>769K6`e<@;ni7d:i:188m3d=831b=4850;9l5=5=831vn<o7:187>5<7s-;j>7?87:J1af=O:mh0e9h50;9j2g<722c:5;4?::m2<6<722wi=oh50;694?6|,8k96<98;I0fg>N5lk1b8k4?::k5f?6=3`;2:7>5;n3;7?6=3th:nh4?:583>5}#9h81=:94H3g`?M4cj2c?j7>5;h4a>5<<a83=6=44o0:0>5<<uk;ih7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj8hh6=4;:183!7f:3;<;6F=eb9K6ad<a=l1<75f6c83>>o61?0;66a>8283>>{e9kh1<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd58o0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`14`<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl=0e83>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th9<n4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd58k0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`14d<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl=0883>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th9<54?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd58>0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2ba<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>fb83>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th:jo4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd6nh0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2b<<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>f983>0<729q/=l<51008L7cd3A8on6*>2981?l2d2900e9j50;9j26<722c=;7>5;n3;g?6=3th:j:4?:483>5}#9h81=<<4H3g`?M4cj2.:>54=;h6`>5<<a=n1<75f6283>>o1?3:17b?7c;29?xd6n?0;684?:1y'5d4=9880D?kl;I0gf>"6:1097d:l:188m1b=831b:>4?::k53?6=3f;3o7>5;|`2b0<72<0;6=u+1`09544<@;oh7E<kb:&26=<53`>h6=44i5f94?=n>:0;66g97;29?j7?k3:17pl>f583>0<729q/=l<51068L7cd3A8on6*>2984?l2d2900e9j50;9j22<722c:4l4?::m2<f<722wi>?:50;194?6|,8k96?km;I0fg>N5lk1b8k4?::k22a<722e:4>4?::a67b=8391<7>t$0c1>7ce3A8no6F=dc9j0c<722c::i4?::m2<6<722wi><750;694?6|,8k96<98;I0fg>N5lk1b8k4?::k5f?6=3`;2:7>5;n3;7?6=3th9>n4?:283>5}#9h81>hl4H3g`?M4cj2c?j7>5;h35`?6=3f;3?7>5;|`15=<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c01f?6=;3:1<v*>a381ag=O:li0D?jm;h6e>5<<a8<o6=44o0:0>5<<uk8:;7>54;294~"6i;0:;:5G2da8L7be3`>m6=44i7`94?=n90<1<75`19194?=zj;8j6=4<:183!7f:38nn6F=eb9K6ad<a=l1<75f17f94?=h9191<75rb335>5<3290;w)?n2;343>N5mj1C>il4i5d94?=n>k0;66g>9783>>i60:0;66sm23;94?5=83:p(<o=:3ga?M4bk2B9ho5f4g83>>o6>m0;66a>8283>>{e:8?1<7:50;2x 4g528=<7E<jc:J1`g=n<o0;66g9b;29?l7>>3:17b?73;29?xd5:10;6>4?:1y'5d4=:lh0D?kl;I0gf>o3n3:17d?9d;29?j7?;3:17pl=1583>1<729q/=l<51658L7cd3A8on6g;f;29?l0e2900e<79:188k4>42900qo<=7;297?6=8r.:m?4=ec9K6`e<@;ni7d:i:188m40c2900c<6<:188yg46;3:187>50z&2e7<6?>1C>hm4H3fa?l2a2900e;l50;9j5<0=831d=5=50;9~f74129086=4?{%3b6?4bj2B9in5G2e`8m1`=831b=;j50;9l5=5=831vn??=:187>5<7s-;j>7?87:J1af=O:mh0e9h50;9j2g<722c:5;4?::m2<6<722wi>?;50;194?6|,8k96?km;I0fg>N5lk1b8k4?::k22a<722e:4>4?::a647=83>1<7>t$0c1>4103A8no6F=dc9j0c<722c=n7>5;h3:2?6=3f;3?7>5;|`155<72=0;6=u+1`09521<@;oh7E<kb:k7b?6=3`<i6=44i0;5>5<<g8286=44}c00f?6=<3:1<v*>a38254=O:li0D?jm;%31<?4<a=i1<75f6283>>o1?3:17b?7c;29?xd5<:0;694?:1y'5d4=98;0D?kl;I0gf>"6:1097d:l:188m35=831b::4?::m2<f<722wi>9<50;694?6|,8k96<?>;I0fg>N5lk1/=?652:k7g?6=3`<86=44i7594?=h91i1<75rb362>5<3290;w)?n2;325>N5mj1C>il4$00;>7=n<j0;66g93;29?l002900c<6l:188yg4383:187>50z&2e7<6981C>hm4H3fa?!750380e9m50;9j26<722c=;7>5;n3;g?6=3th9?k4?:583>5}#9h81=<?4H3g`?M4cj2.:>54=;h6`>5<<a?91<75f6683>>i60j0;66sm22g94?2=83:p(<o=:032?M4bk2B9ho5+13:96>o3k3:17d8<:188m31=831d=5m50;9~f75c290?6=4?{%3b6?7692B9in5G2e`8 44?2;1b8n4?::k57?6=3`<<6=44o0:`>5<<uk88o7>54;294~"6i;0:=<5G2da8L7be3-;947<4i5a94?=n>:0;66g97;29?j7?k3:17pl=3`83>1<729q/=l<51038L7cd3A8on6*>2981?l2d2900e;=50;9j22<722e:4n4?::a610=83>1<7>t$0c1>4763A8no6F=dc9'57>=:2c?o7>5;h40>5<<a?=1<75`19a94?=zj;><6=4;:183!7f:3;:=6F=eb9K6ad<,8836?5f4b83>>o1;3:17d88:188k4>d2900qo<mb;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8im7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4e13:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0a<?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<m7;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8i:7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4e=3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0a0?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<m3;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8i>7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4f?3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0b2?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<n5;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8j87>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4f;3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c0b6?6==3:1<v*>a38257=O:li0D?jm;%31<?4<a=i1<75f4e83>>o1;3:17d88:188k4>d2900qo<n1;291?6=8r.:m?4>139K6`e<@;ni7)?=8;08m1e=831b8i4?::k57?6=3`<<6=44o0:`>5<<uk8j<7>55;294~"6i;0:=?5G2da8L7be3-;947<4i5a94?=n<m0;66g93;29?l002900c<6l:188yg4>n3:197>50z&2e7<69;1C>hm4H3fa?!750380e9m50;9j0a<722c=?7>5;h44>5<<g82h6=44}c3`b?6=;3:1<v*>a38234=O:li0D?jm;%31<?g<,8226?hl;h32e?6=3`;:n7>5;n3;7?6=3th9;k4?:283>5}#9h81=:?4H3g`?M4cj2.:>54n;h32e?6=3`;:n7>5;n3;7?6=3th9ol4?:583>5}#9h81=:<4H3g`?M4cj2.:>54>0b9'5=?=:oi0e<?n:188m47e2900e<?l:188k4>42900qo<:3;293?6=8r.:m?4>749K6`e<@;ni7)?=8;33a>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i60:0;66sm1`194?1=83:p(<o=:056?M4bk2B9ho5+13:955d<a8;j6=44i03a>5<<a8;h6=44i03g>5<<a8;n6=44i03e>5<<g8286=44}c0g=?6=;3:1<v*>a38234=O:li0D?jm;%31<?g<a8;j6=44i03a>5<<g8286=44}c052?6==3:1<v*>a38236=O:li0D?jm;%31<?463`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;3?7>5;|`121<72<0;6=u+1`09525<@;oh7E<kb:&26=<592c:=l4?::k25g<722c:=n4?::k25a<722e:4>4?::a634=83?1<7>t$0c1>4143A8no6F=dc9'57>=:81b=<o50;9j54d=831b=<m50;9j54b=831d=5=50;9~f707290>6=4?{%3b6?70;2B9in5G2e`8 44?2;;0e<?n:188m47e2900e<?l:188m47c2900c<6<:188yg4013:197>50z&2e7<6?:1C>hm4H3fa?!75038;7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd5?>0;684?:1y'5d4=9>90D?kl;I0gf>"6:109<6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e:>?1<7;50;2x 4g528=87E<jc:J1`g=#9;21>>5f10c94?=n98h1<75f10a94?=n98n1<75`19194?=zj;=86=4::183!7f:3;<?6F=eb9K6ad<,8836?=4i03b>5<<a8;i6=44i03`>5<<a8;o6=44o0:0>5<<uk;i:7>55;294~"6i;0:;>5G2da8L7be3-;947<>;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3;7?6=3th:n94?:483>5}#9h81=:=4H3g`?M4cj2.:>54=1:k25d<722c:=o4?::k25f<722c:=i4?::m2<6<722wi=o<50;794?6|,8k96<9<;I0fg>N5lk1/=?65209j54g=831b=<l50;9j54e=831b=<j50;9l5=5=831vn<l?:186>5<7s-;j>7?83:J1af=O:mh0(<<7:338m47f2900e<?m:188m47d2900e<?k:188k4>42900qo?l9;291?6=8r.:m?4>729K6`e<@;ni7)?=8;03?l76i3:17d?>b;29?l76k3:17d?>d;29?j7?;3:17pl>c683>0<729q/=l<51618L7cd3A8on6*>29817>o69h0;66g>1c83>>o69j0;66g>1e83>>i60:0;66sm1b794?3=83:p(<o=:050?M4bk2B9ho5+13:965=n98k1<75f10`94?=n98i1<75f10f94?=h9191<75rb0a0>5<2290;w)?n2;347>N5mj1C>il4$00;>75<a8;j6=44i03a>5<<a8;h6=44i03g>5<<g8286=44}c3`5?6==3:1<v*>a38236=O:li0D?jm;%31<?443`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;3?7>5;|`2``<72?0;6=u+1`09522<@;oh7E<kb:&26=<69<1b=<o50;9j54d=831b=<m50;9j54b=831b=<k50;9l5=5=831vn<j<:185>5<7s-;j>7?84:J1af=O:mh0(<<7:49'5=?=:o<0e<?n:188m47e2900e<?l:188m47c2900e<?j:188k4>42900qo?kc;292?6=8r.:m?4>759K6`e<@;ni7)?=8;05?!7?138m:6g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>i60:0;66sm1e794?5=83:p(<o=:052?M4bk2B9ho5+13:953=#9131>kl4i03b>5<<a8;i6=44o0:0>5<<uk;o:7>54;294~"6i;0:;?5G2da8L7be3-;947?6;%3;=?4aj2c:=l4?::k25g<722c:=n4?::m2<6<722wi=i650;694?6|,8k96<9=;I0fg>N5lk1/=?651g9j54g=831b=<l50;9j54e=831d=5=50;9~f4b6290=6=4?{%3b6?70<2B9in5G2e`8 44?28:=7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7?;3:17pl=8883>3<729q/=l<51668L7cd3A8on6*>29812>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>8283>>{e:;o1<7850;2x 4g528=?7E<jc:J1`g=#9;21=<84i03b>5<<a8;i6=44i03`>5<<a8;o6=44i03f>5<<g8286=44}c070?6=<3:1<v*>a38254=O:li0D?jm;%31<?4<a=i1<75f6283>>o1?3:17b?7c;29?xd5=l0;684?:1y'5d4=9>90D?kl;I0gf>"6:10=7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd5?80;684?:1y'5d4=9>90D?kl;I0gf>"6:109?6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e9ho1<7;50;2x 4g528=87E<jc:J1`g=#9;21:6g>1`83>>o69k0;66g>1b83>>o69m0;66a>8283>>{e:1k1<7950;2x 4g528=>7E<jc:J1`g=#9;21>55f10c94?=n98h1<75f10a94?=n98n1<75f10g94?=n98l1<75`19194?=zj;2>6=4;:183!7f:3;<>6F=eb9K6ad<,8836<>k;%3;=?4a12c:=l4?::k25g<722c:=n4?::m2<6<722wi>5m50;194?6|,8k96<9>;I0fg>N5lk1/=?651d9'5=?=:ok0e<?n:188m47e2900c<6<:188yg4?j3:1;7>50z&2e7<6?<1C>hm4H3fa?!7503827d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?l76n3:17b?73;29?xd5080;694?:1y'5d4=9>80D?kl;I0gf>"6:10:j6*>8881bd=n98k1<75f10`94?=n98i1<75`19194?=zj;2;6=48:183!7f:3;<96F=eb9K6ad<,8836?74i03b>5<<a8;i6=44i03`>5<<a8;o6=44i03f>5<<a8;m6=44o0:0>5<<uk;oh7>57;294~"6i;0:;85G2da8L7be3-;947<7;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3`;:j7>5;n3;7?6=3th9>k4?:783>5}#9h81=::4H3g`?M4cj2.:>54=7:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722e:4>4?::a613=83=1<7>t$0c1>4123A8no6F=dc9'57>=:h1b=<o50;9j54d=831b=<m50;9j54b=831b=<k50;9j54`=831d=5=50;9~f77d29086=4?{%3b6?7092B9in5G2e`8 44?2;80e<?n:188m47e2900c<6<:188yg46l3:1?7>50z&2e7<6?81C>hm4H3fa?!7503897d?>a;29?l76j3:17b?73;29?xd59l0;6>4?:1y'5d4=9>;0D?kl;I0gf>"6:109>6g>1`83>>o69k0;66a>8283>>{e:8l1<7=50;2x 4g528=:7E<jc:J1`g=#9;21>?5f10c94?=n98h1<75`19194?=zj;8;6=4<:183!7f:3;<=6F=eb9K6ad<,8836?<4i03b>5<<a8;i6=44o0:0>5<<uk89=7>53;294~"6i;0:;<5G2da8L7be3-;947<=;h32e?6=3`;:n7>5;n3;7?6=3th9>?4?:283>5}#9h81=:?4H3g`?M4cj2.:>54=2:k25d<722c:=o4?::m2<6<722wi>?=50;194?6|,8k96<9>;I0fg>N5lk1/=?65239j54g=831b=<l50;9l5=5=831vn??n:180>5<7s-;j>7?81:J1af=O:mh0(<<7:308m47f2900e<?m:188k4>42900qo<;8;291?6=8r.:m?4>729K6`e<@;ni7)?=8;d8m47f2900e<?m:188m47d2900e<?k:188k4>42900qo<>b;297?6=8r.:m?4>709K6`e<@;ni7)?=8;01?l76i3:17d?>b;29?j7?;3:17pl>dc83>2<729q/=l<51678L7cd3A8on6*>2982g>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>i60:0;66sm1e;94?3=83:p(<o=:050?M4bk2B9ho5+13:95d=#9131>k64i03b>5<<a8;i6=44i03`>5<<a8;o6=44o0:0>5<<uk;om7>56;294~"6i;0:;95G2da8L7be3-;947?m;%3;=?4a02c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2<6<722wi>5650;594?6|,8k96<9:;I0fg>N5lk1/=?65299j54g=831b=<l50;9j54e=831b=<j50;9j54c=831b=<h50;9l5=5=831vn?69:186>5<7s-;j>7?83:J1af=O:mh0(<<7:378 4>>2;l<7d?>a;29?l76j3:17d?>c;29?l76l3:17b?73;29?xd50>0;6;4?:1y'5d4=9>>0D?kl;I0gf>"6:109:6*>8881b2=n98k1<75f10`94?=n98i1<75f10f94?=n98o1<75`19194?=zj;296=4<:183!7f:3;<=6F=eb9K6ad<,8836?<4i03b>5<<a8;i6=44o0:0>5<<uk83?7>54;294~"6i;0:;?5G2da8L7be3-;947<;;%3;=?4a12c:=l4?::k25g<722c:=n4?::m2<6<722wi=h>50;594?6|,8k96<9:;I0fg>N5lk1/=?651b9j54g=831b=<l50;9j54e=831b=<j50;9j54c=831b=<h50;9l5=5=831vn<j;:181>5<7s-;j>7?9c:J1af=O:mh0e<?6:188k4>42900qo<ka;296?6=8r.:m?4>6b9K6`e<@;ni7d?>9;29?j7?;3:17pl>dg83>1<729q/=l<51608L7cd3A8on6*>2985<>o69h0;66g>1c83>>o69j0;66a>8283>>{e:kn1<7ll:183!7f:3;3h6F=eb9K6ad<R=h1ov659;33>42=980:97?=:e8`>`<6;3h1qc86:008j3g=9;1eh44?;oda>5=#9931==h4$02b>4g63-;9<7:4$002>1=#9;8186*>2287?!75<3>0(<<::59'570=<2.:>:4;;%31=?2<,88j695+13`90>"6:j0?7)?=d;68 44b2=1/=?h54:&275<33-;8=7:4$011>1=#9:9186*>3587?!74=3>0(<=9:59'561=<2.:?54;;%30=?2<,89j695+12`90>"6;j0?7)?<d;68 45b2=1/=>h54:&205<33-;?=7:4$061>1=#9=9186*>4587?!73=3>0(<:9:59'511=<2.:854;;%37=?2<,8>j695+15`90>"6<j0?7)?;d;68 42b2=1/=9h54:&215<33-;>=7:4$071>1=#9<9186*>5587?!72=3>0(<;9:59'501=<2.:954;;%36=?2<,8?j695+14`90>"6=j0?7)?:d;68 43b2=1/=8h54:&225<33-;==7:4$041>1=#9?9186*>6587?!71=3>0(<89:59'531=<2.::54;;%35=?5<,8<j6>5+17`95=4<,8386<o?;%3:0?2c3-;297:k;%3:=?2>3-;2m7?6c:&2=a<43-;2i7=4$3g;>4>b3-8n57?7e:k2<c<722c>:7>5;h33<?6=3`;2>7>5;h7a>5<<a83;6=44i0;2>5<<a8:<6=44ic094?"6010i=6`>8683?>oe83:1(<67:c38j4>02810elh50;&2<=<e92d:4:4=;:kba?6=,8236o?4n0:4>6=<a;o96=4+19:96`7<f82<6=54i3g3>5<#9121>h?4n0:4>4=<a;nm6=4+19:96`7<f82<6?54i3ff>5<#9121>h?4n0:4>6=<gm;1<7*>898g4>h60>0;76alf;29 4>?2m:0b<68:098kfb=83.:454k0:l2<2<532eho7>5$0:;>a6<f82<6>54ob`94?"6010o<6`>8687?>idi3:1(<67:e28j4>02<10cn750;&2<=<c82d:4:49;:m`<?6=,8236i>4n0:4>2=<gj=1<7*>898g4>h60>0376al6;29 4>?2m:0b<68:898kf3=83.:454k0:l2<2<f32eh87>5$0:;>a6<f82<6o54ob094?"6010o<6`>868`?>id93:1(<67:e28j4>02m10cn>50;&2<=<c82d:4:4j;:mab?6=,8236i>4n0:4>c=<gko1<7*>898g4>h60>0:<65`be83>!7?03n;7c?77;32?>iek3:1(<67:e28j4>028807blm:18'5=>=l91e=5951298kgg=83.:454k0:l2<2<6<21dn44?:%3;<?b73g;3;7?:;:mg<?6=,8236i>4n0:4>40<3fn<6=4+19:9`5=i91=1=:54oe494?"6010o<6`>8682<>=hl<0;6)?78;f3?k7??3;276ak4;29 4>?2m:0b<68:0c8?jb4290/=565d19m5=1=9k10ci<50;&2<=<c82d:4:4>c:9lg`<72-;347j?;o3;3?7c32eh?7>5$0:;>a6<f82<6<k4;n`;>5<#9121h=5a19595c=<a::1<7*>8981b>h60>0;76g=e;29 4>?2;l0b<68:098m7b=83.:454=f:l2<2<532c9o7>5$0:;>7`<f82<6>54i2;94?"60109j6`>8687?>o403:1(<67:3d8j4>02<10e>950;&2<=<5n2d:4:49;:k02?6=,8236?h4n0:4>2=<a:?1<7*>8981b>h60>0376g<4;29 4>?2;l0b<68:898m65=83.:454=f:l2<2<f32c8>7>5$0:;>7`<f82<6o54i2394?"60109j6`>868`?>o5j3:1(<67:3d8j4>02m10e>h50;&2<=<4m2d:4:4?;:k0`?6=,8236>k4n0:4>4=<a:i1<7*>8980a>h60>0976g<b;29 4>?2:o0b<68:298m1>=83.:454<e:l2<2<332c?;7>5$0:;>6c<f82<6854i5494?"60108i6`>8685?>o3=3:1(<67:2g8j4>02>10e9:50;&2<=<4m2d:4:47;:k77?6=,8236>k4n0:4><=<a=81<7*>8980a>h60>0j76g;1;29 4>?2:o0b<68:c98m16=83.:454<e:l2<2<d32c8m7>5$0:;>6c<f82<6i54i`494?"6010j96`>8683?>of<3:1(<67:`78j4>02810el<50;&2<=<f=2d:4:4=;:kb5?6=,8236l;4n0:4>6=<ah:1<7*>898b1>h60>0?76g6f;29 4>?2h?0b<68:498m<c=83.:454n5:l2<2<132c2h7>5$0:;>d3<f82<6:54i8a94?"6010j96`>868;?>o>j3:1(<67:`78j4>02010e4o50;&2<=<f=2d:4:4n;:k:=?6=,8236l;4n0:4>g=<a0=1<7*>898b1>h60>0h76g66;29 4>?2h?0b<68:e98m<3=83.:454n5:l2<2<b32c287>5$0:;>d3<f82<6k54i8194?"6010j96`>86824>=n1;0;6)?78;c6?k7??3;:76g61;29 4>?2h?0b<68:008?l?7290/=565a49m5=1=9:10e5h50;&2<=<f=2d:4:4>4:9j<`<72-;347o:;o3;3?7232cjh7>5$0:;>d3<f82<6<84;hc`>5<#9121m85a195952=<ahh1<7*>898b1>h60>0:465fa`83>!7?03k>7c?77;3:?>of13:1(<67:`78j4>028k07do7:18'5=>=i<1e=5951c98md1=83.:454n5:l2<2<6k21bm>4?:%3;<?g23g;3;7?k;:k:<?6=,8236l;4n0:4>4c<3`2o6=4+19:9e0=i91=1=k54o023>5<#9121jk5a19594>=hnl0;6)?78;de?k7??3;07bhk:18'5=>=no1e=5952:9lbf<72-;347hi;o3;3?5<3`h<6=4+19:9f3=i91=1<65fb483>!7?03h=7c?77;38?ld3290/=565b79m5=1=:21bn>4?:%3;<?d13g;3;7=4;n331?6=,8236<>;;o3;3?6<3f;;?7>5$0:;>4633g;3;7?4;n336?6=,8236<>;;o3;3?4<3f;;=7>5$0:;>4633g;3;7=4;nd0>5<#9121j?5a19594>=hn80;6)?78;d1?k7??3;07bki:18'5=>=n;1e=5952:9la`<72-;347h=;o3;3?5<3foo6=4+19:9b7=i91=1865`eb83>!7?03l97c?77;78?jce290/=565f39m5=1=>21dil4?:%3;<?`53g;3;794;ng:>5<#9121j?5a1959<>=hm10;6)?78;d1?k7??3307bk8:18'5=>=n;1e=595a:9la3<72-;347h=;o3;3?d<3fo?6=4+19:9b7=i91=1o65`e283>!7?03l97c?77;f8?jc5290/=565f39m5=1=m21di<4?:%3;<?`53g;3;7h4;ng3>5<#9121j?5a195955=<gml1<7*>898e6>h60>0:=65`dd83>!7?03l97c?77;31?>icl3:1(<67:g08j4>028907bjl:18'5=>=n;1e=5951598kad=83.:454i2:l2<2<6=21djl4?:%3;<?`53g;3;7?9;:me=?6=,8236k<4n0:4>41<3fl36=4+19:9b7=i91=1=554og594?"6010m>6`>8682=>=hn?0;6)?78;d1?k7??3;j76ai5;29 4>?2o80b<68:0`8?j`3290/=565f39m5=1=9j10ck>50;&2<=<a:2d:4:4>d:9la0<72-;347h=;o3;3?7b32eom7>5$0:;>c4<f82<6<h4;h0f3?6=,8236?k9;o3;3?6<3`8n97>5$0:;>7c13g;3;7?4;h0f0?6=,8236?k9;o3;3?4<3`8n?7>5$0:;>7c13g;3;7=4;h:6>5<#9121495a19594>=n0:0;6)?78;:7?k7??3;07d6>:18'5=>=0=1e=5952:9j<5<72-;3476;;o3;3?5<3`=m6=4+19:9<1=i91=1865f7d83>!7?032?7c?77;78?l1c290/=565859m5=1=>21b;n4?:%3;<?>33g;3;794;h5a>5<#9121495a1959<>=n?h0;6)?78;:7?k7??3307d96:18'5=>=0=1e=595a:9j3=<72-;3476;;o3;3?d<3`==6=4+19:9<1=i91=1o65f7483>!7?032?7c?77;f8?l13290/=565859m5=1=m21b;>4?:%3;<?>33g;3;7h4;h51>5<#9121495a195955=<a>;1<7*>898;0>h60>0:=65f7183>!7?032?7c?77;31?>o1n3:1(<67:968j4>028907d8j:18'5=>=0=1e=5951598m3b=83.:45474:l2<2<6=21b4n4?:%3;<?>33g;3;7?9;:k;f?6=,82365:4n0:4>41<3`2j6=4+19:9<1=i91=1=554i9;94?"6010386`>8682=>=n010;6)?78;:7?k7??3;j76g77;29 4>?21>0b<68:0`8?l>1290/=565859m5=1=9j10e5<50;&2<=<?<2d:4:4>d:9j32<72-;3476;;o3;3?7b32c=o7>5$0:;>=2<f82<6<h4;|`1g5<72ki1<7>t$0c1>4>c3A8no6F=dc9Y0g<ds1026<>515825?722881h7m5e;30>g<zf?31=?5a6`826>hc13:0bkl50:&24<<68o1/==o51`38 4472=1/=??54:&267<33-;9?7:4$007>1=#9;?186*>2787?!75?3>0(<<6:59'57g=<2.:>o4;;%31g?2<,88o695+13g90>"6:o0?7)?<0;68 4562=1/=><54:&276<33-;887:4$016>1=#9:<186*>3687?!7403>0(<=6:59'56g=<2.:?o4;;%30g?2<,89o695+12g90>"6;o0?7)?;0;68 4262=1/=9<54:&206<33-;?87:4$066>1=#9=<186*>4687?!7303>0(<:6:59'51g=<2.:8o4;;%37g?2<,8>o695+15g90>"6<o0?7)?:0;68 4362=1/=8<54:&216<33-;>87:4$076>1=#9<<186*>5687?!7203>0(<;6:59'50g=<2.:9o4;;%36g?2<,8?o695+14g90>"6=o0?7)?90;68 4062=1/=;<54:&226<33-;=87:4$046>1=#9?<186*>6687?!7103>0(<86:29'53g=;2.::o4>839'5<5=9h:0(<7;:5f8 4?22=n0(<76:5;8 4?f283h7)?6d;18 4?b2:1/>h6519g8 7c>282n7d?7f;29?l312900e<>7:188m4?52900e8l50;9j5<6=831b=4?50;9j551=831bn?4?:%3;<?d63g;3;7>4;h`3>5<#9121n<5a19595>=nio0;6)?78;`2?k7??3807doj:18'5=>=j81e=5953:9j6`4=83.:454=e09m5=1=821b>h>50;&2<=<5m81e=5951:9j6a`=83.:454=e09m5=1=:21b>ik50;&2<=<5m81e=5953:9l`4<72-;347j?;o3;3?6<3fim6=4+19:9`5=i91=1=65`ce83>!7?03n;7c?77;08?jed290/=565d19m5=1=;21doo4?:%3;<?b73g;3;7:4;nab>5<#9121h=5a19591>=hk00;6)?78;f3?k7??3<07bm7:18'5=>=l91e=5957:9lg2<72-;347j?;o3;3?><3fi=6=4+19:9`5=i91=1565`c483>!7?03n;7c?77;c8?je3290/=565d19m5=1=j21do?4?:%3;<?b73g;3;7m4;na2>5<#9121h=5a1959`>=hk90;6)?78;f3?k7??3o07bli:18'5=>=l91e=595f:9lf`<72-;347j?;o3;3?7732eih7>5$0:;>a6<f82<6<?4;n``>5<#9121h=5a195957=<gkh1<7*>898g4>h60>0:?65`b`83>!7?03n;7c?77;37?>ie13:1(<67:e28j4>028?07bj7:18'5=>=l91e=5951798ka1=83.:454k0:l2<2<6?21dh;4?:%3;<?b73g;3;7?7;:mg1?6=,8236i>4n0:4>4?<3fn?6=4+19:9`5=i91=1=l54oe194?"6010o<6`>8682f>=hl;0;6)?78;f3?k7??3;h76ale;29 4>?2m:0b<68:0f8?je4290/=565d19m5=1=9l10co650;&2<=<c82d:4:4>f:9j75<72-;347<i;o3;3?6<3`8n6=4+19:96c=i91=1=65f2e83>!7?038m7c?77;08?l4d290/=5652g9m5=1=;21b?44?:%3;<?4a3g;3;7:4;h1;>5<#9121>k5a19591>=n;>0;6)?78;0e?k7??3<07d=9:18'5=>=:o1e=5957:9j70<72-;347<i;o3;3?><3`9?6=4+19:96c=i91=1565f3283>!7?038m7c?77;c8?l55290/=5652g9m5=1=j21b?<4?:%3;<?4a3g;3;7m4;h0a>5<#9121>k5a1959`>=n;o0;6)?78;1f?k7??3:07d=k:18'5=>=;l1e=5951:9j7f<72-;347=j;o3;3?4<3`9i6=4+19:97`=i91=1?65f4983>!7?039n7c?77;68?l20290/=5653d9m5=1==21b8;4?:%3;<?5b3g;3;784;h66>5<#9121?h5a19593>=n<=0;6)?78;1f?k7??3207d:<:18'5=>=;l1e=5959:9j07<72-;347=j;o3;3?g<3`>:6=4+19:97`=i91=1n65f4183>!7?039n7c?77;a8?l5f290/=5653d9m5=1=l21bm;4?:%3;<?g23g;3;7>4;hc7>5<#9121m85a19595>=ni;0;6)?78;c6?k7??3807do>:18'5=>=i<1e=5953:9je5<72-;347o:;o3;3?2<3`3m6=4+19:9e0=i91=1965f9d83>!7?03k>7c?77;48?l?c290/=565a49m5=1=?21b5n4?:%3;<?g23g;3;764;h;a>5<#9121m85a1959=>=n1h0;6)?78;c6?k7??3k07d76:18'5=>=i<1e=595b:9j=2<72-;347o:;o3;3?e<3`3=6=4+19:9e0=i91=1h65f9483>!7?03k>7c?77;g8?l?3290/=565a49m5=1=n21b5>4?:%3;<?g23g;3;7??;:k:6?6=,8236l;4n0:4>47<3`3:6=4+19:9e0=i91=1=?54i8294?"6010j96`>86827>=n0o0;6)?78;c6?k7??3;?76g7e;29 4>?2h?0b<68:078?lgc290/=565a49m5=1=9?10elm50;&2<=<f=2d:4:4>7:9jeg<72-;347o:;o3;3?7?32cjm7>5$0:;>d3<f82<6<74;hc:>5<#9121m85a19595d=<ah21<7*>898b1>h60>0:n65fa683>!7?03k>7c?77;3`?>of;3:1(<67:`78j4>028n07d77:18'5=>=i<1e=5951d98m=b=83.:454n5:l2<2<6n21d==>50;&2<=<an2d:4:4?;:mea?6=,8236kh4n0:4>4=<gon1<7*>898eb>h60>0976aic;29 4>?2ol0b<68:298mg1=83.:454m6:l2<2<732ci97>5$0:;>g0<f82<6<54ic694?"6010i:6`>8681?>oe;3:1(<67:c48j4>02:10c<>::18'5=>=99>0b<68:198k464290/=5651168j4>02810c<>=:18'5=>=99>0b<68:398k466290/=5651168j4>02:10ck=50;&2<=<a:2d:4:4?;:me5?6=,8236k<4n0:4>4=<gll1<7*>898e6>h60>0976aje;29 4>?2o80b<68:298k`b=83.:454i2:l2<2<332eno7>5$0:;>c4<f82<6854od`94?"6010m>6`>8685?>ibi3:1(<67:g08j4>02>10ch750;&2<=<a:2d:4:47;:mf<?6=,8236k<4n0:4><=<gl=1<7*>898e6>h60>0j76aj6;29 4>?2o80b<68:c98k`2=83.:454i2:l2<2<d32en?7>5$0:;>c4<f82<6i54od094?"6010m>6`>868f?>ib93:1(<67:g08j4>02o10ch>50;&2<=<a:2d:4:4>0:9l`c<72-;347h=;o3;3?7632eoi7>5$0:;>c4<f82<6<<4;nfg>5<#9121j?5a195956=<gmi1<7*>898e6>h60>0:865`dc83>!7?03l97c?77;36?>iai3:1(<67:g08j4>028<07bh6:18'5=>=n;1e=5951698kc>=83.:454i2:l2<2<6021dj:4?:%3;<?`53g;3;7?6;:me2?6=,8236k<4n0:4>4g<3fl>6=4+19:9b7=i91=1=o54og694?"6010m>6`>8682g>=hn90;6)?78;d1?k7??3;o76aj5;29 4>?2o80b<68:0g8?jbf290/=565f39m5=1=9o10e?k8:18'5=>=:l<0b<68:198m7c2290/=5652d48j4>02810e?k;:18'5=>=:l<0b<68:398m7c4290/=5652d48j4>02:10e5;50;&2<=<?<2d:4:4?;:k;7?6=,82365:4n0:4>4=<a1;1<7*>898;0>h60>0976g70;29 4>?21>0b<68:298m2`=83.:45474:l2<2<332c<i7>5$0:;>=2<f82<6854i6f94?"6010386`>8685?>o0k3:1(<67:968j4>02>10e:l50;&2<=<?<2d:4:47;:k4e?6=,82365:4n0:4><=<a>31<7*>898;0>h60>0j76g88;29 4>?21>0b<68:c98m20=83.:45474:l2<2<d32c<97>5$0:;>=2<f82<6i54i6694?"6010386`>868f?>o0;3:1(<67:968j4>02o10e:<50;&2<=<?<2d:4:4>0:9j34<72-;3476;;o3;3?7632c<<7>5$0:;>=2<f82<6<<4;h4e>5<#9121495a195956=<a?o1<7*>898;0>h60>0:865f6e83>!7?032?7c?77;36?>o?k3:1(<67:968j4>028<07d6m:18'5=>=0=1e=5951698m=g=83.:45474:l2<2<6021b444?:%3;<?>33g;3;7?6;:k;<?6=,82365:4n0:4>4g<3`2<6=4+19:9<1=i91=1=o54i9494?"6010386`>8682g>=n0;0;6)?78;:7?k7??3;o76g87;29 4>?21>0b<68:0g8?l0d290/=565859m5=1=9o10qo<l3;29ff<729q/=l<519f8L7cd3A8on6T;b;ax<??=990:87?>:07957<c2j0n6<=5b;m2<<6:2d=m7?=;of:>5=ink0;7)??9;33b>"68h0:m<5+13290>"6:80?7)?=2;68 4442=1/=?:54:&260<33-;9:7:4$004>1=#9;3186*>2`87?!75j3>0(<<l:59'57b=<2.:>h4;;%31b?2<,89;695+12390>"6;;0?7)?<3;68 4532=1/=>;54:&273<33-;8;7:4$01;>1=#9:3186*>3`87?!74j3>0(<=l:59'56b=<2.:?h4;;%30b?2<,8>;695+15390>"6<;0?7)?;3;68 4232=1/=9;54:&203<33-;?;7:4$06;>1=#9=3186*>4`87?!73j3>0(<:l:59'51b=<2.:8h4;;%37b?2<,8?;695+14390>"6=;0?7)?:3;68 4332=1/=8;54:&213<33-;>;7:4$07;>1=#9<3186*>5`87?!72j3>0(<;l:59'50b=<2.:9h4;;%36b?2<,8<;695+17390>"6>;0?7)?93;68 4032=1/=;;54:&223<33-;=;7:4$04;>1=#9?31?6*>6`80?!71j3;3>6*>9282e5=#90>18i5+18790a=#9031845+18c95<e<,83o6>5+18g97>"5m10:4h5+2d;95=c<a82m6=44i4494?=n9921<75f18094?=n=k0;66g>9183>>o6180;66g>0683>>oe:3:1(<67:c38j4>02910eo>50;&2<=<e92d:4:4>;:kbb?6=,8236o?4n0:4>7=<aho1<7*>898a5>h60>0876g=e383>!7?038n=6`>8683?>o5m90;6)?78;0f5>h60>0:76g=dg83>!7?038n=6`>8681?>o5ll0;6)?78;0f5>h60>0876ak1;29 4>?2m:0b<68:198kf`=83.:454k0:l2<2<632ehh7>5$0:;>a6<f82<6?54oba94?"6010o<6`>8680?>idj3:1(<67:e28j4>02=10cno50;&2<=<c82d:4:4:;:m`=?6=,8236i>4n0:4>3=<gj21<7*>898g4>h60>0<76al7;29 4>?2m:0b<68:998kf0=83.:454k0:l2<2<>32eh97>5$0:;>a6<f82<6l54ob694?"6010o<6`>868a?>id:3:1(<67:e28j4>02j10cn?50;&2<=<c82d:4:4k;:m`4?6=,8236i>4n0:4>`=<gkl1<7*>898g4>h60>0m76ame;29 4>?2m:0b<68:028?jdc290/=565d19m5=1=9810com50;&2<=<c82d:4:4>2:9lfg<72-;347j?;o3;3?7432eim7>5$0:;>a6<f82<6<:4;n`:>5<#9121h=5a195950=<gm21<7*>898g4>h60>0::65`d683>!7?03n;7c?77;34?>ic>3:1(<67:e28j4>028207bj::18'5=>=l91e=5951898ka2=83.:454k0:l2<2<6i21dh>4?:%3;<?b73g;3;7?m;:mg6?6=,8236i>4n0:4>4e<3fin6=4+19:9`5=i91=1=i54ob194?"6010o<6`>8682a>=hj10;6)?78;f3?k7??3;m76g<0;29 4>?2;l0b<68:198m7c=83.:454=f:l2<2<632c9h7>5$0:;>7`<f82<6?54i3a94?"60109j6`>8680?>o413:1(<67:3d8j4>02=10e>650;&2<=<5n2d:4:4:;:k03?6=,8236?h4n0:4>3=<a:<1<7*>8981b>h60>0<76g<5;29 4>?2;l0b<68:998m62=83.:454=f:l2<2<>32c8?7>5$0:;>7`<f82<6l54i2094?"60109j6`>868a?>o493:1(<67:3d8j4>02j10e?l50;&2<=<5n2d:4:4k;:k0b?6=,8236>k4n0:4>5=<a:n1<7*>8980a>h60>0:76g<c;29 4>?2:o0b<68:398m6d=83.:454<e:l2<2<432c?47>5$0:;>6c<f82<6954i5594?"60108i6`>8686?>o3>3:1(<67:2g8j4>02?10e9;50;&2<=<4m2d:4:48;:k70?6=,8236>k4n0:4>==<a=91<7*>8980a>h60>0276g;2;29 4>?2:o0b<68:`98m17=83.:454<e:l2<2<e32c?<7>5$0:;>6c<f82<6n54i2c94?"60108i6`>868g?>of>3:1(<67:`78j4>02910el:50;&2<=<f=2d:4:4>;:kb6?6=,8236l;4n0:4>7=<ah;1<7*>898b1>h60>0876gn0;29 4>?2h?0b<68:598m<`=83.:454n5:l2<2<232c2i7>5$0:;>d3<f82<6;54i8f94?"6010j96`>8684?>o>k3:1(<67:`78j4>02110e4l50;&2<=<f=2d:4:46;:k:e?6=,8236l;4n0:4>d=<a031<7*>898b1>h60>0i76g67;29 4>?2h?0b<68:b98m<0=83.:454n5:l2<2<c32c297>5$0:;>d3<f82<6h54i8694?"6010j96`>868e?>o>;3:1(<67:`78j4>028:07d7=:18'5=>=i<1e=5951098m<7=83.:454n5:l2<2<6:21b5=4?:%3;<?g23g;3;7?<;:k;b?6=,8236l;4n0:4>42<3`2n6=4+19:9e0=i91=1=854i`f94?"6010j96`>86822>=nij0;6)?78;c6?k7??3;<76gnb;29 4>?2h?0b<68:0:8?lgf290/=565a49m5=1=9010el750;&2<=<f=2d:4:4>a:9je=<72-;347o:;o3;3?7e32cj;7>5$0:;>d3<f82<6<m4;hc0>5<#9121m85a19595a=<a021<7*>898b1>h60>0:i65f8e83>!7?03k>7c?77;3e?>i6890;6)?78;de?k7??3:07bhj:18'5=>=no1e=5951:9lba<72-;347hi;o3;3?4<3flh6=4+19:9bc=i91=1?65fb683>!7?03h=7c?77;28?ld2290/=565b79m5=1=921bn94?:%3;<?d13g;3;7<4;h`0>5<#9121n;5a19597>=h99?1<7*>898241=i91=1<65`11194?"6010:<95a19595>=h9981<7*>898241=i91=1>65`11394?"6010:<95a19597>=hn:0;6)?78;d1?k7??3:07bh>:18'5=>=n;1e=5951:9lac<72-;347h=;o3;3?4<3fon6=4+19:9b7=i91=1?65`ee83>!7?03l97c?77;68?jcd290/=565f39m5=1==21dio4?:%3;<?`53g;3;784;ngb>5<#9121j?5a19593>=hm00;6)?78;d1?k7??3207bk7:18'5=>=n;1e=5959:9la2<72-;347h=;o3;3?g<3fo=6=4+19:9b7=i91=1n65`e583>!7?03l97c?77;a8?jc4290/=565f39m5=1=l21di?4?:%3;<?`53g;3;7k4;ng2>5<#9121j?5a1959b>=hm90;6)?78;d1?k7??3;;76akf;29 4>?2o80b<68:038?jbb290/=565f39m5=1=9;10cij50;&2<=<a:2d:4:4>3:9l`f<72-;347h=;o3;3?7332eon7>5$0:;>c4<f82<6<;4;ndb>5<#9121j?5a195953=<go31<7*>898e6>h60>0:;65`f983>!7?03l97c?77;3;?>ia?3:1(<67:g08j4>028307bh9:18'5=>=n;1e=5951`98kc3=83.:454i2:l2<2<6j21dj94?:%3;<?`53g;3;7?l;:me4?6=,8236k<4n0:4>4b<3fo>6=4+19:9b7=i91=1=h54oec94?"6010m>6`>8682b>=n:l=1<7*>8981a3=i91=1<65f2d794?"60109i;5a19595>=n:l>1<7*>8981a3=i91=1>65f2d194?"60109i;5a19597>=n0<0;6)?78;:7?k7??3:07d6<:18'5=>=0=1e=5951:9j<4<72-;3476;;o3;3?4<3`2;6=4+19:9<1=i91=1?65f7g83>!7?032?7c?77;68?l1b290/=565859m5=1==21b;i4?:%3;<?>33g;3;784;h5`>5<#9121495a19593>=n?k0;6)?78;:7?k7??3207d9n:18'5=>=0=1e=5959:9j3<<72-;3476;;o3;3?g<3`=36=4+19:9<1=i91=1n65f7783>!7?032?7c?77;a8?l12290/=565859m5=1=l21b;94?:%3;<?>33g;3;7k4;h50>5<#9121495a1959b>=n?;0;6)?78;:7?k7??3;;76g81;29 4>?21>0b<68:038?l17290/=565859m5=1=9;10e;h50;&2<=<?<2d:4:4>3:9j2`<72-;3476;;o3;3?7332c=h7>5$0:;>=2<f82<6<;4;h:`>5<#9121495a195953=<a1h1<7*>898;0>h60>0:;65f8`83>!7?032?7c?77;3;?>o?13:1(<67:968j4>028307d67:18'5=>=0=1e=5951`98m=1=83.:45474:l2<2<6j21b4;4?:%3;<?>33g;3;7?l;:k;6?6=,82365:4n0:4>4b<3`=<6=4+19:9<1=i91=1=h54i7a94?"6010386`>8682b>=zj;i=6=4mc;294~"6i;0:4i5G2da8L7be3S>i6nu7:8824?7328;1=84>2;f9g?c=9:0i6p`99;31?k0f2880bi750:lef?6<,8:26<>i;%33e?7f92.:>=4;;%315?2<,889695+13190>"6:=0?7)?=5;68 4412=1/=?954:&26<<33-;9m7:4$00a>1=#9;i186*>2e87?!75m3>0(<<i:59'566=<2.:?<4;;%306?2<,898695+12690>"6;<0?7)?<6;68 4502=1/=>654:&27<<33-;8m7:4$01a>1=#9:i186*>3e87?!74m3>0(<=i:59'516=<2.:8<4;;%376?2<,8>8695+15690>"6<<0?7)?;6;68 4202=1/=9654:&20<<33-;?m7:4$06a>1=#9=i186*>4e87?!73m3>0(<:i:59'506=<2.:9<4;;%366?2<,8?8695+14690>"6=<0?7)?:6;68 4302=1/=8654:&21<<33-;>m7:4$07a>1=#9<i186*>5e87?!72m3>0(<;i:59'536=<2.::<4;;%356?2<,8<8695+17690>"6><0?7)?96;68 4002=1/=;654:&22<<43-;=m7=4$04a>4>53-;2?7?n0:&2=1<3l2.:584;d:&2=<<312.:5l4>9b9'5<b=;2.:5h4<;%0f<?7?m2.9i44>8d9j5=`=831b9;4?::k24=<722c:5?4?::k6f?6=3`;2<7>5;h3:5?6=3`;;;7>5;h`1>5<#9121n<5a19594>=nj90;6)?78;`2?k7??3;07doi:18'5=>=j81e=5952:9je`<72-;347l>;o3;3?5<3`8n>7>5$0:;>7c63g;3;7>4;h0f4?6=,8236?k>;o3;3?7<3`8oj7>5$0:;>7c63g;3;7<4;h0ga?6=,8236?k>;o3;3?5<3fn:6=4+19:9`5=i91=1<65`cg83>!7?03n;7c?77;38?jec290/=565d19m5=1=:21don4?:%3;<?b73g;3;7=4;naa>5<#9121h=5a19590>=hkh0;6)?78;f3?k7??3?07bm6:18'5=>=l91e=5956:9lg=<72-;347j?;o3;3?1<3fi<6=4+19:9`5=i91=1465`c783>!7?03n;7c?77;;8?je2290/=565d19m5=1=i21do94?:%3;<?b73g;3;7l4;na1>5<#9121h=5a1959g>=hk80;6)?78;f3?k7??3n07bm?:18'5=>=l91e=595e:9lfc<72-;347j?;o3;3?`<3fhn6=4+19:9`5=i91=1==54ocf94?"6010o<6`>86825>=hjj0;6)?78;f3?k7??3;976amb;29 4>?2m:0b<68:018?jdf290/=565d19m5=1=9=10co750;&2<=<c82d:4:4>5:9l`=<72-;347j?;o3;3?7132eo;7>5$0:;>a6<f82<6<94;nf5>5<#9121h=5a19595==<gm?1<7*>898g4>h60>0:565`d583>!7?03n;7c?77;3b?>ic;3:1(<67:e28j4>028h07bj=:18'5=>=l91e=5951b98kfc=83.:454k0:l2<2<6l21do>4?:%3;<?b73g;3;7?j;:ma<?6=,8236i>4n0:4>4`<3`9;6=4+19:96c=i91=1<65f2d83>!7?038m7c?77;38?l4c290/=5652g9m5=1=:21b>n4?:%3;<?4a3g;3;7=4;h1:>5<#9121>k5a19590>=n;10;6)?78;0e?k7??3?07d=8:18'5=>=:o1e=5956:9j73<72-;347<i;o3;3?1<3`9>6=4+19:96c=i91=1465f3583>!7?038m7c?77;;8?l54290/=5652g9m5=1=i21b??4?:%3;<?4a3g;3;7l4;h12>5<#9121>k5a1959g>=n:k0;6)?78;0e?k7??3n07d=i:18'5=>=;l1e=5950:9j7a<72-;347=j;o3;3?7<3`9h6=4+19:97`=i91=1>65f3c83>!7?039n7c?77;18?l2?290/=5653d9m5=1=<21b8:4?:%3;<?5b3g;3;7;4;h65>5<#9121?h5a19592>=n<<0;6)?78;1f?k7??3=07d:;:18'5=>=;l1e=5958:9j06<72-;347=j;o3;3??<3`>96=4+19:97`=i91=1m65f4083>!7?039n7c?77;`8?l27290/=5653d9m5=1=k21b?l4?:%3;<?5b3g;3;7j4;hc5>5<#9121m85a19594>=ni=0;6)?78;c6?k7??3;07do=:18'5=>=i<1e=5952:9je4<72-;347o:;o3;3?5<3`k;6=4+19:9e0=i91=1865f9g83>!7?03k>7c?77;78?l?b290/=565a49m5=1=>21b5i4?:%3;<?g23g;3;794;h;`>5<#9121m85a1959<>=n1k0;6)?78;c6?k7??3307d7n:18'5=>=i<1e=595a:9j=<<72-;347o:;o3;3?d<3`3<6=4+19:9e0=i91=1o65f9783>!7?03k>7c?77;f8?l?2290/=565a49m5=1=m21b594?:%3;<?g23g;3;7h4;h;0>5<#9121m85a195955=<a081<7*>898b1>h60>0:=65f9083>!7?03k>7c?77;31?>o>83:1(<67:`78j4>028907d6i:18'5=>=i<1e=5951598m=c=83.:454n5:l2<2<6=21bmi4?:%3;<?g23g;3;7?9;:kbg?6=,8236l;4n0:4>41<3`ki6=4+19:9e0=i91=1=554i`c94?"6010j96`>8682=>=ni00;6)?78;c6?k7??3;j76gn8;29 4>?2h?0b<68:0`8?lg0290/=565a49m5=1=9j10el=50;&2<=<f=2d:4:4>d:9j==<72-;347o:;o3;3?7b32c3h7>5$0:;>d3<f82<6<h4;n334?6=,8236kh4n0:4>5=<goo1<7*>898eb>h60>0:76aid;29 4>?2ol0b<68:398kce=83.:454if:l2<2<432ci;7>5$0:;>g0<f82<6=54ic794?"6010i:6`>8682?>oe<3:1(<67:c48j4>02;10eo=50;&2<=<e>2d:4:4<;:m240<72-;347??4:l2<2<732e:<>4?:%3;<?77<2d:4:4>;:m247<72-;347??4:l2<2<532e:<<4?:%3;<?77<2d:4:4<;:me7?6=,8236k<4n0:4>5=<go;1<7*>898e6>h60>0:76ajf;29 4>?2o80b<68:398k`c=83.:454i2:l2<2<432enh7>5$0:;>c4<f82<6954oda94?"6010m>6`>8686?>ibj3:1(<67:g08j4>02?10cho50;&2<=<a:2d:4:48;:mf=?6=,8236k<4n0:4>==<gl21<7*>898e6>h60>0276aj7;29 4>?2o80b<68:`98k`0=83.:454i2:l2<2<e32en87>5$0:;>c4<f82<6n54od194?"6010m>6`>868g?>ib:3:1(<67:g08j4>02l10ch?50;&2<=<a:2d:4:4i;:mf4?6=,8236k<4n0:4>46<3fnm6=4+19:9b7=i91=1=<54oeg94?"6010m>6`>86826>=hlm0;6)?78;d1?k7??3;876akc;29 4>?2o80b<68:068?jbe290/=565f39m5=1=9<10cko50;&2<=<a:2d:4:4>6:9lb<<72-;347h=;o3;3?7032em47>5$0:;>c4<f82<6<64;nd4>5<#9121j?5a19595<=<go<1<7*>898e6>h60>0:m65`f483>!7?03l97c?77;3a?>ia<3:1(<67:g08j4>028i07bh?:18'5=>=n;1e=5951e98k`3=83.:454i2:l2<2<6m21dhl4?:%3;<?`53g;3;7?i;:k1a2<72-;347<j6:l2<2<732c9i84?:%3;<?4b>2d:4:4>;:k1a1<72-;347<j6:l2<2<532c9i>4?:%3;<?4b>2d:4:4<;:k;1?6=,82365:4n0:4>5=<a191<7*>898;0>h60>0:76g71;29 4>?21>0b<68:398m=6=83.:45474:l2<2<432c<j7>5$0:;>=2<f82<6954i6g94?"6010386`>8686?>o0l3:1(<67:968j4>02?10e:m50;&2<=<?<2d:4:48;:k4f?6=,82365:4n0:4>==<a>k1<7*>898;0>h60>0276g89;29 4>?21>0b<68:`98m2>=83.:45474:l2<2<e32c<:7>5$0:;>=2<f82<6n54i6794?"6010386`>868g?>o0<3:1(<67:968j4>02l10e:=50;&2<=<?<2d:4:4i;:k46?6=,82365:4n0:4>46<3`=:6=4+19:9<1=i91=1=<54i6294?"6010386`>86826>=n>o0;6)?78;:7?k7??3;876g9e;29 4>?21>0b<68:068?l0c290/=565859m5=1=9<10e5m50;&2<=<?<2d:4:4>6:9j<g<72-;3476;;o3;3?7032c3m7>5$0:;>=2<f82<6<64;h::>5<#9121495a19595<=<a121<7*>898;0>h60>0:m65f8683>!7?032?7c?77;3a?>o?>3:1(<67:968j4>028i07d6=:18'5=>=0=1e=5951e98m21=83.:45474:l2<2<6m21b:n4?:%3;<?>33g;3;7?i;:p7d5=838pR>o<;<0g1?7?i2wx=:o50;7x97cb282>70<:8;6e?841j3>m70?n8;6e?87ej3>m7p}>7b83>45?s48nh7?>7:?1`6<1?279h9497:?1gc<1?279h=497:?1`0<1?2799549b:?11<<1j2799l49b:?11g<1j2799n49b:?12g<1j279:n49b:?12a<1j279:h49b:?12c<1j27:m549b:?2e<<1j27:ml49b:?2eg<1j27:mn49b:?2fg<1j27:nn49b:?2fa<1j27:nh49b:?2fc<1j279==4;f:?155<61?16>oj5329>6gb=;;16>oj5309>6gb=:k16>oj5439>6gb=<816>oj5419>6gb=;h16>oj5849>6gb=0:16>oj5809>6gb=0916>oj57g9>6gb=?l16>oj57e9>6gb=?j16>oj57c9>6gb=?h16>oj5789>6gb=?116>oj5779>6gb=?<16>oj5759>6gb=?:16>oj5a79>6gb=i=16>oj5a39>6gb=i816>oj5a19>6gb=1o16>oj59d9>6gb=1m16>oj59b9>6gb=1k16>oj59`9>6gb=1016>oj5969>6gb=1?16>oj5949>6gb=1=16>oj5929>6gb=1;16>oj5909>6gb=1916>oj58g9>6gb=0l16>oj5ae9>6gb=ij16>oj5ac9>6gb=ih16>oj5a89>6gb=i116>oj5a69>6gb=i:16>oj5999>6gb=0m16>oj5b39>6gb=j916>oj5b69>6gb=j<16>oj5b59>6gb=j:16>oj52d5897dc2;o>70<md;0f0>;5jm09i>522cf95=`<5;ho6<7?;<0a`?7>9279o=4<3:?1g5<4:279o=4<1:?1g5<5j279o=4;2:?1g5<39279o=4;0:?1g5<4i279o=475:?1g5<?;279o=471:?1g5<?8279o=48f:?1g5<0m279o=48d:?1g5<0k279o=48b:?1g5<0i279o=489:?1g5<00279o=486:?1g5<0=279o=484:?1g5<0;279o=4n6:?1g5<f<279o=4n2:?1g5<f9279o=4n0:?1g5<>n279o=46e:?1g5<>l279o=46c:?1g5<>j279o=46a:?1g5<>1279o=467:?1g5<>>279o=465:?1g5<><279o=463:?1g5<>:279o=461:?1g5<>8279o=47f:?1g5<?m279o=4nd:?1g5<fk279o=4nb:?1g5<fi279o=4n9:?1g5<f0279o=4n7:?1g5<f;279o=468:?1g5<?l279o=4m2:?1g5<e8279o=4m7:?1g5<e=279o=4m4:?1g5<e;279o=4=e69>6f6=:l?01?m?:3g7?84d838n?63=c182<c=::j:1=4>4=3a3>4?6348h?7=<;<0`7?55348h?7=>;<0`7?4e348h?7:=;<0`7?26348h?7:?;<0`7?5f348h?76:;<0`7?>4348h?76>;<0`7?>7348h?79i;<0`7?1b348h?79k;<0`7?1d348h?79m;<0`7?1f348h?796;<0`7?1?348h?799;<0`7?12348h?79;;<0`7?14348h?7o9;<0`7?g3348h?7o=;<0`7?g6348h?7o?;<0`7??a348h?77j;<0`7??c348h?77l;<0`7??e348h?77n;<0`7??>348h?778;<0`7??1348h?77:;<0`7??3348h?77<;<0`7??5348h?77>;<0`7??7348h?76i;<0`7?>b348h?7ok;<0`7?gd348h?7om;<0`7?gf348h?7o6;<0`7?g?348h?7o8;<0`7?g4348h?777;<0`7?>c348h?7l=;<0`7?d7348h?7l8;<0`7?d2348h?7l;;<0`7?d4348h?7<j7:?1g6<5m<16>n=52d6897e42;o870<l3;3;b>;5k:0:5=522b195<7<5;i=6>=4=3a5>64<5;i=6>?4=3a5>7d<5;i=69<4=3a5>17<5;i=69>4=3a5>6g<5;i=65;4=3a5>=5<5;i=65?4=3a5>=6<5;i=6:h4=3a5>2c<5;i=6:j4=3a5>2e<5;i=6:l4=3a5>2g<5;i=6:74=3a5>2><5;i=6:84=3a5>23<5;i=6::4=3a5>25<5;i=6:<4=3a5>27<5;i=6:>4=3a5>=g<5;i=6574=3a5>=><5;i=6l84=3a5>d2<5;i=6l<4=3a5>d7<5;i=6l>4=3a5><`<5;i=64k4=3a5><b<5;i=64m4=3a5><d<5;i=64o4=3a5><?<5;i=6494=3a5><0<5;i=64;4=3a5><2<5;i=64=4=3a5><4<5;i=64?4=3a5><6<5;i=65h4=3a5>=c<5;i=6lj4=3a5>de<5;i=6ll4=3a5>dg<5;i=6l74=3a5>d><5;i=6l94=3a5>d5<5;i=6464=3a5>=b<5;i=6o<4=3a5>g6<5;i=6lh4=3a5>dc<5;i=6o94=3a5>g3<5;i=6o:4=3a5>g5<5;i=6?k8;<0`2?4b=279o;4=e59>6f0=:l901?m9:0:e?84d>3;2<63=c782=4=z{;>?6=4;{_1:`>;5<=0:4n5223d954g<5;>>6<?n;|q13`<72;qU?464=35f>4>d3ty9oo4?:07x94`32=n01<h::5f894`12=n01<h8:5f894`?2=n01<h6:5f894`f2=n01<hm:5f894`d2=n01<hk:5f897602=n01?>7:5f8976>2=n01?>n:5f8976e2=n01?>l:5f8976c2=n01?>j:5f8976a2=n01?:9:75894ea28287p}>ce83>3}:9jn1=5m4=0ae>47e348hm7?>a:?10=<69j16>8=510f894g428;o7p}>cd83>7}Y;0801<mj:0:`?xu4=80;6?uQ343897dc2mk0q~=:c;296~X4=j16>oj5e49~w6002909wS=97:?1fa<a82wx?:<50;0xZ615348ih7h;;|q03a<72;qU?:j4=3`g>c3<uz9347>52z\0<==::kn1j;5rs2:f>5<5sW93i63=be8e3>{t;1l1<7<t^2:e?84el3l37p}<9183>7}Y;0:01?lk:022?xu4180;6?uQ383897dc2o30q~=:2;296~X4=;16>oj5f`9~w6342909wS=:3:?1fa<cj2wx?8:50;0xZ633348ih7jl;|q010<72;qU?8;4=3`g>ab<uz9>:7>52z\013=::kn1hh5rs274>5<5sW9>;63=be8gb>{t;<21<7<t^27;?84el3o;7p}<5883>7}Y;<301?lk:021?xu4=h0;6?uQ34c897e72mk0q~=:b;296~X4=k16>n>5e49~w63c2909wS=:d:?1g5<a82wx?8k50;0xZ63b348h<7h;;|q01c<72;qU?8h4=3a3>c3<uz9=<7>52z\025=::j:1j;5rs242>5<5sW9==63=c18e3>{t;?81<7<t^241?84d83l37p}<6283>7}Y;?901?m?:022?xu4>=0;6?uQ376897e72o30q~=95;296~X4><16>n>5f`9~w6012909wS=96:?1g5<cj2wx?;650;0xZ60?348h<7jl;|q02<<72;qU?;74=3a3>ab<uz9=m7>52z\02d=::j:1hh5rs24a>5<5sW9=n63=c18gb>{t;?i1<7<t^24`?84d83o;7p}<6e83>7}Y;?n01?m?:021?xu4>l0;6?uQ37g897e42mk0q~=9f;296~X4>o16>n=5e49~w6172909wS=80:?1g6<a82wx?:?50;0xZ616348h?7h;;|q036<72;qU?:=4=3a0>c3<uz9<87>52z\031=::j91j;5rs256>5<5sW9<963=c28e3>{t;><1<7<t^255?84d;3l37p}<7683>7}Y;>=01?m<:022?xu4?10;6?uQ36:897e42o30q~=89;296~X4?016>n=5f`9~w61f2909wS=8a:?1g6<cj2wx?:l50;0xZ61e348h?7jl;|q03f<72;qU?:m4=3a0>ab<uz9<i7>52z\03`=::j91hh5rs25e>5<5sW9<j63=c28gb>{t;1:1<7<t^2:3?84d;3o;7p}<8083>7}Y;1;01?m<:021?xu40;0;6?uQ390897e12mk0q~=73;296~X40:16>n85e49~w6>32909wS=74:?1g3<a82wx?5;50;0xZ6>2348h:7h;;|q0<3<72;qU?584=3a5>c3<uz93;7>52z\0<2=::j<1j45rs2::>5<5sW93563=c78ee>{t;1k1<7<t^2:b?84d>3ni7p}<8c83>7}Y;1h01?m9:ea8yv5?l3:1>vP<8e9>6f0=lm1v?j>:187840l3<870<8e;40?84c;3;3o63=45857>{t:jo1<7?9{<3``?7?i27:oh4>8`9>6a6=91i01<h;:0:b?87a=3<870?i6;40?87a?3<870?i8;40?87a13<870?ia;40?87aj3<870?ic;40?87al3<870<?7;40?84703<870<?9;40?847i3<870<?b;40?847k3<870<?d;40?847m3<870<?f;40?xu5l;0;6?>t=3f7>4>d348?;78<;<072?043488m78<;<00g?043488h78<;<00a?043488j78<;<074?04348?=78<;<076?04348??78<;<00f?043482j78<;<0b4?04348j=78<;<0b6?04348j?78<;<0b0?04348j978<;<0b2?04348j;78<;<0a6?04348i?78<;<0a0?04348i978<;<0a2?04348i;78<;<0a<?04348i578<;<0ae?04348in78<;|q0e0<72<qU?l;4=35e>47f348?47?>b:?116<69h16=l=510`8yv5>n3:1:vP<9g9>5f`=98k01?mn:03a?84303;:h63=52825g=:9h91=<o4}r0`=?6==r79ol4>829>6gb=99201?m?:02;?84d;3;;463=c7824==z{;ih6=4=9z?102<1?2795k4;d:?1e5<3l279m<4;d:?1e7<3l279m>4;d:?1e1<3l279m84;d:?1e3<3l279m:4;d:?1f7<3l279n>4;d:?1f1<3l279n84;d:?1f3<3l279n:4;d:?1f=<3l279n44;d:?1fd<3l279no4;d:?13c<60:16>oj52d0897dc2;o;70<md;0gb>;5jm09hh522cf9551<5;i;6?k=;<0`4?4b8279o=4=dg9>6f6=:mo01?m?:024?84d;38n>63=c281a5=::j91>ih4=3a0>7bb348h?7??7:?1g3<5m;16>n852d2897e12;nm70<l6;0ga>;5k?0:<:5rs22b>5<3?rT8<l521bf90f=:9jo18n5226f90f=::>o18n522e190f=::m>18n522bd90f=::m:18n522e790f=::m<18n522e590f=:9o>18n521g790f=:9o<18n521g590f=:9o218n521g;90f=:9ok18n521g`90f=:9oi18n521gf90f=::9=18n5221:90f=::9318n5221c90f=::9h18n5221a90f=::9n18n5221g90f=::9l18n5225590f=::=<18n5222c90f=:::i18n5222f90f=:::o18n5222d90f=::=:18n5225390f=::=818n5225190f=:::h18n5228d90f=::h:18n522`390f=::h818n522`190f=::h>18n522`790f=::h<18n522`590f=::k818n522c190f=::k>18n522c790f=::k<18n522c590f=::k218n522c;90f=::kk18n522c`90f=::=>18n522cf913=::kn19o522b2913=::j:19o522b1913=::j919o522b4913=::j<19o5rs0df>5<>s48;;7?7c:?11`<69h16=lk510c8977d28;i70<md;67?84d83>?70<l3;67?84d>3>?70<81;32e>{t9ol1<77t=32;>4>d348=<7?>c:?2f5<69j16><j510`897dc2=?01?m?:57897e42=?01?m9:578971428;i7p}=0183><}::931=5m4=33f>47e348ih7:9;<0`4?21348h?7:9;<0`2?21348=<7?>d:?136<69j16=o>510f8yv4793:15v3=0`82<f=::?81=<m4=356>47d34;i>7?>c:?15c<69k16>oj5469>6f6=<>16>n=5469>6f0=<>1v?>=:18:847j3;3o63=74825d=::;:1=<l4=3`g>1><5;i;6964=3a0>1><5;i=6964=341>47c34;i>7?>d:p655=833p1?>l:0:`?841<3;:o63=76825f=:9k>1=<m4=302>47e348ih7=m;<0`4?5e348h?7=m;<0`2?5e3ty9<94?:8y>65b=91i01?<=:03a?84el39h70<l0;1`?84d;39h70<l6;1`?841<3;:h63=76825a=:9k>1=<j4}r031?6=1r79<h4>8b9>630=98i01?96:03`?87e>3;:o63=22825g=::kn1?i522b297a=::j91?i522b497a=z{;:=6=46{<03b?7?k279=l4>1c9>6gb=;o16>n>53g9>6f5=;o16>n853g9>630=98n01?96:03g?87e>3;:h6s|2bf94?0|5;im6<6l;<0`e?76k279ni4>939>6f6=90801?m<:0;1?84d>3;2>6s|30294?4|V:;;70<md;4`?xu49k0;6?uQ30`897dc2>=0q~==6;296~X4:?16>oj5839~w6562909wS=<1:?1fa<?>2wx?>m50;0xZ65d348ih768;|q002<72;qU?994=3`g>=><uz9?h7>52z\00a=::kn1445rs26f>5<5sW9?i63=be8;e>{t;=l1<7<t^26e?84el3kn7p}<5183>7}Y;<:01?lk:9`8yv5693:1>vP<109>6gb=0j1v>?=:181[56:279ni49d:p745=838pR>?<;<0a`?0b3ty8=94?:3y]742<5;ho6;h4}r121?6=:rT8=8522cf935=z{:;=6=4={_122>;5jm0<=6s|30594?4|V:;<70<md;51?xu4910;6?uQ30:897dc2hl0q~=>9;296~X49016>n>56b9~w67f2909wS=>a:?1g5<0?2wx?<m50;0xZ67d348h<76=;|q05a<72;qU?<j4=3a3>=0<uz9:i7>52z\05`=::j:14:5rs23e>5<5sW9:j63=c18;<>{t;;:1<7<t^203?84d83227p}<2083>7}Y;;;01?m?:9c8yv55:3:1>vP<239>6f6=il1v><<:181[55;279o=47b:p772=838pR><;;<0`4?>d3ty8>84?:3y]773<5;i;6;j4}r113?6=:rT8>:522b292`=z{:836=4={_11<>;5k90=j6s|33;94?4|V:8270<l0;53?xu4:h0;6?uQ33c897e72>;0q~==b;296~X4:k16>n>5739~w64d2909wS==c:?1g5<fn2wx??j50;0xZ64c348h?78l;|q06`<72;qU??k4=3a0>21<uz99j7>52z\06c=::j914?5rs213>5<5sW98<63=c28;2>{t;:81<7<t^211?84d;32<7p}<3283>7}Y;:901?m<:9:8yv54<3:1>vP<359>6f5=001v>=::181[54=279o>47a:p760=838pR>=9;<0`7?gb3ty8?:4?:3y]761<5;i865l4}r10<?6=:rT8?5522b19<f=z{:926=4={_10=>;5k:0=h6s|32c94?4|V:9j70<l3;4f?xu4;k0;6?uQ32`897e42?l0q~=<d;296~X4;m16>n=5719~w65b2909wS=<e:?1g6<092wx?>h50;0xZ65a348h?79=;|q005<72;qU?9>4=3a0>d`<uz9?=7>52z\004=::j<1:n5rs261>5<5sW9?>63=c7843>{t;=91<7<t^260?84d>3297p}<4583>7}Y;=>01?m9:948yv53=3:1>vP<449>6f0=0>1v>:9:181[53>279o;47b:p71>=838pR>:7;<0`2?>d3ty8844?:3y]71?<5;i=6;j4}r17e?6=:rT88l522b492`=z{:>h6=4={_17g>;5k?0=j6s|2`:94?76s48i>7?7c:?2g4<69m16>8k510f894gb28;o70<75;32g>;59k0:=o5229:954`<5;2=6<?k;<0;3?76m2794?4>1`9>6=5=98i01?jn:03:?84el39?70<l0;17?84d;39?70<l6;17?84093;:h6s|2`;94?>|5;h86<6l;<3`5?76j2799h4>1c9>5dc=98h01?lk:27897e72:?01?m<:27897e12:?0q~<na;29<~;5j=0:4n522cf973=::j:1?;522b1973=::j<1?;52272954g<58h;6<?n;<3`7?76i2wx>ll50;:x97d2282h70<90;32f>;6j90:=o522cf972=::j:1?:522b1972=::j<1?:521b1954e<uz8jo7>58z?1f3<60j16>oj5399>6f6=;116>n=5399>6f0=;116>;<510c894d528;j70?l5;32e>{t:hn1<76t=3`4>4>d348=>7?>b:?2f7<69k16=n;510`897dc2:301?m?:2;897e42:301?m9:2;8yv4fm3:14v3=b982<f=:9j=1=<j4=3`g>7e<5;i;6?m4=3a0>7e<5;i=6?m4=347>47f34;i87?>a:p6d`=832p1?l6:0:`?841<3;:n63>b5825g=:9j=1=<l4=3`g>7b<5;i;6?j4=3a0>7b<5;i=6?j4}r0a4?6=0r79nl4>8b9>6gb=:l16>n>52d9>6f5=:l16>n852d9>630=98k01<l9:03b?87d13;:o6s|2c394?>|5;hi6<6l;<052?76j27:n;4>1c9>6gb=;916>n>5319>6f5=;916>n85319>5f?=98n0q~?j1;29`~;6n=0:4n521b3954e<58n>6<?n;<3g2?76j2799h4>1b9>5dc=98i01??m:03b?87cj3;:h63>d8825g=:9mk1=<m4=0f7>47>34;o47?>c:?134<69j1v<k=:18:87a=3;3o63=06853>;6k80:=l521e7954d<58n=6<?l;<3g<?76j27:ho4>1d9>5a?=98i01<jn:03g?xu6m:0;65u21g495=e<5;:36;94=0f5>47f34;on7?>f:?2`<<69m16=io510g894e428;i70?k8;32e>{t9l>1<7lt=0d4>4>d348;5788;<3g7?76k27:hn4>1b9>5ab=98i01<jm:03`?87c13;:m63>d`825g=:9l:1=<m4=0a0>47c34;oi7?>d:p5`3=83kp1<h7:0:`?847i3<<70?k3;32`>;6lj0:=i521ef954c<58ni6<?m;<3ge?76i27:i=4>1e9>5f3=98n01<jj:03`?xu6m?0;64u21g;95=e<5;:i6;94=0f0>47f34;oo7?>b:?2`a<69m16=il510c894c728;n70?l5;32g>;6ll0:=o5rs0g4>5<?s4;mm7?7c:?14f<1?27:o:4>1b9>5a5=98h01<jl:03b?87cl3;:n63>e1825g=:9mo1=<o4}r3f<?6=>r7:jo4>8b9>65b=>>16=n9510c894b628;h70?kd;32e>;6m90:=k5rs0g:>5<1s4;mo7?7c:?14`<1?27:i=4>1`9>5a`=98h01<m6:03a?87c93;:n6s|1dc94?2|58lo6<6l;<03b?0034;h57?>a:?2`4<69h1v?ll:18084693<i70<m2;44?84ci3;3?6s|29f94?d|5;;96;l4=3;e>4>d348i?788;<0;1?76i279=n4>1`9>6=>=98n01?69:03a?84??3;:o63=83825g=::191=<l4=352>47e3ty94h4?:`y>645=>k16>l>519a897d32?=01?6::03a?846l3;:m63=89825`=::1<1=<m4=3:4>47c3483?7?>a:?136<69h1v?6i:18`846<3<i70<n1;3;g>;5j<0=;63=88825f=::1k1=<m4=3:a>47e3483<7?>b:?15`<69h16>56510a897>128;j70<77;32f>;5?:0:=i5rs3;3>5<es48:978m;<0b6?7?k279n;497:?130<69m16>57510f897>f28;n70<7b;32`>;5090:=i5220d954g<5;236<?m;<0;3?76i2wx>4?50;cx97712?h01?o<:0:`?84e?3<<70<85;32f>;5000:=o5229c954b<5;2i6<?l;<0;4?76k279>=4>1`9>6=>=98k0q~<62;29=~;59>0=n63=a582<f=::k21::5229;954g<5;2j6<?m;<0;g?76j2794<4>1c9>677=98k01?98:03a?xu51:0;65u220:92g=::h?1=5m4=3`:>31<5;2j6<?n;<0;g?76i2794<4>1`9>674=98k01?98:03b?xu51=0;6:u220;92g=::h<1=5m4=3`b>31<5;2i6<?n;<0;5?76k279>>4>1`9>62?=98h0q~<65;291~;5i>0:4n522c`922=::1:1=<o4=33b>47f348<57?>a:p62b=83?p1?9k:0:`?840n3;:n63=49825d=::<91=<m4=0c0>47d3ty:on4?:2y>5fb=>>16=nk5669>5d5=9190q~?lb;296~;6jo0:4>521`1954`<uz;hm7>52z?2ef<60:16=l=510g8yv40k3:1?v3=7e853>;5?l0=;63=5282<6=z{;=i6=4={<05b?7?;2799>4>1g9~w71f2909w0<:c;3;7>;5=:0:=h5rs3f;>5<2s48hj7?7a:?1`5<60h16>i75191897b4282j70<k4;3;e>{t:m?1<7=t=3f6>4>d348o:788;<0g=?76i2wx>i950;0x97b0282h70<k9;32f>{t:m<1<7=t=3f6>1b<5;n=6<6l;<0g3?003ty99:4?:3y>60d=91901?;l:5d8yv42>3:1>v3=5`82<6=::<h18k5rs376>5<5s48>57?73:?11d<3n2wx>8:50;0x973?282870<:9;6e?xu5><0;6?u224a95<0<5;<=6<6<;|q126<72;q6>8l51848970328287p}=6083>7}::<k1=484=341>4>43ty99k4?:3y>60?=90<01?8?:0:0?xu5=m0;6?u224:95<0<5;?n6<6<;|q12d<72;q6>;k51918970a2=l0q~<99;296~;5>m0:4>5227g90c=z{;<36=4={<05g?7?;279:i4;f:p631=838p1?8m:0:0?841k3>m7p}=7983>7}::?l1=484=35:>4>43ty9;;4?:3y>63c=90<01?98:0:0?xu5?=0;6?u227f95<0<5;=>6<6<;|q137<72;q6>;m51848971428287p}=7183>7}::?h1=484=352>4>43ty:m:4?:3y>5dd=91901<ol:5d8yv7f>3:1>v3>a`82<6=:9hh18k5rs0c6>5<5s4;j57?73:?2ed<3n2wx=l:50;0x94g?282870?n9;6e?xu6j<0;6?u21`a95<0<58h=6<6<;|q2f6<72;q6=ll5184894d328287p}>b083>7}:9hk1=484=0`1>4>43ty:mk4?:3y>5d?=90<01<l?:0:0?xu6im0;6?u21`:95<0<58kn6<6<;|q2fd<72;q6=ok5191894da2=l0q~?m9;296~;6jm0:4>521cg90c=z{8h36=4={<3ag?7?;27:ni4;f:p5g1=838p1<lm:0:0?87ek3>m7p}>c983>7}:9kl1=484=0a:>4>43ty:o;4?:3y>5gc=90<01<m8:0:0?xu6k=0;6?u21cf95<0<58i>6<6<;|q2g7<72;q6=om5184894e428287p}>c183>7}:9kh1=484=0a2>4>43ty:h:4?:7y>5a5=98o01<jl:03f?87c03;3?63>de825c=:9ml1=<o4=0ff>47b3ty:hi4?:3y>5ac=91901<j>:03f?xu6l;0;6?u21e195=5<58n:6<?k;|q2ag<72;q6=k;5669>5a3=9190q~?jc;296~;6n?0=;63>d782<6=z{8oo6=4={<3e3?0034;o57?73:p5`c=838p1<h7:75894bf28287p}>eg83>7}:9o31::521e`95=5<uz;m<7>52z?2bd<1?27:hn4>829~w4`62909w0?ib;44?87cl3;3?6s|1g094?4|58lh6;94=0fe>4>43ty:j>4?:3y>5cb=>>16=i?51918yv46i3:1>v3=25822a=::8k1=5=4}r02=?6=:r79=44>829>672=<o1v?<<:18084613;2:63=2e822a=::;91=5=4}r02<?6=;r79=54>829>64?=<o16>?j54g9~w7452908w0<>8;3:2>;5:j0::i5223095=5<uz8:;7>53z?152<60:16><654g9>67e=<o1v?<>:180846?3;2:63=2c822a=::;;1=5=4}r022?6=;r79=;4>829>641=<o16>?l54g9~w7472908w0<>6;3:2>;5:h0::i5223295=5<uz8:97>53z?150<60:16><854g9>67g=<o1v??i:180846=3;2:63=28822a=::8l1=5=4}r020?6=;r79=94>829>643=<o16>?754g9~w77b2908w0<>4;3:2>;5:10::i5220g95=5<uz8:?7>53z?156<60:16><:54g9>67>=<o1v??k:180846;3;2:63=26822a=::8n1=5=4}r026?6=;r79=?4>829>645=<o16>?954g9~w77d2908w0<>2;3:2>;5:?0::i5220a95=5<uz8:=7>53z?154<60:16><<54g9>670=<o1v??m:18084693;2:63=24822a=::8h1=5=4}r024?6=;r79==4>829>647=<o16>?;54g9~w72?2909w0<>0;4a?84303;3?6s|25c94?4|5;8>6<6<;<00e?003ty98o4?:3y>670=91901?=l:758yv43k3:1>v3=2682<6=:::n1::5rs36g>5<5s48947?73:?17`<1?2wx>9k50;0x974>282870<<f;44?xu5<o0;6?u223c95=5<5;>;6;94}r064?6=:r79>o4>829>617=>>1v?;>:181845k3;3?63=43853>{t:<81<7<t=30g>4>4348??788;|q10<<72;q6>?:51918975e2?=0q~<<1;296~;5;h0:4n5223d954c<uz88>7>52z?17f<60j16>9;510g8yv44;3:1>v3=3e82<f=::;o1=<o4}r000?6=:r79?h4>8b9>67c=98i0q~<<5;296~;5;o0:4n5223g954c<uz88:7>52z?105<60j16>9;510f8yv44?3:1>v3=4082<f=::=?1=<m4}r00<?6=:r798?4>8b9>67c=98h0q~<<9;296~;5<:0:4n5223g954b<uz88<7>52z?17g<60j16>?h510f8yv43>3:1>v3=4782<f=::;l1=<m4}r073?6=:r798:4>8b9>67`=98h0q~<74;291~;5000:=h5229c954`<5;2>6<6<;<0;f?76m2794=4>1d9~w7?12909w0<6f;44?84?:3;3?6s|28594?4|5;k;6;94=3:0>4>43ty9554?:3y>6d7=>>16>5851918yv4>13:1>v3=a3853>;50>0:4>5rs3;b>5<5s48j?788;<0;<?7?;2wx>4l50;0x97g32?=01?66:0:0?xu51j0;6?u22`7922=::1k1=5=4}r0:`?6=:r79m;497:?1<g<60:1v?7j:18184f?3<<70<70;3;7>{t9m:1<78t=0d7>31<58n?6<6<;<0a`?24348h<7:<;<0`7?24348h:7:<;|q23g<72;q6>?k51918972228;i7p}=4483>7}::=>1::5225795=5<uz;<h7>52z?1<f<60:16>5l510d8yv70m3:1>v3=8082<6=::1:1=<h4}r34b?6=:r79>k4>829>613=98l0q~?70;296~;6m90:4>521ed954e<utd<>84?:0yK6ad<ug=9:7>51zJ1`g=zf>8<6=4>{I0gf>{i?;21<7?tH3fa?xh0:00;6<uG2e`8yk15i3:1=vF=dc9~j24e290:wE<kb:m37e=83;pD?jm;|l46a<728qC>il4}o51a?6=9rB9ho5rn60e>5<6sA8on6sa72294?7|@;ni7p`83083>4}O:mh0qc9<2;295~N5lk1vb:=<:182M4cj2we;>:50;3xL7be3td<?84?:0yK6ad<ug=8:7>51zJ1`g=zf>9<6=4>{I0gf>{i?:21<7?tH3fa?xh0;00;6<uG2e`8yk14i3:1=vF=dc9~j25e290:wE<kb:m36e=83;pD?jm;|l47a<728qC>il4}o50a?6=9rB9ho5rn61e>5<6sA8on6sa75294?7|@;ni7p`84083>4}O:mh0qc9;2;295~N5lk1vb::<:182M4cj2we;9:50;3xL7be3td<884?:0yK6ad<ug=?:7>51zJ1`g=zf>><6=4>{I0gf>{i?=21<7?tH3fa?xh0<00;6<uG2e`8yk13i3:1=vF=dc9~j22e290:wE<kb:m31e=83;pD?jm;|l40a<728qC>il4}o57a?6=9rB9ho5rn66e>5<6sA8on6sa74294?7|@;ni7p`85083>4}O:mh0qc9:2;295~N5lk1vb:;<:182M4cj2we;8:50;3xL7be3td<984?:0yK6ad<ug=>:7>51zJ1`g=zf>?<6=4>{I0gf>{i?<21<7?tH3fa?xh0=00;6<uG2e`8yk12i3:1=vF=dc9~j23e290:wE<kb:m30e=83;pD?jm;|l41a<728qC>il4}o56a?6=9rB9ho5rn67e>5<6sA8on6sa77294?7|@;ni7p`86083>4}O:mh0qc992;295~N5lk1vb:8<:182M4cj2we;;:50;3xL7be3td<:84?:0yK6ad<ug==:7>51zJ1`g=zf><<6=4>{I0gf>{i??21<7?tH3fa?xh0>00;6<uG2e`8yk11i3:1=vF=dc9~j20e290:wE<kb:m33e=83;pD?jm;|l42a<728qC>il4}o55a?6=9rB9ho5rn64e>5<6sA8on6sa76294?7|@;ni7p`87083>4}O:mh0qc982;295~N5lk1vb:9<:182M4cj2we;::50;3xL7be3td<;84?:0yK6ad<ug=<:7>51zJ1`g=zf>=<6=4>{I0gf>{i?>21<7?tH3fa?xh0?00;6<uG2e`8yk10i3:1=vF=dc9~j21e290:wE<kb:m32e=83;pD?jm;|l43a<728qC>il4}o54a?6=9rB9ho5rn65e>5<6sA8on6sa79294?7|@;ni7p`88083>4}O:mh0qc972;295~N5lk1vb:6<:182M4cj2we;5:50;3xL7be3td<484?:0yK6ad<ug=3:7>51zJ1`g=zf>2<6=4>{I0gf>{i?121<7?tH3fa?xh0000;6<uG2e`8yk1?i3:1=vF=dc9~j2>e290:wE<kb:m3=e=83;pD?jm;|l4<a<728qC>il4}o5;a?6=9rB9ho5rn6:e>5<6sA8on6sa78294?7|@;ni7p`89083>4}O:mh0qc962;295~N5lk1vb:7<:182M4cj2we;4:50;3xL7be3td<584?:0yK6ad<ug=2:7>51zJ1`g=zf>3<6=4>{I0gf>{i?021<7?tH3fa?xh0100;6<uG2e`8yk1>i3:1=vF=dc9~j2?e290:wE<kb:m3<e=83;pD?jm;|l4=a<728qC>il4}o5:a?6=9rB9ho5rn6;e>5<6sA8on6sa7`294?7|@;ni7p`8a083>4}O:mh0qc9n2;295~N5lk1vb:o<:182M4cj2we;l:50;3xL7be3td<m84?:0yK6ad<ug=j:7>51zJ1`g=zf>k<6=4>{I0gf>{i?h21<7?tH3fa?xh0i00;6<uG2e`8yk1fi3:1=vF=dc9~j2ge290:wE<kb:m3de=83;pD?jm;|l4ea<728qC>il4}o5ba?6=9rB9ho5rn6ce>5<6sA8on6sa7c294?7|@;ni7p`8b083>4}O:mh0qc9m2;295~N5lk1vb:l<:182M4cj2we;o:50;3xL7be3td<n84?:0yK6ad<ug=i:7>51zJ1`g=zf>h<6=4>{I0gf>{i?k21<7?tH3fa?xh0j00;6<uG2e`8yk1ei3:1=vF=dc9~j2de290:wE<kb:m3ge=83;pD?jm;|l4fa<728qC>il4}o5aa?6=9rB9ho5rn6`e>5<6sA8on6sa7b294?7|@;ni7p`8c083>4}O:mh0qc9l2;295~N5lk1vb:m<:182M4cj2we;n:50;3xL7be3td<o84?:0yK6ad<ug=h:7>51zJ1`g=zf>i<6=4>{I0gf>{i?j21<7?tH3fa?xh0k00;6<uG2e`8yk1di3:1=vF=dc9~j2ee290:wE<kb:m3fe=83;pD?jm;|l4ga<728qC>il4}o5`a?6=9rB9ho5rn6ae>5<6sA8on6sa7e294?7|@;ni7p`8d083>4}O:mh0qc9k2;295~N5lk1vb:j<:182M4cj2we;i:50;3xL7be3td<h84?:0yK6ad<ug=o:7>51zJ1`g=zf>n<6=4>{I0gf>{i?m21<7?tH3fa?xh0l00;6<uG2e`8yk1ci3:1=vF=dc9~j2be290:wE<kb:m3ae=83;pD?jm;|l4`a<728qC>il4}o5ga?6=9rB9ho5rn6fe>5<6sA8on6sa7d294?7|@;ni7p`8e083>4}O:mh0qc9j2;295~N5lk1vb:k<:182M4cj2we;h:50;3xL7be3td<i84?:0yK6ad<ug=n:7>51zJ1`g=zf>o<6=4>{I0gf>{i?l21<7?tH3fa?xh0m00;6<uG2e`8yk1bi3:1=vF=dc9~j2ce290:wE<kb:m3`e=83;pD?jm;|l4aa<728qC>il4}o5fa?6=9rB9ho5rn6ge>5<6sA8on6sa7g294?7|@;ni7p`8f083>4}O:mh0qc9i2;295~N5lk1vb:h<:182M4cj2we;k:50;3xL7be3td<j84?:0yK6ad<ug=m:7>51zJ1`g=zf>l<6=4>{I0gf>{i?o21<7?tH3fa?xh0n00;6<uG2e`8yk1ai3:1=vF=dc9~j2`e290:wE<kb:m3ce=83;pD?jm;|l4ba<728qC>il4}o5ea?6=9rB9ho5rn6de>5<6sA8on6sa81294?7|@;ni7p`70083>4}O:mh0qc6?2;295~N5lk1vb5><:182M4cj2we4=:50;3xL7be3td3<84?:0yK6ad<ug2;:7>51zJ1`g=zf1:<6=4>{I0gf>{i0921<7?tH3fa?xh?800;6<uG2e`8yk>7i3:1=vF=dc9~j=6e290:wE<kb:m<5e=83;pD?jm;|l;4a<728qC>il4}o:3a?6=9rB9ho5rn92e>5<6sA8on6sa80294?7|@;ni7p`71083>4}O:mh0qc6>2;295~N5lk1vb5?<:182M4cj2we4<:50;3xL7be3td3=84?:0yK6ad<ug2::7>51zJ1`g=zf1;<6=4>{I0gf>{i0821<7?tH3fa?xh?900;6<uG2e`8yk>6i3:1=vF=dc9~j=7e290:wE<kb:m<4e=83;pD?jm;|l;5a<728qC>il4}o:2a?6=9rB9ho5rn93e>5<6sA8on6sa83294?7|@;ni7p`72083>4}O:mh0qc6=2;295~N5lk1vb5<<:182M4cj2we4?:50;3xL7be3td3>84?:0yK6ad<ug29:7>51zJ1`g=zf18<6=4>{I0gf>{i0;21<7?tH3fa?xh?:00;6<uG2e`8yk>5i3:1=vF=dc9~j=4e290:wE<kb:m<7e=83;pD?jm;|l;6a<728qC>il4}o:1a?6=9rB9ho5rn90e>5<6sA8on6sa82294?7|@;ni7p`73083>4}O:mh0qc6<2;295~N5lk1vb5=<:182M4cj2we4>:50;3xL7be3td3?84?:0yK6ad<ug28:7>51zJ1`g=zf19<6=4>{I0gf>{i0:21<7?tH3fa?xh?;00;6<uG2e`8yk>4i3:1=vF=dc9~j=5e290:wE<kb:m<6e=83;pD?jm;|l;7a<728qC>il4}o:0a?6=9rB9ho5rn91e>5<6sA8on6sa85294?7|@;ni7p`74083>4}O:mh0qc6;2;295~N5lk1vb5:<:182M4cj2we49:50;3xL7be3td3884?:0yK6ad<ug2?:7>51zJ1`g=zf1><6=4>{I0gf>{i0=21<7?tH3fa?xh?<00;6<uG2e`8yk>3i3:1=vF=dc9~j=2e290:wE<kb:m<1e=83;pD?jm;|l;0a<728qC>il4}o:7a?6=9rB9ho5rn96e>5<6sA8on6sa84294?7|@;ni7p`75083>4}O:mh0qc6:2;295~N5lk1vb5;<:182M4cj2wvqpNOCz;;7?ec0?=h>nsO@Cy3yEFWstJK
/trunk/core/wishbone/coregen_s6/ctrl_fifo1024x64_st_v1.xco
0,0 → 1,217
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Oct 19 12:03:35 2011
#
##############################################################
#
# This file contains the customisation parameters for a
# Xilinx CORE Generator IP GUI. It is strongly recommended
# that you do not manually alter this file as it may cause
# unexpected and unsupported behavior.
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:8.2
#
##############################################################
#
# BEGIN Project Options
SET addpads = false
SET asysymbol = true
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.2
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
CSET almost_empty_flag=false
CSET almost_full_flag=false
CSET aruser_width=1
CSET awuser_width=1
CSET axi_address_width=32
CSET axi_data_width=64
CSET axi_type=AXI4_Stream
CSET axis_type=FIFO
CSET buser_width=1
CSET clock_enable_type=Slave_Interface_Clock_Enable
CSET clock_type_axi=Common_Clock
CSET component_name=ctrl_fifo1024x64_st_v1
CSET data_count=false
CSET data_count_width=10
CSET disable_timing_violations=false
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
CSET empty_threshold_assert_value_axis=1022
CSET empty_threshold_assert_value_rach=1022
CSET empty_threshold_assert_value_rdch=1022
CSET empty_threshold_assert_value_wach=1022
CSET empty_threshold_assert_value_wdch=1022
CSET empty_threshold_assert_value_wrch=1022
CSET empty_threshold_negate_value=3
CSET enable_aruser=false
CSET enable_awuser=false
CSET enable_buser=false
CSET enable_common_overflow=false
CSET enable_common_underflow=false
CSET enable_data_counts_axis=false
CSET enable_data_counts_rach=false
CSET enable_data_counts_rdch=false
CSET enable_data_counts_wach=false
CSET enable_data_counts_wdch=false
CSET enable_data_counts_wrch=false
CSET enable_ecc=false
CSET enable_ecc_axis=false
CSET enable_ecc_rach=false
CSET enable_ecc_rdch=false
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
CSET enable_ruser=false
CSET enable_tdata=false
CSET enable_tdest=false
CSET enable_tid=false
CSET enable_tkeep=false
CSET enable_tlast=false
CSET enable_tready=true
CSET enable_tstrobe=false
CSET enable_tuser=false
CSET enable_write_channel=false
CSET enable_wuser=false
CSET fifo_application_type_axis=Data_FIFO
CSET fifo_application_type_rach=Data_FIFO
CSET fifo_application_type_rdch=Data_FIFO
CSET fifo_application_type_wach=Data_FIFO
CSET fifo_application_type_wdch=Data_FIFO
CSET fifo_application_type_wrch=Data_FIFO
CSET fifo_implementation=Common_Clock_Block_RAM
CSET fifo_implementation_axis=Common_Clock_Block_RAM
CSET fifo_implementation_rach=Common_Clock_Block_RAM
CSET fifo_implementation_rdch=Common_Clock_Block_RAM
CSET fifo_implementation_wach=Common_Clock_Block_RAM
CSET fifo_implementation_wdch=Common_Clock_Block_RAM
CSET fifo_implementation_wrch=Common_Clock_Block_RAM
CSET full_flags_reset_value=0
CSET full_threshold_assert_value=512
CSET full_threshold_assert_value_axis=1023
CSET full_threshold_assert_value_rach=1023
CSET full_threshold_assert_value_rdch=1023
CSET full_threshold_assert_value_wach=1023
CSET full_threshold_assert_value_wdch=1023
CSET full_threshold_assert_value_wrch=1023
CSET full_threshold_negate_value=511
CSET id_width=4
CSET inject_dbit_error=false
CSET inject_dbit_error_axis=false
CSET inject_dbit_error_rach=false
CSET inject_dbit_error_rdch=false
CSET inject_dbit_error_wach=false
CSET inject_dbit_error_wdch=false
CSET inject_dbit_error_wrch=false
CSET inject_sbit_error=false
CSET inject_sbit_error_axis=false
CSET inject_sbit_error_rach=false
CSET inject_sbit_error_rdch=false
CSET inject_sbit_error_wach=false
CSET inject_sbit_error_wdch=false
CSET inject_sbit_error_wrch=false
CSET input_data_width=64
CSET input_depth=1024
CSET input_depth_axis=1024
CSET input_depth_rach=16
CSET input_depth_rdch=1024
CSET input_depth_wach=16
CSET input_depth_wdch=1024
CSET input_depth_wrch=16
CSET interface_type=Native
CSET output_data_width=64
CSET output_depth=1024
CSET overflow_flag=false
CSET overflow_flag_axi=false
CSET overflow_sense=Active_High
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_full_type=Single_Programmable_Full_Threshold_Constant
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
CSET read_data_count=false
CSET read_data_count_width=10
CSET register_slice_mode_axis=Fully_Registered
CSET register_slice_mode_rach=Fully_Registered
CSET register_slice_mode_rdch=Fully_Registered
CSET register_slice_mode_wach=Fully_Registered
CSET register_slice_mode_wdch=Fully_Registered
CSET register_slice_mode_wrch=Fully_Registered
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
CSET tkeep_width=4
CSET tstrb_width=4
CSET tuser_width=4
CSET underflow_flag=false
CSET underflow_flag_axi=false
CSET underflow_sense=Active_High
CSET underflow_sense_axi=Active_High
CSET use_clock_enable=false
CSET use_dout_reset=true
CSET use_embedded_registers=false
CSET use_extra_logic=false
CSET valid_flag=false
CSET valid_sense=Active_High
CSET wach_type=FIFO
CSET wdch_type=FIFO
CSET wrch_type=FIFO
CSET write_acknowledge_flag=false
CSET write_acknowledge_sense=Active_High
CSET write_clock_frequency=1
CSET write_data_count=false
CSET write_data_count_width=10
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-14T07:12:32.000Z
# END Extra information
GENERATE
# CRC: 738a134d
/trunk/core/wishbone/coregen_s6/ctrl_fifo1024x64_st_v1.vhd
0,0 → 1,3981
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: O.61xd
-- \ \ Application: netgen
-- / / Filename: ctrl_fifo1024x64_st_v1.vhd
-- /___/ /\ Timestamp: Wed Oct 19 15:05:44 2011
-- \ \ / \
-- \___\/\___\
--
-- Command : -w -sim -ofmt vhdl D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.ngc D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.vhd
-- Device : 6slx45tfgg484-3
-- Input file : D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.ngc
-- Output file : D:/TMP/08/SVN/00/block_test_generate/tmp/_cg/ctrl_fifo1024x64_st_v1.vhd
-- # of Entities : 1
-- Design Name : ctrl_fifo1024x64_st_v1
-- Xilinx : C:\Xilinx\13.2\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
 
 
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
 
entity ctrl_fifo1024x64_st_v1 is
port (
clk : in STD_LOGIC := 'X';
rst : in STD_LOGIC := 'X';
wr_en : in STD_LOGIC := 'X';
rd_en : in STD_LOGIC := 'X';
full : out STD_LOGIC;
empty : out STD_LOGIC;
prog_full : out STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 63 downto 0 );
dout : out STD_LOGIC_VECTOR ( 63 downto 0 )
);
end ctrl_fifo1024x64_st_v1;
 
architecture STRUCTURE of ctrl_fifo1024x64_st_v1 is
signal N0 : STD_LOGIC;
signal N1 : STD_LOGIC;
signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv : STD_LOGIC;
signal N01 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal N4 : STD_LOGIC;
signal N6 : STD_LOGIC;
signal N8 : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_5_UNCONNECTED : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1 : STD_LOGIC_VECTOR ( 9 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1 : STD_LOGIC_VECTOR ( 9 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count : STD_LOGIC_VECTOR ( 9 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1 : STD_LOGIC_VECTOR ( 0 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count : STD_LOGIC_VECTOR ( 9 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad : STD_LOGIC_VECTOR ( 10 downto 1 );
begin
full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4;
empty <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7;
prog_full <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i;
XST_VCC : VCC
port map (
P => N0
);
XST_GND : GND
port map (
G => N1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_7
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_194,
D => N1,
PRE => rst,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_1 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => N0,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_0 : FDPE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_10_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_9_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_9_Q_244
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_8_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_8_Q_246
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_7_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_7_Q_248
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_6_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_6_Q_250
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_5_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_5_Q_252
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_4_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_4_Q_254
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_3_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_3_Q_256
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_2_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_2_Q_258
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_xor_1_Q :
XORCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262,
LI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q :
MUXCY
port map (
CI =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
S =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_1_Q_260
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q :
MUXCY
port map (
CI => N1,
DI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263,
S => N1,
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_cy_0_Q_262
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_10 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_10_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(10)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_9 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_8 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_7 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_6 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_5 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_4 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_3 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_2 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad_1 : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en1 : LUT3
generic map(
INIT => X"F4"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_Mmux_ram_full_comb_GND_294_o_MUX_16_o11 : LUT6
generic map(
INIT => X"FA32FAF2F030F0F0"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_294_o_MUX_16_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_Mmux_going_empty_PWR_39_o_MUX_14_o11 : LUT6
generic map(
INIT => X"F3A2FFA2F300FF00"
)
port map (
I0 => rd_en,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_39_o_MUX_14_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_192,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_193,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_4_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_2_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_4_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_4_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_4_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_3_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_2_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT811 : LUT5
generic map(
INIT => X"FFFF7FFF"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT1031 : LUT5
generic map(
INIT => X"00008000"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT71 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT411 : LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT101 : LUT5
generic map(
INIT => X"EAAA6A2A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT103,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81_232,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT71 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_9_PWR_45_o_equal_8_o_9_SW0 : LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(9),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(5),
O => N01
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT81 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT411 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT91_SW0 : LUT2
generic map(
INIT => X"7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
O => N2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT91 : LUT6
generic map(
INIT => X"AAAAAAAAAAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
I5 => N2,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT101_SW0 : LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
O => N4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT101 : LUT6
generic map(
INIT => X"AAAAAAAAAAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT41,
I5 => N4,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_9_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT81 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_9_PWR_45_o_equal_8_o_9_SW1 : LUT5
generic map(
INIT => X"AAAA8EAA"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_wr_en_i_285,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_ram_rd_en_i_284,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(10),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(1),
O => N6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot : LUT6
generic map(
INIT => X"AAAAAAABAAAAAAA8"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i,
I1 => N01,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(7),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(6),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_diff_pntr_pad(2),
I5 => N6,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_prog_full_i_rstpot_298
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_2_Q_259
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_3_Q_257
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_4_Q_255
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_5_Q_253
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_6_Q_251
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_7_Q_249
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_8_Q_247
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_9_Q_245
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(9),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_10_Q_243
 
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1 : LUT4
generic map(
INIT => X"4044"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_184,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_6,
I3 => rd_en,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_wr_pntr_plus1_pad_0_mand1_263
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q :
LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O =>
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_gpf_wrpf_Madd_wr_pntr_plus1_pad_10_rd_pntr_wr_inv_pad_10_add_2_OUT_lut_1_Q_261
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT61 : LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT42 : LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT51 : LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT61 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT42 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT51 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_9_GND_292_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_9_GND_292_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT91_F : LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
O => N8
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT11_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_wr_pntr_0_inv1_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT911 : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_9_GND_281_o_mux_2_OUT41,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => N8,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_9_GND_281_o_mux_2_OUT_8_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(17),
DIPA(0) => din(8),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(17),
DOPB(0) => dout(8),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(16),
DOB(14) => dout(15),
DOB(13) => dout(14),
DOB(12) => dout(13),
DOB(11) => dout(12),
DOB(10) => dout(11),
DOB(9) => dout(10),
DOB(8) => dout(9),
DOB(7) => dout(7),
DOB(6) => dout(6),
DOB(5) => dout(5),
DOB(4) => dout(4),
DOB(3) => dout(3),
DOB(2) => dout(2),
DOB(1) => dout(1),
DOB(0) => dout(0),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(16),
DIA(14) => din(15),
DIA(13) => din(14),
DIA(12) => din(13),
DIA(11) => din(12),
DIA(10) => din(11),
DIA(9) => din(10),
DIA(8) => din(9),
DIA(7) => din(7),
DIA(6) => din(6),
DIA(5) => din(5),
DIA(4) => din(4),
DIA(3) => din(3),
DIA(2) => din(2),
DIA(1) => din(1),
DIA(0) => din(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(35),
DIPA(0) => din(26),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(35),
DOPB(0) => dout(26),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(34),
DOB(14) => dout(33),
DOB(13) => dout(32),
DOB(12) => dout(31),
DOB(11) => dout(30),
DOB(10) => dout(29),
DOB(9) => dout(28),
DOB(8) => dout(27),
DOB(7) => dout(25),
DOB(6) => dout(24),
DOB(5) => dout(23),
DOB(4) => dout(22),
DOB(3) => dout(21),
DOB(2) => dout(20),
DOB(1) => dout(19),
DOB(0) => dout(18),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(34),
DIA(14) => din(33),
DIA(13) => din(32),
DIA(12) => din(31),
DIA(11) => din(30),
DIA(10) => din(29),
DIA(9) => din(28),
DIA(8) => din(27),
DIA(7) => din(25),
DIA(6) => din(24),
DIA(5) => din(23),
DIA(4) => din(22),
DIA(3) => din(21),
DIA(2) => din(20),
DIA(1) => din(19),
DIA(0) => din(18)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => din(53),
DIPA(0) => din(44),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) => dout(53),
DOPB(0) => dout(44),
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_2_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) => dout(52),
DOB(14) => dout(51),
DOB(13) => dout(50),
DOB(12) => dout(49),
DOB(11) => dout(48),
DOB(10) => dout(47),
DOB(9) => dout(46),
DOB(8) => dout(45),
DOB(7) => dout(43),
DOB(6) => dout(42),
DOB(5) => dout(41),
DOB(4) => dout(40),
DOB(3) => dout(39),
DOB(2) => dout(38),
DOB(1) => dout(37),
DOB(0) => dout(36),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => din(52),
DIA(14) => din(51),
DIA(13) => din(50),
DIA(12) => din(49),
DIA(11) => din(48),
DIA(10) => din(47),
DIA(9) => din(46),
DIA(8) => din(45),
DIA(7) => din(43),
DIA(6) => din(42),
DIA(5) => din(41),
DIA(4) => din(40),
DIA(3) => din(39),
DIA(2) => din(38),
DIA(1) => din(37),
DIA(0) => din(36)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 18,
DATA_WIDTH_B => 18,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => N1,
DIPA(0) => N1,
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(9),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(9),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(4) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rd_pntr_plus1_0_inv,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED
,
DOPB(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED
,
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_30_UNCONNECTED
,
DOB(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_29_UNCONNECTED
,
DOB(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_28_UNCONNECTED
,
DOB(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_27_UNCONNECTED
,
DOB(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_26_UNCONNECTED
,
DOB(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_25_UNCONNECTED
,
DOB(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_24_UNCONNECTED
,
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_22_UNCONNECTED
,
DOB(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_21_UNCONNECTED
,
DOB(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_20_UNCONNECTED
,
DOB(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_19_UNCONNECTED
,
DOB(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_18_UNCONNECTED
,
DOB(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_17_UNCONNECTED
,
DOB(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_16_UNCONNECTED
,
DOB(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED
,
DOB(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_14_UNCONNECTED
,
DOB(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_13_UNCONNECTED
,
DOB(12) => dout(63),
DOB(11) => dout(62),
DOB(10) => dout(61),
DOB(9) => dout(60),
DOB(8) => dout(59),
DOB(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED
,
DOB(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_6_UNCONNECTED
,
DOB(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_3_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_5_UNCONNECTED
,
DOB(4) => dout(58),
DOB(3) => dout(57),
DOB(2) => dout(56),
DOB(1) => dout(55),
DOB(0) => dout(54),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => N1,
DIA(29) => N1,
DIA(28) => N1,
DIA(27) => N1,
DIA(26) => N1,
DIA(25) => N1,
DIA(24) => N1,
DIA(23) => N1,
DIA(22) => N1,
DIA(21) => N1,
DIA(20) => N1,
DIA(19) => N1,
DIA(18) => N1,
DIA(17) => N1,
DIA(16) => N1,
DIA(15) => N1,
DIA(14) => N1,
DIA(13) => N1,
DIA(12) => din(63),
DIA(11) => din(62),
DIA(10) => din(61),
DIA(9) => din(60),
DIA(8) => din(59),
DIA(7) => N1,
DIA(6) => N1,
DIA(5) => N1,
DIA(4) => din(58),
DIA(3) => din(57),
DIA(2) => din(56),
DIA(1) => din(55),
DIA(0) => din(54)
);
 
end STRUCTURE;
 
-- synthesis translate_on
/trunk/projects/sp605_lx45t_wishbone/projlib.cfg
1,0 → 2,3981
sp605_lx45t_wishbone = "./sp605_lx45t_wishbone.LIB" 1349993755156
sp605_lx45t_wishbone_post_synthesis = "./sp605_lx45t_wishbone_post_synthesis/sp605_lx45t_wishbone_post_synthesis.lib" 1374784385964
/trunk/projects/sp605_lx45t_wishbone/sp605_lx45t_wishbone.LIB
1,101 → 1,101
timestamp=1365787495048
timestamp=1374783157334
 
[$root]
A/$root=22|""|0|1*12128454
BinI32/$root=3*1311556
A/$root=22|""|0|1*18331758
BinI32/$root=3*2143875
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[axi_basic_rx]
A/trans=A/TRANS 21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|125|1*13577240
BinI32/trans=3*1430226
A/trans=A/TRANS 21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|125|1*16722021
BinI32/trans=3*1938256
I=0*843728
I/trans=I/TRANS 0*1379929
M=11|0|v98|1359756166406|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|0*842333*0xcd2a447635e3486a8895205b0a79e3206d2f3535|0*843604*0xab32bc1a2daf049573e14469f634e0e6
M/trans=M/TRANS 21|0|v98|1359756590098|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|0*843903*0x7daf6f14fd5a1f7987b52d23c79e54a2479a3a7f|0*848390*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=M/TRANS 21|0|v98|1374782083383|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|0*843903*0x7daf6f14fd5a1f7987b52d23c79e54a2479a3a7f|0*848390*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx.vhd|72
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_rx_null_gen]
A/trans=A/TRANS 21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|107|1*13595159
BinI32/trans=3*1431921
A/trans=A/TRANS 21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|107|1*16739940
BinI32/trans=3*1939951
I=0*850333
I/trans=I/TRANS 0*856800
M=11|0|v98|1359756166468|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|0*849329*0x46fe0ea241645d39bd491474b81135f6ea79e4dd|0*850191*0xab32bc1a2daf049573e14469f634e0e6
M/trans=M/TRANS 21|0|v98|1359756590161|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|0*850517*0xf43fe08885b85aeb7826f3577cd6cc68cb1a0f75|0*856649*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=M/TRANS 21|0|v98|1374782083490|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|0*850517*0xf43fe08885b85aeb7826f3577cd6cc68cb1a0f75|0*856649*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_null_gen.vhd|71
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_rx_pipeline]
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|123|1*13606784
BinI32/trans=3*1440578
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|123|1*16751565
BinI32/trans=3*1948596
I=0*859637
I/trans=0*869707
M=11|0|v98|1359756166578|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|0*858146*0xc4704507977aa02119ab37768df4565f265dd438|0*859495*0xab32bc1a2daf049573e14469f634e0e6
M/trans=21|0|v98|1359756590237|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|0*859821*0x38d9a04868c6f689657134204dcf3208056ed4d3|0*869556*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=21|0|v98|1374782083631|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|0*859821*0x38d9a04868c6f689657134204dcf3208056ed4d3|0*869556*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_rx_pipeline.vhd|74
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_top]
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|174|1*13625594
BinI32/trans=3*1452545
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|174|1*16770375
BinI32/trans=3*1960572
I=0*875039
I/trans=0*1380246
M=11|0|v98|1359756166687|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|0*872429*0x9266e11d27aa88333e43c309f3ee0f49b1dbb34e|0*874913*0xab32bc1a2daf049573e14469f634e0e6
M/trans=21|0|v98|1359756590301|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|0*875215*0xead1851a22ea179e68119a0a7f2d82f5a227d034|0*880016*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=21|0|v98|1374782083740|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|0*875215*0xead1851a22ea179e68119a0a7f2d82f5a227d034|0*880016*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_top.vhd|71
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_tx]
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|152|1*13648439
BinI32/trans=3*1454078
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|152|1*16793220
BinI32/trans=3*1962105
I=0*883361
I/trans=0*1380546
M=11|0|v98|1359756166750|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|0*881632*0xb3463c904453e97f94b58d81fb6d8fd169be2dd2|0*883237*0xab32bc1a2daf049573e14469f634e0e6
M/trans=21|0|v98|1359756590348|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|0*883536*0x6d3663ed65819e52b058db77e41d66ef6ced9fe8|0*888512*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=21|0|v98|1374782083820|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|0*883536*0x6d3663ed65819e52b058db77e41d66ef6ced9fe8|0*888512*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx.vhd|74
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_tx_pipeline]
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|124|1*13669156
BinI32/trans=3*1455502
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|124|1*16813937
BinI32/trans=3*1963529
I=0*891251
I/trans=0*898741
M=11|0|v98|1359756167031|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|0*889872*0x349875a94bee33b6608127c22d1b4e0ed4ce813b|0*891109*0xab32bc1a2daf049573e14469f634e0e6
M/trans=21|0|v98|1359756590411|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|0*891435*0x34f8515c33b6fa40a17824c3d3d6b9e8f8b17758|0*898590*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=21|0|v98|1374782083927|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|0*891435*0x34f8515c33b6fa40a17824c3d3d6b9e8f8b17758|0*898590*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_pipeline.vhd|74
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[axi_basic_tx_thrtl_ctl]
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|129|1*13683171
BinI32/trans=3*1464329
A/trans=21|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|129|1*16827952
BinI32/trans=3*1972355
I=0*901521
I/trans=0*914464
M=11|0|v98|1359756167125|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|0*900070*0x1a435a7f654232096ee6206c1c4b1a2b8099a9de|0*901377*0xab32bc1a2daf049573e14469f634e0e6
M/trans=21|0|v98|1359756590487|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|0*901706*0xcec4f2d4a3fad0035fb15e88045b424ef66f48d7|0*914311*0x9883a4debb77c3d3c4860cd1e2edf83c
M/trans=21|0|v98|1374782084081|./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|0*901706*0xcec4f2d4a3fad0035fb15e88045b424ef66f48d7|0*914311*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/axi_basic_tx_thrtl_ctl.vhd|74
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/trans=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_check_wb_burst_slave]
A/block_check_wb_burst_slave=22|./src/wishbone/block_test_check/block_check_wb_burst_slave.v|27|1*12026323
BinI32/block_check_wb_burst_slave=3*1282307
P/~emb=967,1435,1960,2171
A/block_check_wb_burst_slave=22|./src/wishbone/block_test_check/block_check_wb_burst_slave.v|27|1*18226370
BinI32/block_check_wb_burst_slave=3*2113261
P/~emb=967,1453,2006,2219
R=./src/wishbone/block_test_check/block_check_wb_burst_slave.v|27
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[block_check_wb_config_slave]
A/rtl=21|./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|161|1*12030624
BinI32/rtl=3*1284150
A/rtl=21|./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|161|1*18230916
BinI32/rtl=3*2115273
I=0*2035884
I/rtl=0*2039783
M=11|0|v98|1359756271781|./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|0*2034225*0x4f17d8501a7f2daa2bd4dbbb98470ca9310bf38f|0*2035743*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756296609|./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|0*2036258*0xb9adf4e858a043af501b902ffc311996b39fdc76|0*2039635*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782097702|./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|0*2036258*0xb9adf4e858a043af501b902ffc311996b39fdc76|0*2039635*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_check/block_check_wb_config_slave.vhd|117
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
115,28 → 115,28
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_generate_wb_burst_slave]
A/block_generate_wb_burst_slave=22|./src/wishbone/block_test_generate/block_generate_wb_burst_slave.v|27|1*12080513
BinI32/block_generate_wb_burst_slave=3*1297398
A/block_generate_wb_burst_slave=22|./src/wishbone/block_test_generate/block_generate_wb_burst_slave.v|27|1*18280805
BinI32/block_generate_wb_burst_slave=3*2128521
P/~emb=1194,1716,2432,2715
R=./src/wishbone/block_test_generate/block_generate_wb_burst_slave.v|27
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[block_generate_wb_config_slave]
A/rtl=21|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|147|1*12085597
BinI32/rtl=3*1301094
I=0*2001232
I/rtl=0*2004845
M=11|0|v98|1359756265237|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*1999771*0x8a6b8f888267bd6891995682d52f69b60bd6b936|0*2001082*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756298798|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*2001609*0x1c7537749cda06d1445231bf3f48bb0ddcf8aa48|0*2004688*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|108
A/rtl=21|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|151|1*18285889
BinI32/rtl=3*2132192
I=0*2670516
I/rtl=0*2674445
M=11|0|v98|1374782051885|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*2669005*0x533a690fc092d012f58c6d4a460dd3073dffaebc|0*2670366*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1374782099948|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*2670893*0xb1467e27a167133d9b4bf7c74908ea8c0c8502f1|0*2674288*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|111
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_generate_wb_config_slave_pkg]
E=1*8183812
I=0*1998661
M=3|0|v98|1359756265234|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*1997238*0x476fbd953b0dc61facee3ae085944a99af5a635f|0*1998507*0xab32bc1a2daf049573e14469f634e0e6
R=./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|56
E=1*15464342
I=0*2667847
M=3|0|v98|1374782051882|./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|0*2666374*0xd98f243cec3b009c041760e0bc7969c7c93d4b1e|0*2667693*0xab32bc1a2daf049573e14469f634e0e6
R=./src/wishbone/block_test_generate/block_generate_wb_config_slave.vhd|58
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_generate_wb_pkg]
147,12 → 147,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_pe_fifo_ext]
A/block_pe_fifo_ext=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|162|1*9099042
BinI32/block_pe_fifo_ext=3*954077
A/block_pe_fifo_ext=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|162|1*16246525
BinI32/block_pe_fifo_ext=3*1917212
I=0*730712
I/block_pe_fifo_ext=0*740922
M=11|0|v98|1359756145000|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|0*729618*0x82c23e8f1a324f79f6019798fc22a1bc9c1c30dc|0*730579*0xab32bc1a2daf049573e14469f634e0e6
M/block_pe_fifo_ext=21|0|v98|1359756285628|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|0*731342*0x42024242f96a82f3a629cc25e43c41d9ed910217|0*740768*0x9883a4debb77c3d3c4860cd1e2edf83c
M/block_pe_fifo_ext=21|0|v98|1374782081990|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|0*731342*0x42024242f96a82f3a629cc25e43c41d9ed910217|0*740768*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/block_pe_fifo_ext.vhd|128
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/block_pe_fifo_ext=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
193,12 → 193,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_test_check_wb]
A/rtl=21|./src/wishbone/block_test_check/block_test_check_wb.vhd|123|1*12056631
BinI32/rtl=3*1296747
A/rtl=21|./src/wishbone/block_test_check/block_test_check_wb.vhd|123|1*18256923
BinI32/rtl=3*2127870
I=0*2055979
I/rtl=0*2059522
I/rtl=0*2659559
M=11|0|v98|1359756272268|./src/wishbone/block_test_check/block_test_check_wb.vhd|0*2054488*0xaa6922090b87a72d5358ea4d2302b5f2d5c6007d|0*2055854*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756297065|./src/wishbone/block_test_check/block_test_check_wb.vhd|0*2056300*0xe583a09eba9c303111170f5bcb08495f511de93d|0*2059390*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782098186|./src/wishbone/block_test_check/block_test_check_wb.vhd|0*2656337*0x4a61b7fab7109d7016cc9967a5970adb09932730|0*2659427*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_check/block_test_check_wb.vhd|80
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
211,12 → 211,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[block_test_generate_wb]
A/rtl=21|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|122|1*12104319
BinI32/rtl=3*1310885
A/rtl=21|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|122|1*18305098
BinI32/rtl=3*2142245
I=0*2020417
I/rtl=0*2024211
M=11|0|v98|1359756265862|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|0*2018893*0xc460794027a5a06f0bbe5d9f4d19a70809ca7afb|0*2020283*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756299253|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|0*2020750*0x658bb2c039479ca3db942e423f823a4b0db8d702|0*2024070*0x9883a4debb77c3d3c4860cd1e2edf83c
I/rtl=0*2679203
M=11|0|v98|1374782052399|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|0*2018893*0xc460794027a5a06f0bbe5d9f4d19a70809ca7afb|0*2020283*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1374782100445|./src/wishbone/block_test_generate/block_test_generate_wb.vhd|0*2674886*0x7913e6aefceb6dae303f49487aedc3b1ce1fc17c|0*2679062*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_generate/block_test_generate_wb.vhd|79
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
229,23 → 229,23
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[cl_s6pcie_m2]
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|246|1*13201985
BinI32/rtl=3*1413265
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|246|1*16346766
BinI32/rtl=3*1921311
I=0*755160
I/rtl=0*839795
M=11|0|v98|1359756150265|./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|0*747822*0xbf21c3481fa762084b2f71de8c15de30a2713488|0*755041*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756572924|./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|0*755580*0x39bab5386e1bb45828eb535dcef2d49f38264dc9|0*785545*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782082320|./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|0*755580*0x39bab5386e1bb45828eb535dcef2d49f38264dc9|0*785545*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/cl_s6pcie_m2.vhd|69
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[cl_test_check]
A/cl_test_check=21|./src/wishbone/block_test_check/cl_test_check.vhd|142|1*12041651
BinI32/cl_test_check=3*1288162
A/cl_test_check=21|./src/wishbone/block_test_check/cl_test_check.vhd|142|1*18241943
BinI32/cl_test_check=3*2119285
I=0*2042965
I/cl_test_check=0*2051218
M=11|0|v98|1359756272171|./src/wishbone/block_test_check/cl_test_check.vhd|0*2042018*0x43e5e7abeb77024cb8983019f888157ca54adc9f|0*2042852*0xab32bc1a2daf049573e14469f634e0e6
M/cl_test_check=21|0|v98|1359756296846|./src/wishbone/block_test_check/cl_test_check.vhd|0*2043269*0x56f0fd1f247718e5d3a3efba35d2c58e3eae38e2|0*2051088*0x9883a4debb77c3d3c4860cd1e2edf83c
M/cl_test_check=21|0|v98|1374782097952|./src/wishbone/block_test_check/cl_test_check.vhd|0*2043269*0x56f0fd1f247718e5d3a3efba35d2c58e3eae38e2|0*2051088*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_check/cl_test_check.vhd|115
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/cl_test_check=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
258,12 → 258,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[cl_test_generate]
A/cl_test_generate=21|./src/wishbone/block_test_generate/cl_test_generate.vhd|145|1*12095687
BinI32/cl_test_generate=3*1304291
A/cl_test_generate=21|./src/wishbone/block_test_generate/cl_test_generate.vhd|145|1*18296466
BinI32/cl_test_generate=3*2135658
I=0*2009687
I/cl_test_generate=0*2015619
M=11|0|v98|1359756265796|./src/wishbone/block_test_generate/cl_test_generate.vhd|0*2008794*0xb9dc657fcef7a24698822d78940b9bdc3accf92f|0*2009565*0xab32bc1a2daf049573e14469f634e0e6
M/cl_test_generate=21|0|v98|1359756299034|./src/wishbone/block_test_generate/cl_test_generate.vhd|0*2009994*0x8c41c84c3c7abb34f5fa2e89f0990225aefc4e45|0*2015477*0x9883a4debb77c3d3c4860cd1e2edf83c
M/cl_test_generate=21|0|v98|1374782100182|./src/wishbone/block_test_generate/cl_test_generate.vhd|0*2009994*0x8c41c84c3c7abb34f5fa2e89f0990225aefc4e45|0*2015477*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/block_test_generate/cl_test_generate.vhd|119
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/cl_test_generate=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
276,23 → 276,23
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[cl_v6pcie_m1]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|499|1*13705098
BinI32/v6_pcie=3*1477819
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|499|1*16849879
BinI32/v6_pcie=3*1985837
I=0*943317
I/v6_pcie=0*1380864
M=11|0|v98|1359756167406|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|0*927636*0x58a6e8bc35469fff937a15fe370ad9aac226def2|0*943193*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756590765|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|0*943555*0x9055bcf6436aa3b0cb9d9866023e27ebabbae7ec|0*994737*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782084376|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|0*943555*0x9055bcf6436aa3b0cb9d9866023e27ebabbae7ec|0*994737*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_m1.vhd|66
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[cl_v6pcie_x4]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|470|1*13894230
BinI32/v6_pcie=3*1494243
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|470|1*17039011
BinI32/v6_pcie=3*2002259
I=0*1022947
I/v6_pcie=0*1381413
M=11|0|v98|1359756167718|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|0*1007541*0x82be36d6c8fd561e054459403dd7abfb15df5eb4|0*1022823*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756591048|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|0*1023185*0x1b1e9b4e5c26ae2d1988d91c04633d43a86ade4b|0*1075676*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782084691|./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|0*1023185*0x1b1e9b4e5c26ae2d1988d91c04633d43a86ade4b|0*1075676*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/cl_v6pcie_x4.vhd|66
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
308,12 → 308,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[core64_interrupt]
A/core64_interrupt=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|63|1*8763877
BinI32/core64_interrupt=3*889386
A/core64_interrupt=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|63|1*15910881
BinI32/core64_interrupt=3*1852345
I=0*398454
I/core64_interrupt=0*400102
M=11|0|v98|1359756119862|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|0*397837*0x46d1859556b1e61c96314bd5989e816acf913137|0*398327*0xab32bc1a2daf049573e14469f634e0e6
M/core64_interrupt=21|0|v98|1359756283321|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|0*398698*0xf172794e985f36cf7506519bb9b4dc4ea28745d4|0*399955*0x9883a4debb77c3d3c4860cd1e2edf83c
M/core64_interrupt=21|0|v98|1374782079315|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|0*398698*0xf172794e985f36cf7506519bb9b4dc4ea28745d4|0*399955*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_interrupt.vhd|46
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/core64_interrupt=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
326,13 → 326,13
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[core64_pb_disp]
A/core64_pb_disp=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|93|1*8766219
BinI32/core64_pb_disp=3*891018
I=0*402549
I/core64_pb_disp=0*407956
M=11|0|v98|1359756120187|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|0*401849*0xf4ecf942f07ed9fcf2a9fe322682e65c51643094|0*402426*0xab32bc1a2daf049573e14469f634e0e6
M/core64_pb_disp=21|0|v98|1359756283546|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|0*402783*0x724602bf0ea31ccd5026f1f60b876f069fc6fe9a|0*407815*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|69
A/core64_pb_disp=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|95|1*15913223
BinI32/core64_pb_disp=3*1853977
I=0*2875009
I/core64_pb_disp=0*2880835
M=11|0|v98|1374782079525|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|0*2874246*0xb48833ae0b2284e350af62a5e87b7a55f6e2f32e|0*2874886*0xab32bc1a2daf049573e14469f634e0e6
M/core64_pb_disp=21|0|v98|1374782079572|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|0*2875376*0x491d24c5b075dd55b94acf44a8ef83301ad1e169|0*2880694*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_pb_disp.vhd|71
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/core64_pb_disp=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
386,12 → 386,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[core64_reg_access]
A/core64_reg_access=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|102|1*8779104
BinI32/core64_reg_access=3*895844
A/core64_reg_access=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|102|1*15926690
BinI32/core64_reg_access=3*1859111
I=0*411364
I/core64_reg_access=0*415577
M=11|0|v98|1359756120265|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|0*410385*0x0dc4c6904f76b406c99f86c752e762debb601971|0*411235*0xab32bc1a2daf049573e14469f634e0e6
M/core64_reg_access=21|0|v98|1359756283600|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|0*411538*0x949ccf36ab8470874477a502cc4b9b4aa42cfc76|0*415427*0x9883a4debb77c3d3c4860cd1e2edf83c
M/core64_reg_access=21|0|v98|1374782079641|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|0*411538*0x949ccf36ab8470874477a502cc4b9b4aa42cfc76|0*415427*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_reg_access.vhd|66
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/core64_reg_access=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
404,12 → 404,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[core64_rx_engine_m4]
A/core64_rx_engine_m4=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|84|1*8789763
BinI32/core64_rx_engine_m4=3*900010
A/core64_rx_engine_m4=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|84|1*15937349
BinI32/core64_rx_engine_m4=3*1863273
I=0*417976
I/core64_rx_engine_m4=0*661313
M=11|0|v98|1359756120750|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|0*417259*0xf8484e213c639362b00453bae3204744c229180f|0*417843*0xab32bc1a2daf049573e14469f634e0e6
M/core64_rx_engine_m4=21|0|v98|1359756283690|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|0*418285*0x23c6b80ecb7dcbeb284e5350e2963abb99601168|0*427218*0x9883a4debb77c3d3c4860cd1e2edf83c
M/core64_rx_engine_m4=21|0|v98|1374782079777|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|0*418285*0x23c6b80ecb7dcbeb284e5350e2963abb99601168|0*427218*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_rx_engine_m4.vhd|61
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/core64_rx_engine_m4=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
422,12 → 422,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[core64_tx_engine_m4]
A/core64_tx_engine_m4=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|91|1*15332937
BinI32/core64_tx_engine_m4=3*1734685
A/core64_tx_engine_m4=21|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|91|1*15959353
BinI32/core64_tx_engine_m4=3*1871518
I=0*430006
I/core64_tx_engine_m4=0*2597329
M=11|0|v98|1359756121000|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|0*429149*0xb05569856eb984e7035f879120bd48c13a9fad3d|0*429873*0xab32bc1a2daf049573e14469f634e0e6
M/core64_tx_engine_m4=21|0|v98|1365787494894|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|0*2585747*0x07860b5a89f97ca31674785ce71247c8ad3be79a|0*2597173*0x9883a4debb77c3d3c4860cd1e2edf83c
M/core64_tx_engine_m4=21|0|v98|1374782080057|./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|0*2585747*0x07860b5a89f97ca31674785ce71247c8ad3be79a|0*2597173*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_ctrl/core64_tx_engine_m4.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/core64_tx_engine_m4=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
447,12 → 447,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_dma_adr]
A/ctrl_dma_adr=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|102|1*8836190
BinI32/ctrl_dma_adr=3*920112
A/ctrl_dma_adr=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|102|1*15983673
BinI32/ctrl_dma_adr=3*1883250
I=0*664593
I/ctrl_dma_adr=0*668855
M=11|0|v98|1359756143346|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|0*663798*0x2b3c38b1c4d395856bcb17926ed7ce23c06c29e0|0*664470*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_dma_adr=21|0|v98|1359756284159|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|0*664896*0x10968717f06221075fe1f29b59f5dff571e62b8f|0*668716*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_dma_adr=21|0|v98|1374782080323|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|0*664896*0x10968717f06221075fe1f29b59f5dff571e62b8f|0*668716*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_adr.vhd|75
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_dma_adr=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
465,12 → 465,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_dma_ext_cmd]
A/ctrl_dma_ext_cmd=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|136|1*8858178
BinI32/ctrl_dma_ext_cmd=3*922626
A/ctrl_dma_ext_cmd=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|136|1*16005661
BinI32/ctrl_dma_ext_cmd=3*1885768
I=0*672452
I/ctrl_dma_ext_cmd=0*674856
M=11|0|v98|1359756143625|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|0*671383*0xb6d2a64ca625afb8894447c6c007219c2ee95a28|0*672321*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_dma_ext_cmd=21|0|v98|1359756284364|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|0*672821*0xd21e60de856347d44b34fef51edad012a23079dd|0*674705*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_dma_ext_cmd=21|0|v98|1374782080559|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|0*672821*0xd21e60de856347d44b34fef51edad012a23079dd|0*674705*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_dma_ext_cmd.vhd|91
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_dma_ext_cmd=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
483,12 → 483,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_ext_descriptor]
A/ctrl_ext_descriptor=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|166|1*8867473
BinI32/ctrl_ext_descriptor=3*924371
A/ctrl_ext_descriptor=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|166|1*16014956
BinI32/ctrl_ext_descriptor=3*1887515
I=0*678728
I/ctrl_ext_descriptor=0*684245
M=11|0|v98|1359756143875|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|0*677551*0x4bfe5df5f140cb838ec501e1c6c56f4648a082b7|0*678591*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_ext_descriptor=21|0|v98|1359756284612|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|0*679038*0x76103e16cbc629630017eaab41ed70a2d8b6d343|0*684085*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_ext_descriptor=21|0|v98|1374782080823|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|0*679038*0x76103e16cbc629630017eaab41ed70a2d8b6d343|0*684085*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_descriptor.vhd|124
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_ext_descriptor=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
501,12 → 501,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_ext_ram]
A/ctrl_ext_ram=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|142|1*9023855
BinI32/ctrl_ext_ram=3*952634
A/ctrl_ext_ram=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|142|1*16171338
BinI32/ctrl_ext_ram=3*1915769
I=0*724072
I/ctrl_ext_ram=0*727116
M=11|0|v98|1359756144734|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|0*722878*0x760f2347e6571851c8dc466708471d7b84325888|0*723949*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_ext_ram=21|0|v98|1359756285390|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|0*724500*0x13455dca29a5e85aab8fea3e29887eb621fe979b|0*726977*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_ext_ram=21|0|v98|1374782081724|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|0*724500*0x13455dca29a5e85aab8fea3e29887eb621fe979b|0*726977*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ext_ram.vhd|98
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_ext_ram=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
519,14 → 519,16
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_fifo1024x64_st_v1]
A/structure=A/STRUCTURE 21|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|57|1*12509701
BinI32/structure=3*1357379
I=0*1458504
I/structure=I/STRUCTURE 0*1786148
M=11|0|v98|1359756252359|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|0*1457843*0x9bf03bdde06fc0f2f5afb3ceffa4ee6370ad704c|0*1458382*0xab32bc1a2daf049573e14469f634e0e6
A/ctrl_fifo1024x64_st_v1_a=21|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|58|1*18713005
A/structure=+21|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|57|1*12509701
I=0*2867364
I/ctrl_fifo1024x64_st_v1_a=0*2873599
M=11|0|v98|1374782055080|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|0*2866757*0xeede78380b6064338a16646d0c53a2dcb92f77a0|0*2867242*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_fifo1024x64_st_v1_a=21|0|v98|1374782102632|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|0*2867480*0xd59df81bb76db9f7bb70557f039ffa6ebe337eda|0*2873449*0x9883a4debb77c3d3c4860cd1e2edf83c
M/structure=M/STRUCTURE 21|0|v98|1359756301468|./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|0*1458740*0x622d9022644cf622594192b43d3cb46e7f9b2366|0*1786013*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/wishbone/coregen/ctrl_fifo1024x64_st_v1.vhd|43
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_fifo1024x64_st_v1_a=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/structure=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_fifo512x64st_v0]
581,12 → 583,12
Version/ctrl_fifo64x70st_a=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_main]
A/ctrl_main=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|157|1*8974161
BinI32/ctrl_main=3*928962
A/ctrl_main=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|157|1*16121644
BinI32/ctrl_main=3*1892105
I=0*688741
I/ctrl_main=0*700219
M=11|0|v98|1359756144156|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|0*687384*0x8f915b3857110dbe261506a6ea430bbe51766a83|0*688624*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_main=21|0|v98|1359756284845|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|0*689041*0x744d836fd2e53a5ff93e6792d5cd69fc0d50757a|0*700089*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_main=21|0|v98|1374782081087|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|0*689041*0x744d836fd2e53a5ff93e6792d5cd69fc0d50757a|0*700089*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_main.vhd|104
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_main=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
617,23 → 619,23
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_ram_cmd]
A/ctrl_ram_cmd=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|135|1*8997971
BinI32/ctrl_ram_cmd=3*944477
A/ctrl_ram_cmd=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|135|1*16145454
BinI32/ctrl_ram_cmd=3*1907611
I=0*710992
I/ctrl_ram_cmd=0*720127
M=11|0|v98|1359756144484|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|0*709888*0xf411afafb00d9a2731b474f220505592fa94717e|0*710869*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_ram_cmd=21|0|v98|1359756285156|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|0*711361*0xc483f7c91776c8a0ab3765a982332bba1789fffa|0*719988*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_ram_cmd=21|0|v98|1374782081478|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|0*711361*0xc483f7c91776c8a0ab3765a982332bba1789fffa|0*719988*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd.vhd|96
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_ram_cmd=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[ctrl_ram_cmd_pb]
A/ctrl_ram_cmd_pb=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|117|1*8989958
BinI32/ctrl_ram_cmd_pb=3*939956
A/ctrl_ram_cmd_pb=21|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|117|1*16137441
BinI32/ctrl_ram_cmd_pb=3*1903092
I=0*703357
I/ctrl_ram_cmd_pb=0*707608
M=11|0|v98|1359756144237|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|0*702421*0x54ed906e63b9d05518ae841475c2b9000bda0dbd|0*703228*0xab32bc1a2daf049573e14469f634e0e6
M/ctrl_ram_cmd_pb=21|0|v98|1359756284909|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|0*703600*0xd1c3d1e5eec44460d4f1b7219a92edd30c8ab64b|0*707460*0x9883a4debb77c3d3c4860cd1e2edf83c
M/ctrl_ram_cmd_pb=21|0|v98|1374782081197|./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|0*703600*0xd1c3d1e5eec44460d4f1b7219a92edd30c8ab64b|0*707460*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/pcie_fifo_ext/ctrl_ram_cmd_pb.vhd|83
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/ctrl_ram_cmd_pb=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
660,67 → 662,67
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[gtpa1_dual_wrapper]
A/rtl=A/RTL 21|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|185|1*13333407
BinI32/rtl=3*1419477
A/rtl=A/RTL 21|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|185|1*16478188
BinI32/rtl=3*1927524
I=0*792765
I/rtl=I/RTL 0*840580
M=11|0|v98|1359756150953|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|0*789048*0xb7237d536373a3d99530154171b63432b082cbde|0*792634*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=M/RTL 21|0|v98|1359756573161|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|0*793002*0x4a1bde6cad6ae65a611882ab1dd013ba46ebc774|0*800584*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=M/RTL 21|0|v98|1374782082585|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|0*793002*0x4a1bde6cad6ae65a611882ab1dd013ba46ebc774|0*800584*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper.vhd|73
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[gtpa1_dual_wrapper_tile]
A/rtl=A/RTL 21|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|182|1*13363028
BinI32/rtl=3*1420705
A/rtl=A/RTL 21|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|182|1*16507809
BinI32/rtl=3*1928746
I=0*807068
I/rtl=I/RTL 0*824420
M=11|0|v98|1359756151171|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|0*803718*0xc4a6f2cadade41757bdfa8331ae403e2a9c8bf14|0*806927*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=M/RTL 21|0|v98|1359756573393|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|0*807310*0x42f3286c54c49a33a7e0ecd00ac8f28fa6e76b9a|0*824272*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=M/RTL 21|0|v98|1374782082832|./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|0*807310*0x42f3286c54c49a33a7e0ecd00ac8f28fa6e76b9a|0*824272*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/gtpa1_dual_wrapper_tile.vhd|72
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[gtx_drp_chanalign_fix_3752_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|84|1*14085285
BinI32/v6_pcie=3*1510661
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|84|1*17230066
BinI32/v6_pcie=3*2018678
I=0*1077222
I/v6_pcie=0*1081039
M=11|0|v98|1359756167859|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|0*1076393*0xb7aeecc59077c54bae8c5ff409c7f7a867006fb6|0*1077064*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756591145|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|0*1077414*0x5348bbef3e24830686b249d806d5c24d336c3c9a|0*1080870*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782084818|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|0*1077414*0x5348bbef3e24830686b249d806d5c24d336c3c9a|0*1080870*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_drp_chanalign_fix_3752_v6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[gtx_rx_valid_filter_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|87|1*14092103
BinI32/v6_pcie=3*1513526
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|87|1*17236884
BinI32/v6_pcie=3*2021538
I=0*1083043
I/v6_pcie=0*1093269
M=11|0|v98|1359756168078|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|0*1081970*0x09f886874e5b7aa69b289de81f0f2a2dd22fe53b|0*1082899*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756591375|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|0*1083291*0x7390a512e2e28cf541cf2a30a6f94a42afb6258c|0*1093114*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782085097|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|0*1083291*0x7390a512e2e28cf541cf2a30a6f94a42afb6258c|0*1093114*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_rx_valid_filter_v6.vhd|62
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[gtx_tx_sync_rate_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|87|1*14110391
BinI32/v6_pcie=3*1523225
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|87|1*17255172
BinI32/v6_pcie=3*2031213
I=0*1095005
I/v6_pcie=0*1105416
M=11|0|v98|1359756168171|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|0*1094154*0x119bf24dfe6c743eb7ced0f44710747ccabfca8b|0*1094867*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756591456|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|0*1095187*0x1ed5059ada6dacd677cd376dd40076726a1e0fd3|0*1105267*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782085222|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|0*1095187*0x1ed5059ada6dacd677cd376dd40076726a1e0fd3|0*1105267*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_tx_sync_rate_v6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[gtx_wrapper_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|121|1*14123276
BinI32/v6_pcie=3*1529841
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|121|1*17268057
BinI32/v6_pcie=3*2037805
I=0*1109356
I/v6_pcie=0*1129175
M=11|0|v98|1359756168406|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|0*1107276*0x5f01e8081be485e4086f689cadda2ad967abb3c9|0*1109228*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756591737|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|0*1109596*0x0b7176f9a692b77fca690b775a770ada6235ce88|0*1129036*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782085502|./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|0*1109596*0x0b7176f9a692b77fca690b775a770ada6235ce88|0*1129036*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/gtx_wrapper_v6.vhd|66
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
802,12 → 804,12
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_2_0_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|553|1*14239024
BinI32/v6_pcie=3*1540292
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|553|1*17383805
BinI32/v6_pcie=3*2048256
I=0*1166758
I/v6_pcie=0*1381962
M=11|0|v98|1359756168765|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|0*1146737*0x9239275d3d070f5618f51558f2add099bb563ced|0*1166636*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756592033|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|0*1166995*0x3a1ba6dd457afedfca8fe6c2c28e45d4a3721383|0*1250125*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782085827|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|0*1166995*0x3a1ba6dd457afedfca8fe6c2c28e45d4a3721383|0*1250125*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_2_0_v6.vhd|66
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
824,89 → 826,89
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_bram_s6]
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|86|1*13497574
BinI32/rtl=3*1425498
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|86|1*16642355
BinI32/rtl=3*1933528
I=0*831389
I/rtl=0*834162
M=11|0|v98|1359756151468|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|0*830636*0xc061b9632f33bd50ae6fd48db2c18454f817ce51|0*831270*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756573815|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|0*831627*0xcd0a7c63053006eff762753d86775763de39803e|0*834036*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782083256|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|0*831627*0xcd0a7c63053006eff762753d86775763de39803e|0*834036*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_s6.vhd|65
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_bram_top_s6]
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|101|1*13569503
BinI32/rtl=3*1428847
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|101|1*16714284
BinI32/rtl=3*1936878
I=0*836919
I/rtl=0*839487
M=11|0|v98|1359756151515|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|0*835527*0xeab01f9bf924a3a78aec3daeb720bb8d2ab546ea|0*836792*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756573859|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|0*837163*0x80537f37fab342003c695df54258d182dda8cc6e|0*839353*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782083321|./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|0*837163*0x80537f37fab342003c695df54258d182dda8cc6e|0*839353*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/pcie_bram_top_s6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_bram_top_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|100|1*14625481
BinI32/v6_pcie=3*1555833
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|100|1*17770262
BinI32/v6_pcie=3*2063797
I=0*1258047
I/v6_pcie=0*1261329
M=11|0|v98|1359756169531|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|0*1256647*0x75b5048e78027eabbddfb90775a39f4d897dca51|0*1257915*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756592333|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|0*1258226*0x9006e76090004d61357237b63db6656ba5b7698c|0*1261186*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782086160|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|0*1258226*0x9006e76090004d61357237b63db6656ba5b7698c|0*1261186*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_top_v6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_bram_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|84|1*14634364
BinI32/v6_pcie=3*1558514
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|84|1*17779145
BinI32/v6_pcie=3*2066481
I=0*1262788
I/v6_pcie=0*1269816
M=11|0|v98|1359756169781|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|0*1262025*0x0e59fd7a45ff4350d0255cbef11d6b22978c6fb9|0*1262664*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756592564|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|0*1263026*0x955e1a1f636f7dfe2d92c9124e05e81b3662fc2a|0*1269681*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782086420|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|0*1263026*0x955e1a1f636f7dfe2d92c9124e05e81b3662fc2a|0*1269681*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_bram_v6.vhd|65
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_brams_s6]
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|110|1*13487335
BinI32/rtl=3*1421909
A/rtl=21|./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|110|1*16632116
BinI32/rtl=3*1929950
I=0*826007
I/rtl=0*840891
M=11|0|v98|1359756151265|./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|0*825234*0x5992542ce8b943f48b06e5a194e257d7d6462474|0*825886*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756573596|./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|0*826183*0x5580276f48cf7781ae0cf4678d339b1af28cc74b|0*829993*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782083037|./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|0*826183*0x5580276f48cf7781ae0cf4678d339b1af28cc74b|0*829993*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_s6/pcie_brams_s6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_brams_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|111|1*14615449
BinI32/v6_pcie=3*1552104
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|111|1*17760230
BinI32/v6_pcie=3*2060066
I=0*1251615
I/v6_pcie=0*1382519
M=11|0|v98|1359756168906|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|0*1250832*0x89c733d460897d4f0158b36b719c2df807573696|0*1251489*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756592284|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|0*1251791*0x078e5c82ddc090a9fe8b210a6809ea7deb404b64|0*1255373*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782086095|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|0*1251791*0x078e5c82ddc090a9fe8b210a6809ea7deb404b64|0*1255373*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_brams_v6.vhd|64
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_clocking_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|89|1*14800665
BinI32/v6_pcie=3*1564533
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|89|1*17945446
BinI32/v6_pcie=3*2072493
I=0*1271616
I/v6_pcie=0*1279510
M=11|0|v98|1359756170078|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|0*1270753*0xed4093b6f999cdb46463057098974b89bbc0e193|0*1271484*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756592799|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|0*1271790*0xca4a1e4897c60a14dbcb0211eda14603c79c3a60|0*1279367*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782086719|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|0*1271790*0xca4a1e4897c60a14dbcb0211eda14603c79c3a60|0*1279367*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_clocking_v6.vhd|65
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_core64_m6]
A/pcie_core64_m6=21|./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|145|1*11007907
BinI32/pcie_core64_m6=3*1147504
A/pcie_core64_m6=21|./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|145|1*18155390
BinI32/pcie_core64_m6=3*2110603
I=0*1427508
I/pcie_core64_m6=0*1444435
M=11|0|v98|1359756226032|./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|0*1426097*0xf101e062ac6903fe067e7fa8331dbd9e4a1417a4|0*1427391*0xab32bc1a2daf049573e14469f634e0e6
M/pcie_core64_m6=21|0|v98|1359756291206|./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|0*1428087*0xeeff7248db1c9eef3f2438d371da9dd5865cabe7|0*1444300*0x9883a4debb77c3d3c4860cd1e2edf83c
M/pcie_core64_m6=21|0|v98|1374782087811|./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|0*1428087*0xeeff7248db1c9eef3f2438d371da9dd5865cabe7|0*1444300*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/top/pcie_core64_m6.vhd|94
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/pcie_core64_m6=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
919,12 → 921,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_core64_wishbone]
A/pcie_core64_wishbone=21|./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|146|1*8685743
BinI32/pcie_core64_wishbone=3*873364
A/pcie_core64_wishbone=21|./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|146|1*18864461
BinI32/pcie_core64_wishbone=3*2194127
I=0*1453876
I/pcie_core64_wishbone=0*1456869
I/pcie_core64_wishbone=0*3081893
M=11|0|v98|1359756238798|./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|0*1452281*0x32d5df94908fcc6f0646102a1949108e9ca340ff|0*1453745*0xab32bc1a2daf049573e14469f634e0e6
M/pcie_core64_wishbone=21|0|v98|1359756281362|./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|0*1454251*0x5222911a3b26112f0ff27086e29892a3d7fd5dd7|0*1456714*0x9883a4debb77c3d3c4860cd1e2edf83c
M/pcie_core64_wishbone=21|0|v98|1374782372993|./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|0*3079027*0x97b6daa1f7c8337e73c5f8cefc46fb31887feee6|0*3081738*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/components/pcie_core/pcie_core64_wishbone.vhd|95
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/pcie_core64_wishbone=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
937,67 → 939,67
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_gtx_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|218|1*14826026
BinI32/v6_pcie=3*1567865
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|218|1*17970807
BinI32/v6_pcie=3*2075825
I=0*1290582
I/v6_pcie=0*1304434
M=11|0|v98|1359756170187|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|0*1285034*0x60261f4b7f47afddb633411370f37517a034626d|0*1290460*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593034|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|0*1290756*0x0c61e3dc36eb0c8016e89a49661824ecd79c4950|0*1304301*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087000|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|0*1290756*0x0c61e3dc36eb0c8016e89a49661824ecd79c4950|0*1304301*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_gtx_v6.vhd|63
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_pipe_lane_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|98|1*14873848
BinI32/v6_pcie=3*1578631
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|98|1*18018629
BinI32/v6_pcie=3*2086580
I=0*1307551
I/v6_pcie=0*1315303
M=11|0|v98|1359756170468|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|0*1305979*0xee7b1b6d0bdd7d15c855469954fc3cd078eeea9f|0*1307417*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593114|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|0*1307662*0x221349204a1c570973db63163a73e1441e690e78|0*1315158*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087127|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|0*1307662*0x221349204a1c570973db63163a73e1441e690e78|0*1315158*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_lane_v6.vhd|62
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_pipe_misc_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|85|1*14889237
BinI32/v6_pcie=3*1584857
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|85|1*18034018
BinI32/v6_pcie=3*2092806
I=0*1316969
I/v6_pcie=0*1320624
M=11|0|v98|1359756170531|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|0*1316072*0x89949326c9e9bb291d5b7f66b96aee44f587d186|0*1316835*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593161|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|0*1317080*0x7b98823c744a293c19913736ee39243fa81a082f|0*1320479*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087205|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|0*1317080*0x7b98823c744a293c19913736ee39243fa81a082f|0*1320479*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_misc_v6.vhd|62
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_pipe_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|339|1*14896603
BinI32/v6_pcie=3*1588271
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|339|1*18041384
BinI32/v6_pcie=3*2096218
I=0*1340341
I/v6_pcie=0*1369790
M=11|0|v98|1359756170593|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|0*1330284*0x005078a31d5710d4339a7ff067a504e6baadd355|0*1340217*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593221|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|0*1340447*0x1838642d47221354da896ef5cf569f82ad251dc6|0*1369655*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087375|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|0*1340447*0x1838642d47221354da896ef5cf569f82ad251dc6|0*1369655*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_pipe_v6.vhd|62
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_reset_delay_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|76|1*14996457
BinI32/v6_pcie=3*1594838
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|76|1*18141238
BinI32/v6_pcie=3*2102785
I=0*1370913
I/v6_pcie=0*1372964
M=11|0|v98|1359756170703|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|0*1370333*0x8c75c41baf05eb7b3afbaaf12dbc879442689cf2|0*1370775*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593286|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|0*1371095*0x38a309b93640d488a15456c08062d93b92860641|0*1372815*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087486|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|0*1371095*0x38a309b93640d488a15456c08062d93b92860641|0*1372815*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_reset_delay_v6.vhd|63
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[pcie_upconfig_fix_3451_v6]
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|82|1*14999879
BinI32/v6_pcie=3*1597150
A/v6_pcie=21|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|82|1*18144660
BinI32/v6_pcie=3*2105095
I=0*1374768
I/v6_pcie=0*1379733
M=11|0|v98|1359756170750|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|0*1373796*0x090606fc753c375feddedbf97c2e2346e1cca5e6|0*1374618*0xab32bc1a2daf049573e14469f634e0e6
M/v6_pcie=21|0|v98|1359756593331|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|0*1374956*0xc2457495bda4f47657aab87c57c2881a677a3346|0*1379572*0x9883a4debb77c3d3c4860cd1e2edf83c
M/v6_pcie=21|0|v98|1374782087548|./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|0*1374956*0xc2457495bda4f47657aab87c57c2881a677a3346|0*1379572*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/pcie_src/pcie_core64_m1/source_virtex6/pcie_upconfig_fix_3451_v6.vhd|62
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/v6_pcie=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
1013,12 → 1015,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[sp605_lx45t_wishbone]
A/rtl=21|./src/top/sp605_lx45t_wishbone.vhd|91|1*12016091
BinI32/rtl=3*1281628
A/rtl=21|./src/top/sp605_lx45t_wishbone.vhd|91|1*19013441
BinI32/rtl=3*2199770
I=0*2151775
I/rtl=0*2153148
M=11|0|v98|1359756294656|./src/top/sp605_lx45t_wishbone.vhd|0*2150954*0x7b10f06a42ef3014f4822e7010bfd398230c5678|0*2151670*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756294671|./src/top/sp605_lx45t_wishbone.vhd|0*2152032*0x54c320cc912158991871fa10413ec90c18be68f4|0*2153036*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374782382389|./src/top/sp605_lx45t_wishbone.vhd|0*2152032*0x54c320cc912158991871fa10413ec90c18be68f4|0*2153036*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/top/sp605_lx45t_wishbone.vhd|65
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
1031,12 → 1033,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[sp605_lx45t_wishbone_sopc_wb]
A/rtl=21|./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|104|1*13077051
BinI32/rtl=3*1408987
A/rtl=21|./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|104|1*19070299
BinI32/rtl=3*2229941
I=0*2123952
I/rtl=0*2148537
I/rtl=0*3106526
M=11|0|v98|1359756294409|./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|0*2123038*0xd400d88b2e6aec345510aa3c230d98ec4cfd9894|0*2123831*0xab32bc1a2daf049573e14469f634e0e6
M/rtl=21|0|v98|1359756350253|./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|0*2124486*0x20dd0c2bf37c9e72c93e8992036bf57812847237|0*2148409*0x9883a4debb77c3d3c4860cd1e2edf83c
M/rtl=21|0|v98|1374783157225|./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|0*3082475*0x2749fd94c2e39917e262559f2f2c0048a491302f|0*3106398*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/top/sp605_lx45t_wishbone_sopc_wb.vhd|79
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/rtl=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
1049,12 → 1051,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[stend_sp605_wishbone]
A/stend_sp605_wishbone=21|./src/testbench/stend_sp605_wishbone.vhd|51|1*15316229
BinI32/stend_sp605_wishbone=3*1732843
A/stend_sp605_wishbone=21|./src/testbench/stend_sp605_wishbone.vhd|51|1*19053546
BinI32/stend_sp605_wishbone=3*2228071
I=0*2547071
I/stend_sp605_wishbone=0*2547618
M=11|0|v98|1365718865396|./src/testbench/stend_sp605_wishbone.vhd|0*2546430*0x8d542d86a2255ac915201296af56eb489dd692c0|0*2546960*0xab32bc1a2daf049573e14469f634e0e6
M/stend_sp605_wishbone=21|0|v98|1365719381626|./src/testbench/stend_sp605_wishbone.vhd|0*2430473*0xe330b76d68a67a5b404e5df4308e3cc2981845c3|0*2433221*0x9883a4debb77c3d3c4860cd1e2edf83c
I/stend_sp605_wishbone=0*2650541
M=11|0|v98|1374782043147|./src/testbench/stend_sp605_wishbone.vhd|0*2546430*0x8d542d86a2255ac915201296af56eb489dd692c0|0*2546960*0xab32bc1a2daf049573e14469f634e0e6
M/stend_sp605_wishbone=21|0|v98|1374782388954|./src/testbench/stend_sp605_wishbone.vhd|0*2647623*0xff9b2e6ba51199da3049f620ff1bad670e6af27a|0*2650406*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/testbench/stend_sp605_wishbone.vhd|43
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
Version/stend_sp605_wishbone=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
1070,12 → 1072,12
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[test_pkg]
BinI32/test_pkg=3*1708595
E=1*15295097
I=0*2083675
I/test_pkg=0*2584969
M=3|0|v98|1359756294265|./src/testbench/test_pkg.vhd|0*2082686*0x2579205ad1bbced916e3fb2d34f712d2874243ab|0*2083588*0xab32bc1a2daf049573e14469f634e0e6
M/test_pkg=4|0|v98|1365719371858|./src/testbench/test_pkg.vhd|0*2548375*0x377d913efcb600912af19c6a15d30d6d44feca71|0*2584871*0x9883a4debb77c3d3c4860cd1e2edf83c
BinI32/test_pkg=3*2202019
E=1*19030909
I=0*2607057
I/test_pkg=0*2646845
M=3|0|v98|1374782042943|./src/testbench/test_pkg.vhd|0*2606008*0xe8d5491a7d0d5bd0e39006e1194373956323cf68|0*2606970*0xab32bc1a2daf049573e14469f634e0e6
M/test_pkg=4|0|v98|1374782388671|./src/testbench/test_pkg.vhd|0*2607587*0xc642367dc59ad6303bef8b236ad84d39954f4aee|0*2646747*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/testbench/test_pkg.vhd|31
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
1090,67 → 1092,67
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[wb_block_pkg]
BinI32/wb_block_pkg=3*1381924
E=1*13033365
I=0*2079368
I/wb_block_pkg=0*2081320
M=3|0|v98|1359756294218|./src/testbench/wb_block_pkg.vhd|0*2077278*0x6419db40900a5a5fb501e62744252436be671e84|0*2079273*0xab32bc1a2daf049573e14469f634e0e6
M/wb_block_pkg=4|0|v98|1359756328441|./src/testbench/wb_block_pkg.vhd|0*2079787*0x924a2305350fcfb892e8197d476652222151f954|0*2081210*0x9883a4debb77c3d3c4860cd1e2edf83c
BinI32/wb_block_pkg=3*2200310
E=1*19023203
I=0*2602180
I/wb_block_pkg=0*2604554
M=3|0|v98|1374782042724|./src/testbench/wb_block_pkg.vhd|0*2599833*0x9f4cc636e26f58490fa391e861b6617b41e33d3a|0*2602085*0xab32bc1a2daf049573e14469f634e0e6
M/wb_block_pkg=4|0|v98|1374782388534|./src/testbench/wb_block_pkg.vhd|0*2602599*0x542a2df531ca3c026473878aa316495e78b46d98|0*2604444*0x9883a4debb77c3d3c4860cd1e2edf83c
R=./src/testbench/wb_block_pkg.vhd|33
Version=9.1.2353.4205 (Windows)|00000004789cd34d494a0700030f015b
 
[wb_conmax_arb]
A/wb_conmax_arb=22|./src/wishbone/cross/wb_conmax_arb.v|64|1*12128924
BinI32/wb_conmax_arb=3*1311695
A/wb_conmax_arb=22|./src/wishbone/cross/wb_conmax_arb.v|64|1*18332228
BinI32/wb_conmax_arb=3*2144014
P/~emb=430,716,1823,2447
R=./src/wishbone/cross/wb_conmax_arb.v|64
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_master_if]
A/wb_conmax_master_if=22|./src/wishbone/cross/wb_conmax_master_if.v|62|1*12132388
BinI32/wb_conmax_master_if=3*1315204
A/wb_conmax_master_if=22|./src/wishbone/cross/wb_conmax_master_if.v|62|1*18335692
BinI32/wb_conmax_master_if=3*2147546
P/~emb=9202,10449,15482,15861
R=./src/wishbone/cross/wb_conmax_master_if.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_msel]
A/wb_conmax_msel=22|./src/wishbone/cross/wb_conmax_msel.v|62|1*12176005
BinI32/wb_conmax_msel=3*1330794
A/wb_conmax_msel=22|./src/wishbone/cross/wb_conmax_msel.v|62|1*18379309
BinI32/wb_conmax_msel=3*2162973
P/~emb=462,894,2305,2813
R=./src/wishbone/cross/wb_conmax_msel.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_pri_dec]
A/wb_conmax_pri_dec=22|./src/wishbone/cross/wb_conmax_pri_dec.v|62|1*12192956
BinI32/wb_conmax_pri_dec=3*1337472
A/wb_conmax_pri_dec=22|./src/wishbone/cross/wb_conmax_pri_dec.v|62|1*18396260
BinI32/wb_conmax_pri_dec=3*2169529
P/~emb=223,476,812,954
R=./src/wishbone/cross/wb_conmax_pri_dec.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_pri_enc]
A/wb_conmax_pri_enc=22|./src/wishbone/cross/wb_conmax_pri_enc.v|62|1*12187491
BinI32/wb_conmax_pri_enc=3*1335825
A/wb_conmax_pri_enc=22|./src/wishbone/cross/wb_conmax_pri_enc.v|62|1*18390795
BinI32/wb_conmax_pri_enc=3*2167880
P/~emb=676,1029,1571,2084
R=./src/wishbone/cross/wb_conmax_pri_enc.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_rf]
A/wb_conmax_rf=22|./src/wishbone/cross/wb_conmax_rf.v|62|1*12195033
BinI32/wb_conmax_rf=3*1339062
A/wb_conmax_rf=22|./src/wishbone/cross/wb_conmax_rf.v|62|1*18398337
BinI32/wb_conmax_rf=3*2171116
P/~emb=1992,2527,4123,4541
R=./src/wishbone/cross/wb_conmax_rf.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_slave_if]
A/wb_conmax_slave_if=22|./src/wishbone/cross/wb_conmax_slave_if.v|62|1*12205950
BinI32/wb_conmax_slave_if=3*1347374
A/wb_conmax_slave_if=22|./src/wishbone/cross/wb_conmax_slave_if.v|62|1*18409254
BinI32/wb_conmax_slave_if=3*2179404
P/~emb=5041,5922,8760,9250
R=./src/wishbone/cross/wb_conmax_slave_if.v|62
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
 
[wb_conmax_top]
A/wb_conmax_top=22|./src/wishbone/cross/wb_conmax_top.v|68|1*12227647
BinI32/wb_conmax_top=3*1355764
A/wb_conmax_top=22|./src/wishbone/cross/wb_conmax_top.v|68|1*18430951
BinI32/wb_conmax_top=3*2187707
P/~emb=15355,24032,40198,76061
R=./src/wishbone/cross/wb_conmax_top.v|68
Version=9.1.2353.4205 (Windows)|0000004f789cd3cd51282e3033308dcfa930312d892fcf2cce48cacf4b55d04d494a57d0cd51c82fcb8c2fcdcbcc4d4c2eca47e21767e6c278159939997915c9f945a93999490047261c91
1182,21 → 1184,21
 
[~A]
LastVerilogToplevel=wb_conmax_top
ModifyID=2816
ModifyID=3556
Version=73
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/pcie_src/components/rtl/core64_pb_wishbone_ctrl.v=0*2068200*2071838
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/pcie_src/pcie_sim/dsport/glbl.v=0*2074137*2075004
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/block_test_check/block_check_wb_burst_slave.v=0*2156638*2157913
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/block_test_generate/block_generate_wb_burst_slave.v=0*2162348*2163981
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/cross/wb_conmax_arb.v_wb_conmax_defines.v_wb_conmax_master_if.v_wb_conmax_msel.v_wb_conmax_pri_dec.v_wb_conmax_pri_enc.v_wb_conmax_rf.v_wb_conmax_slave_if.v_wb_conmax_top.v=0*2299417*2350436
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/block_test_check/block_check_wb_burst_slave.v=0*2884331*2885654
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/block_test_generate/block_generate_wb_burst_slave.v=0*2890089*2891722
e:/prog/pcie_ds_dma/trunk/projects/sp605_lx45t_wishbone/src/wishbone/cross/wb_conmax_arb.v_wb_conmax_defines.v_wb_conmax_master_if.v_wb_conmax_msel.v_wb_conmax_pri_dec.v_wb_conmax_pri_enc.v_wb_conmax_rf.v_wb_conmax_slave_if.v_wb_conmax_top.v=0*3027158*3078177
 
[~MFT]
0=983|0sp605_lx45t_wishbone.mgf|2597329|307388
1=549|1sp605_lx45t_wishbone.mgf|15332937|10532072
3=499|3sp605_lx45t_wishbone.mgf|1734685|1258097
0=1145|0sp605_lx45t_wishbone.mgf|3106526|522529
1=742|1sp605_lx45t_wishbone.mgf|19070299|14346565
3=688|3sp605_lx45t_wishbone.mgf|2229941|1742363
 
[~U]
$root=12|0*2164646|
$root=12|0*2892387|
axi_basic_rx=11|0*841130|
axi_basic_rx_null_gen=11|0*848590|
axi_basic_rx_pipeline=11|0*856990|
1204,13 → 1206,13
axi_basic_tx=11|0*880219|
axi_basic_tx_pipeline=11|0*888712|
axi_basic_tx_thrtl_ctl=11|0*898931|
block_check_wb_burst_slave=12|0*2153953||0x90
block_check_wb_burst_slave=12|0*2881568||0x90
block_check_wb_config_slave=11|0*2033037|
block_check_wb_config_slave_pkg=3|0*2031030|0*2031169
block_check_wb_pkg=3|0*2024757|0*2024857
block_generate_wb_burst_slave=12|0*2158866||0x90
block_generate_wb_config_slave=11|0*1998789|
block_generate_wb_config_slave_pkg=3|0*1996956|0*1997104
block_generate_wb_burst_slave=12|0*2886607||0x90
block_generate_wb_config_slave=11|0*2667975|
block_generate_wb_config_slave_pkg=3|0*2666092|0*2666240
block_generate_wb_pkg=3|0*2005286|0*2005395
block_pe_fifo_ext=11|0*729016|
block_pe_fifo_ext_pkg=3|0*727740|0*727849
1231,7 → 1233,7
cmd_sim_pkg=3|0*284719|0*285085
core64_interrupt=11|0*397517|
core64_interrupt_pkg=3|0*396616|0*396722
core64_pb_disp=11|0*401422|
core64_pb_disp=11|0*2873819|
core64_pb_disp_pkg=3|0*400363|0*400463
core64_pb_transaction=11|0*1388662|
core64_pb_transaction_pkg=3|0*1387487|0*1387608
1253,7 → 1255,7
ctrl_ext_descriptor_pkg=3|0*675301|0*675416
ctrl_ext_ram=11|0*722075|
ctrl_ext_ram_pkg=3|0*720628|0*720722
ctrl_fifo1024x64_st_v1=11|0*1457451|
ctrl_fifo1024x64_st_v1=11|0*2866389|
ctrl_fifo512x64st_v0=11|0*472220|
ctrl_fifo64x34fw=11|0*442130|
ctrl_fifo64x37st=11|0*449653|
1308,17 → 1310,17
sp605_lx45t_wishbone_sopc_wb_pkg=3|0*2121389|0*2121531
stend_sp605_wishbone=11|0*2546229|
test_interface=3|0*208866|0*209499
test_pkg=3|0*2081810|0*2081880
test_pkg=3|0*2605044|0*2605114
trd_pkg=3|0*299909|0*299976
wb_block_pkg=3|0*2075608|0*2075690
wb_conmax_arb=12|0*2164940||0x80
wb_conmax_master_if=12|0*2168531||0x80
wb_conmax_msel=12|0*2187711||0x80
wb_conmax_pri_dec=12|0*2194398||0x80
wb_conmax_pri_enc=12|0*2191876||0x80
wb_conmax_rf=12|0*2195700||0x80
wb_conmax_slave_if=12|0*2201691||0x80
wb_conmax_top=12|0*2212906||0x90
wb_block_pkg=3|0*2597843|0*2597925
wb_conmax_arb=12|0*2892681||0x80
wb_conmax_master_if=12|0*2896272||0x80
wb_conmax_msel=12|0*2915452||0x80
wb_conmax_pri_dec=12|0*2922139||0x80
wb_conmax_pri_enc=12|0*2919617||0x80
wb_conmax_rf=12|0*2923441||0x80
wb_conmax_slave_if=12|0*2929432||0x80
wb_conmax_top=12|0*2940647||0x90
wb_conmax_top_pkg=3|0*1973900|0*1975532
xilinx_pcie_rport_m2=11|0*352636|
xilinx_pcie_rport_m2_pkg=3|0*350717|0*350835
/trunk/projects/sp605_lx45t_wishbone/library.cfg
2,3 → 2,4
sp605_lx45t_wishbone = "./sp605_lx45t_wishbone.LIB" 1349993759453
ambpex5_sx50t_wishbone = "../ambpex5_sx50t_wishbone/ambpex5_sx50t_wishbone.LIB" 1366407892258
ambpex5_v20_sx50t_core = "../ambpex5_v20_sx50t_core/ambpex5_v20_sx50t_core.LIB" 1366409138616
sp605_lx45t_core = "../sp605_lx45t_core/sp605_lx45t_core.LIB" 1374005234864
/trunk/projects/sp605_lx45t_wishbone/sp605_lx45t_wishbone.adf
9,6 → 9,7
 
[Library]
sp605_lx45t_wishbone=.\sp605_lx45t_wishbone.LIB
sp605_lx45t_wishbone_post_synthesis=.\sp605_lx45t_wishbone_post_synthesis\sp605_lx45t_wishbone_post_synthesis.lib
 
[Settings]
AccessRead=0
19,8 → 20,8
AccessReadTopLevel=1
DisableC=1
ENABLE_ADV_DATAFLOW=0
SYNTH_TOOL=MV_XST122
IMPL_TOOL=MV_ISE122
SYNTH_TOOL=MV_XST132
IMPL_TOOL=MV_ISE132
CSYNTH_TOOL=<none>
PHYSSYNTH_TOOL=<none>
FLOW_TYPE=HDL
31,9 → 32,9
ON_SERVERFARM_SIM=0
DVM_DISPLAY=NO
REFRESH_FLOW=1
FAMILY=Xilinx12x VIRTEX5
FAMILY=Xilinx13x SPARTAN6
RUN_MODE_SYNTH=0
VerilogDirsChanged=1
VerilogDirsChanged=0
WireDelay=2
NoTchkMsg=1
NoTimingChecks=1
63,6 → 64,17
VitalAccel=1
VitalGlitches=1
DisableIEEEWarnings=1
SYNTH_STATUS=warnings
IMPL_STATUS=warnings
PHYSSYNTH_STATUS=none
PCBINTERFACE_STATUS=NONE
FUNCTIONAL_SIMULATION_STATUS=
POSTSYNTHESIS_SIMULATION_STATUS=
TIMING_SIMULATION_STATUS=
FUNC_LIB=sp605_lx45t_wishbone
POST_LIB=sp605_lx45t_wishbone_post_synthesis
RUN_MODE_IMPL=0
LAST_IMPL_STATUS=warnings
 
[LocalVerilogSets]
EnableSLP=1
107,15 → 119,11
 
[$LibMap$]
sp605_lx45t_wishbone=.
Active_lib=VIRTEX5
xilinxun=VIRTEX5
UnlinkedDesignLibrary=VIRTEX5
DESIGNS=VIRTEX5
Active_lib=SPARTAN6
xilinxun=SPARTAN6
UnlinkedDesignLibrary=SPARTAN6
DESIGNS=SPARTAN6
 
[IMPLEMENTATION]
UCF=
FLOW_STEPS_RESET=0
 
[IMPLEMENTATION_XILINX12]
impl_opt(dont_run_translate)=0
impl_opt(dont_run_map)=0
124,6 → 132,8
impl_opt(dont_run_simulation)=0
impl_opt(dont_run_fit)=0
impl_opt(dont_run_bitgen)=1
impl_opt(use_partitions_in_flow)=0
impl_opt(partitions_file)=synthesis\xpartition.pxml
 
[HierarchyViewer]
SortInfo=u
196,6 → 206,9
wishbone\testbecnh\dev_wb_cross\sim=1
wishbone\testbecnh\dev_wb_cross\sim\zz_do=1
testbench\log=1
post-synthesis=1
DESIGN_STATUS=1
DESIGN_STATUS\2013_07_26_01_18=1
 
[Verilog Library]
ovi_unimacro=
202,6 → 215,329
ovi_unisim=
ovi_xilinxcorelib=
 
[SYNTHESIS]
TOPLEVEL=sp605_lx45t_wishbone
FAMILY=Xilinx13x SPARTAN6
DEVICE=6slx45tfgg484
SPEED=-3
OBSOLETE_ALIASES=1
FILTER_MESSAGES=
FSM_ENCODE=
PACK_IO_REGISTERS=Auto
SIMOUTFORM=1
AUTO_CLOSE_GUI=0
USE_DEF_UCF_FILE=1
UCF_FILENAME=
LSO_FILENAME=
HDL_INI_FILENAME=
XST_INCLUDE_PATH=src
CORES_SEARCH_DIR=
OTHER_COMMAND_LINE_OPT=
XST_WORK_DIR=synthesis\xst
PARTITIONS_FILE=
Show_OptimizationGoalCombo=Speed
Show_OptimizationEffortCombo=Normal
Show_KeepHierarchyFPGA=Yes
Show_Timing_Constraint=0
Show_FSM_Encoding_Algorithm=Auto
Show_MuxExtractionCombo=Yes
Show_Resource_Sharing=1
Show_Rom_Extraction=1
Show_Ram_Extraction=1
Show_RamStyleCombo=Auto
Show_Shift_Register_Extraction=1
Show_Add_IO_Buffer=1
Show_Equivalent_Register_Removal=0
Show_Max_Fanout=1000000
Show_Register_Duplication=0
Show_Register_Balancing=No
Show_Pack_IO_Registers_Into_IOBs=Auto
Show_Macro_Preserve=1
Show_Xor_Preserve=1
Show_WysiwygCombo=None
Show_Case_Implementation_Style=None
Show_Global_Optimalization_Goal=AllClockNets
Show_JobDescription=SynthesisTask
Show_IncludeInputFiles=*.*
Show_ExcludeInputFiles=log*.*:implement*.*
Show_UseSynthesisConstraintsFile=1
Show_CrossClockAnalysis=0
Show_HierarchySeparator=/
Show_BusDelimiter=<>
Show_GenerateRtlSchematic=Yes
Show_CaseVhdl=Maintain
Show_Verilog2001=1
Show_RomStyle=Auto
Show_ReadCores=1
Show_MaxNoBufgs16=0
Show_OptimizeInstantiatedPrimitives=0
Show_MoveFirstFlipFlopStage=<none>
Show_MoveLastFlipFlopStage=<none>
Show_FSMStyle=LUT
Show_SimulationOutputFormat=1
Show_KeepHierarchyCPLD=Yes
Show_SafeImplementation=No
Show_UseClockEnable=Auto
Show_UseSynchronousSet=Auto
Show_UseSynchronousReset=Auto
Show_FilterMessages=0
Show_DspUtilizationRatio=100
Show_LUT_FF_PairsUtilizationRatio=100
Show_PowerReduction=0
Show_BRAMUtilizationRatio=100
Show_AutomaticBRAMPacking=0
Show_AsynchronousToSynchronous=0
Show_NetlistHierarchy=As Optimized
Show_LUTCombining=Auto
Show_ReduceControlSets=Auto
Show_UseIseWithPartitions=0
Show_GenerateIseWithPartitions=1
Show_Add_Special_Library_Sources=1
Show_UseDSPBlock_S6_V6=Auto
Show_ShiftRegisterMinimumSize=2
Show_OptimizationEffortCombo_Fast=High
RAM_STYLE=Auto
ROM_STYLE=Auto
MUX_STYLE=Auto
MOVE_FIRST_FF_STAGE=1
MOVE_LAST_FF_STAGE=1
JOB_DESCRIPTION=SynthesisTask
SERVERFARM_INCLUDE_INPUT_FILES=*.*
SERVERFARM_EXCLUDE_INPUT_FILES=log*.*:implement*.*
JOB_SFM_RESOURCE=
LAST_RUN=1374786428
OUTPUT_NETLIST=synthesis\sp605_lx45t_wishbone.ngc
OUTPUT_SIMUL_NETLIST=synthesis\sp605_lx45t_wishbone.vhd
 
[PHYS_SYNTHESIS]
FAMILY=Xilinx13x SPARTAN6
DEVICE=6slx45tfgg484
SPEED=-3
SCRIPTS_COPIED=0
IN_DESIGN=synthesis\sp605_lx45t_wishbone.ngc
OUT_DESIGN=
IN_CONSTRAINT=
OUT_CONSTRAINT=
REPORT=
 
[IMPLEMENTATION]
FLOW_STEPS_RESET=0
FAMILY=Xilinx13x SPARTAN6
DEVICE=6slx45tfgg484
SPEED=-3
NETLIST=synthesis\sp605_lx45t_wishbone.ngc
IS_BAT_MODE=0
BAT_FILE=
UCF=src\top\sp605_lx45t_wishbone.ucf
DEF_UCF=2
OLD_FAMILY=Xilinx13x SPARTAN6
wasChanged_Change_Device_Speed=0
wasChanged_Change_Device_Speed_To=0
wasChanged_Change_Device_Speed_To2=1
Place_And_Route_Mode_old_value=Route Only
JOB_DESCRIPTION=ImplementationTask
SERVERFARM_INCLUDE_INPUT_FILES=*.*
SERVERFARM_EXCLUDE_INPUT_FILES=log\*.*
JOB_SFM_RESOURCE=
SYNTH_TOOL_RESET=0
LAST_RUN=1374786709
 
[IMPLEMENTATION_XILINX13]
impl_opt(dont_run_translate)=0
impl_opt(dont_run_map)=0
impl_opt(dont_run_place)=0
impl_opt(dont_run_trace)=0
impl_opt(dont_run_simulation)=1
impl_opt(dont_run_fit)=0
impl_opt(dont_run_bitgen)=0
Macro_Search_Path={src\wishbone\coregen} {src\pcie_src\components\coregen}
impl_opt(partitions_file)=
impl_opt(use_partitions_file)=0
impl_opt(smart_guide_file)=
impl_opt(use_smart_guide)=0
impl_opt(edif_str)=synthesis\sp605_lx45t_wishbone.ngc
impl_opt(_family_sel)=Xilinx13x SPARTAN6
impl_opt(_device_sel)=6slx45tfgg484
impl_opt(_speed_sel)=-3
impl_opt(Effort_Level)=Standard
impl_opt(netlist_format)=1
impl_opt(auto_close)=0
impl_opt(override_existing_project)=1
impl_opt(bat_file_name)=
impl_opt(is_bat_mode)=0
impl_opt(def_ucf)=Custom constraint file
impl_opt(ucf_str)=src\top\sp605_lx45t_wishbone.ucf
impl_opt(version_sel)=ver1
impl_opt(revision_sel)=rev1
impl_opt(insert_pads)=0
impl_opt(Pack_IO_Registers_Latches)=For Inputs and Outputs
impl_opt(ignore_rloc_constraints)=1
impl_opt(create_detailed_report)=0
impl_opt(ngdbuild_file_str)=
impl_opt(use_ngdbuild_file)=0
impl_opt(map_file_str)=
impl_opt(use_map_file)=0
impl_opt(par_file_str)=
impl_opt(use_par_file)=0
impl_opt(trace_file_str)=
impl_opt(use_trace_file)=0
impl_opt(netgen_file_str)=
impl_opt(use_netgen_file)=0
impl_opt(bitgen_file_str)=
impl_opt(use_bitgen_file)=0
impl_opt(Allow_Unmatched_LOC_Constraint)=1
impl_opt(Show_Trim_Unconnected_Signals)=1
impl_opt(Place_And_Route_Mode)=Route Only
impl_opt(Show_Generate_Multiple_Hierarchical_Netlist_Files)=0
impl_opt(Show_Bring_Out_Global_Trisate_Net_As_Ports)=
impl_opt(Use_Show_Bring_Out_Global_Trisate_Net_As_Ports)=0
impl_opt(Show_Bring_Out_Global_Set_Reset_Net_As_Ports)=
impl_opt(Use_Show_Bring_Out_Global_Set_Reset_Net_As_Ports)=0
impl_opt(Show_Generate_Testbench_File)=UUT
impl_opt(Use_Show_Generate_Testbench_File)=0
impl_opt(Netlist_Translation_Type)=Timestamp
impl_opt(Allow_Unexpanded_Blocks)=0
impl_opt(Other_Ngdbuild_Options)=
impl_opt(Map_Effort_Level)=High
impl_opt(Allow_Logic_Opt_Across_Hier)=1
impl_opt(Use_Rloc_Constraints)=Yes
impl_opt(Show_Map_Slice_Logic_Into_Unused_Blocks)=0
impl_opt(Other_Map_Options)=
impl_opt(Extra_Effort)=None
impl_opt(Retain_Hiearchy)=1
impl_opt(Change_Device_Speed)=3
impl_opt(Tristate_Configuration_Pulsee)=0
impl_opt(Reset_Configuration_Pulsee)=100
impl_opt(Generate_Architecture_Only)=0
impl_opt(Include_Uselib_Directive)=0
impl_opt(Do_Not_Escape_Signal)=0
impl_opt(Other_Netgen_Command)=
impl_opt(Show_Other_Place_Route_Command)=
impl_opt(Use_Rules_File_For_Nelist)=
impl_opt(Path_Used_In_Sdf)=implement
impl_opt(Insert_ChipScope_Core)=0
impl_opt(Run_ChipScope_Core_Inserter_GUI)=1
impl_opt(ChipScope_Core_Inserter_Project_File)=synthesis\sp605_lx45t_wishbone.cdc
impl_opt(_use_filter_messages)=0
impl_opt(_filter_messages)=
impl_opt(AdvMap_Extra_Effort)=None
impl_opt(Map_Starting_Placer_Cost_Table)=1
impl_opt(Show_Register_Duplication)=0
impl_opt(Include_Function_In_Verilog_File)=1
impl_opt(Include_Simprim_Models_In_Verilog_File)=0
impl_opt(Show_Equivalent_Register_Removal)=1
impl_opt(run_design_rules_checker)=1
impl_opt(create_bit_file)=1
impl_opt(create_binary_config_file)=0
impl_opt(create_ascii_config_file)=0
impl_opt(create_ieee_1532_config_file_fpga)=0
impl_opt(enable_bitstream_compression)=1
impl_opt(enable_debugging_of_serial_mode_bitstream)=0
impl_opt(enable_cyclic_redundancy_checking)=1
impl_opt(other_bitgen_command_line_options)=
impl_opt(security)=Enable Readback and Reconfiguration
impl_opt(create_readback_data_files)=0
impl_opt(allow_selectmap_pins_to_persist)=0
impl_opt(create_logic_allocation_file)=0
impl_opt(create_mask_file)=0
impl_opt(encrypt_bitstream)=0
impl_opt(key_0)=
impl_opt(input_encryption_key_file)=
impl_opt(starting_cbc_value)=
impl_opt(fpga_start_up_clock)=CCLK
impl_opt(enable_internal_done_pipe)=0
impl_opt(done_output_events)=4
impl_opt(enable_outputs)=5
impl_opt(release_write_enable)=6
impl_opt(drive_done_pin_high)=1
impl_opt(configuration_rate)=2
impl_opt(configuration_pin_program)=Pull Up
impl_opt(configuration_pin_done)=Pull Up
impl_opt(jtag_pin_tck)=Pull Up
impl_opt(jtag_pin_tdi)=Pull Up
impl_opt(jtag_pin_tdo)=Pull Up
impl_opt(jtag_pin_tms)=Pull Up
impl_opt(unused_iob_pins)=Pull Up
impl_opt(userid_code)=0xFFFFFFFF
impl_opt(merge_netlists_before_insertion)=1
impl_opt(chipscope_bat_file_str)=
impl_opt(use_chipscope_bat_file)=0
impl_opt(Rename_Top_Level_Architecture_to)=Structure
impl_opt(Rename_Top_Level_Entity_to)=
impl_opt(Rename_Top_Level_Module_to)=
impl_opt(Combinatorial_Logic_Optimization)=1
impl_opt(Generate_Asynchronous_Delay_Report)=0
impl_opt(Generate_Clock_Region_Report)=0
impl_opt(Power_Reduction_Par)=0
impl_opt(Enable_Incremental_Design_Flow)=0
impl_opt(Run_Guided_Incremental_Design_Flow)=0
impl_opt(Report_Type)=Verbose report
impl_opt(Number_of_items_in_Error_Verbose_Report)=3
impl_opt(Perform_Advanced_Analysis)=0
impl_opt(Change_Device_Speed_To)=3
impl_opt(Report_Uncovered_Paths)=
impl_opt(Report_Fastest_Path_in_Each_Constraint)=1
impl_opt(post_map_file_str)=
impl_opt(use_post_map_file)=0
impl_opt(Report_Type2)=Error report
impl_opt(Number_of_items_in_Error_Verbose_Report2)=3
impl_opt(Perform_Advanced_Analysis2)=0
impl_opt(Change_Device_Speed_To2)=3
impl_opt(Report_Uncovered_Paths2)=
impl_opt(Report_Fastest_Path_in_Each_Constraint2)=1
impl_opt(Stamp_Timing_Model_Filename)=
impl_opt(Constraints_Interaction_Report_File2)=
impl_opt(dont_run_post_map_trace)=1
impl_opt(automatically_insert_glbl_module)=1
impl_opt(maximum_compression)=0
impl_opt(Output_Extended_Identifiers)=0
impl_opt(enable_suspend_wake_global_set_reset)=0
impl_opt(drive_awake_pin_during_suspend_wake_sequence)=0
impl_opt(wakeup_control)=Startup Clock
impl_opt(gwe_cycle_during_suspend_wakeup_sequence)=5
impl_opt(gts_cycle_during_suspend_wakeup_sequence)=4
impl_opt(ChipScope_Overwrite_Project_File)=0
impl_opt(insert_buffers_to_prevent_pulse_swallowing)=1
impl_opt(Report_Paths_By_Endpoint)=3
impl_opt(Generate_Datasheet_Section)=1
impl_opt(Generate_Timegroups_Section)=0
impl_opt(Constraints_Interaction_Report_File)=
impl_opt(Ignore_User_Timing_Constraints_Map)=0
impl_opt(Power_Activity_File_Map)=
impl_opt(Ignore_User_Timing_Constraints_Par)=0
impl_opt(Timing_Mode_Par)=Performance Evaluation
impl_opt(Power_Activity_File_Par)=
impl_opt(Report_Paths_By_Endpoint2)=3
impl_opt(Generate_Datasheet_Section2)=1
impl_opt(Generate_Timegroups_Section2)=0
impl_opt(retry_configuration_if_crc_error_occurs)=0
impl_opt(place_multiboot_settings_into_bitstream)=0
impl_opt(multiboot_starting_address_for_next_configuration)=0x00000000
impl_opt(multiboot_use_new_mode_for_next_configuration)=1
impl_opt(multiboot_next_configuration_mode)=001
impl_opt(Timing_Mode_Map_Virtex5)=Performance Evaluation
impl_opt(LUT_Combining)=Auto
impl_opt(Global_Optimization_Virtex5)=Off
impl_opt(Enable_Multi_Threading_Map)=Off
impl_opt(enable_external_master_clock)=0
impl_opt(setup_external_master_clock_division)=1
impl_opt(set_spi_configuration_bus_width)=1
impl_opt(multiboot_starting_address_for_golden_configuration)=0x00000000
impl_opt(multiboot_user_defined_register_for_failsafe_scheme)=0x0000
impl_opt(wait_for_dcm_and_pll_lock)=NoWait
impl_opt(enable_multi_pin_wake_up_suspend_mode)=0
impl_opt(mask_pins_for_multi_pin_wake_up_suspend_mode)=0x00
impl_opt(encrypt_key_select)=BBRAM
impl_opt(Watchdog_Timer_Value_Spartan6)=0xFFFF
impl_opt(Allow_Unmatched_Timing_Group_Constraints)=0
impl_opt(Extra_Cost_Tables)=0
impl_opt(Enable_Multi_Threading_Par)=Off
impl_opt(Power_Reduction_Map_Virtex6)=Off
impl_opt(Register_Ordering)=4
 
[PCB_INTERFACE]
FAMILY=
 
[Files]
pcie_src\components\block_main/block_pe_main.vhd=-1
pcie_src\components\coregen/ctrl_fifo64x34fw.ngc=-1
224,6 → 560,7
pcie_src\components\pcie_core/pcie_core64_m5.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m7.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone_m8.vhd=-1
pcie_src\components\rtl/host_pkg.vhd=-1
pcie_src\components\rtl/core64_pb_transaction.vhd=-1
pcie_src\components\rtl/ctrl_ram16_v1.vhd=-1
362,6 → 699,14
testbench\ahdl/rx.awf=-1
testbench\ahdl/tx.awf=-1
testbench\ahdl/run_ahdl.tcl=-1
testbench\log/console_test_adm_read_8kb.log=-1
testbench\log/console_test_dsc_incorrect.log=-1
testbench\log/console_test_read 4 kB.log=-1
testbench\log/console_test_read_4kB.log=-1
testbench\log/file_id_0.log=-1
testbench\log/file_id_1.log=-1
testbench\log/file_id_2.log=-1
testbench\log/global_tc_summary.log=-1
top/sp605_lx45t_wishbone.ucf=-1
top/sp605_lx45t_wishbone_sopc_wb.vhd=-1
top/sp605_lx45t_wishbone.vhd=-1
430,6 → 775,13
wishbone\testbecnh\dev_wb_cross\sim/wb_tb_simple_ram_slave.v=-1
wishbone\testbecnh\dev_wb_cross\sim\zz_do/delete.do=-1
wishbone\testbecnh\dev_wb_cross\sim\zz_do/setup_sim.do=-1
post-synthesis/..\..\synthesis\sp605_lx45t_wishbone.vhd=-1
DESIGN_STATUS\2013_07_26_01_18/ComputerInformation.txt=-1
DESIGN_STATUS\2013_07_26_01_18/DesignInformation.txt=-1
DESIGN_STATUS\2013_07_26_01_18/DesignFiles.txt=-1
DESIGN_STATUS\2013_07_26_01_18/LibrariesList.txt=-1
DESIGN_STATUS\2013_07_26_01_18/synthesis_synthesis.dfml=-1
DESIGN_STATUS\2013_07_26_01_18/implement_ver1_rev1_implementation.dfml=-1
 
[Files.Data]
.\src\pcie_src\components\block_main\block_pe_main.vhd=VHDL Source Code
453,6 → 805,7
.\src\pcie_src\components\pcie_core\pcie_core64_m5.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m7.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone_m8.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\host_pkg.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_transaction.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\ctrl_ram16_v1.vhd=VHDL Source Code
591,6 → 944,14
.\src\testbench\ahdl\rx.awf=Waveform File
.\src\testbench\ahdl\tx.awf=Waveform File
.\src\testbench\ahdl\run_ahdl.tcl=Tcl Script
.\src\testbench\log\console_test_adm_read_8kb.log=Text File
.\src\testbench\log\console_test_dsc_incorrect.log=Text File
.\src\testbench\log\console_test_read 4 kB.log=Text File
.\src\testbench\log\console_test_read_4kB.log=Text File
.\src\testbench\log\file_id_0.log=Text File
.\src\testbench\log\file_id_1.log=Text File
.\src\testbench\log\file_id_2.log=Text File
.\src\testbench\log\global_tc_summary.log=Text File
.\src\top\sp605_lx45t_wishbone.ucf=External File
.\src\top\sp605_lx45t_wishbone_sopc_wb.vhd=VHDL Source Code
.\src\top\sp605_lx45t_wishbone.vhd=VHDL Source Code
659,4 → 1020,11
.\src\wishbone\testbecnh\dev_wb_cross\sim\wb_tb_simple_ram_slave.v=Verilog Source Code
.\src\wishbone\testbecnh\dev_wb_cross\sim\zz_do\delete.do=Macro
.\src\wishbone\testbecnh\dev_wb_cross\sim\zz_do\setup_sim.do=Macro
.\synthesis\sp605_lx45t_wishbone.vhd=VHDL Source Code
.\src\DESIGN_STATUS\2013_07_26_01_18\ComputerInformation.txt=Text File
.\src\DESIGN_STATUS\2013_07_26_01_18\DesignInformation.txt=Text File
.\src\DESIGN_STATUS\2013_07_26_01_18\DesignFiles.txt=Text File
.\src\DESIGN_STATUS\2013_07_26_01_18\LibrariesList.txt=Text File
.\src\DESIGN_STATUS\2013_07_26_01_18\synthesis_synthesis.dfml=Text File
.\src\DESIGN_STATUS\2013_07_26_01_18\implement_ver1_rev1_implementation.dfml=Text File
 
/trunk/projects/sp605_lx45t_wishbone/sp605_lx45t_wishbone.aws
4,12 → 4,14
sp605_lx45t_wishbone=sp605_lx45t_wishbone.adf
ambpex5_sx50t_wishbone=.\..\ambpex5_sx50t_wishbone\ambpex5_sx50t_wishbone.adf
ambpex5_v20_sx50t_core=.\..\ambpex5_v20_sx50t_core\ambpex5_v20_sx50t_core.adf
sp605_lx45t_core=.\..\sp605_lx45t_core\sp605_lx45t_core.adf
[Settings]
Active=ambpex5_sx50t_wishbone
Active=sp605_lx45t_wishbone
[Expand]
sp605_lx45t_wishbone=0
ambpex5_sx50t_wishbone=1
sp605_lx45t_wishbone=1
ambpex5_sx50t_wishbone=0
ambpex5_v20_sx50t_core=0
sp605_lx45t_core=1
[Browser]
sort=order
mode=none
/trunk/projects/sp605_lx45t_wishbone/compile.cfg
220,3 → 220,40
Enabled=1
[file:.\src\testbench\ahdl\run_ahdl.tcl]
Enabled=1
[file:.\src\pcie_src\components\pcie_core\pcie_core64_wishbone_m8.vhd]
Enabled=0
[file:.\src\testbench\log\console_test_adm_read_8kb.log]
Enabled=1
[file:.\src\testbench\log\console_test_dsc_incorrect.log]
Enabled=1
[file:.\src\testbench\log\console_test_read 4 kB.log]
Enabled=1
[file:.\src\testbench\log\console_test_read_4kB.log]
Enabled=1
[file:.\src\testbench\log\file_id_0.log]
Enabled=1
[file:.\src\testbench\log\file_id_1.log]
Enabled=1
[file:.\src\testbench\log\file_id_2.log]
Enabled=1
[file:.\src\testbench\log\global_tc_summary.log]
Enabled=1
[file:.\synthesis\sp605_lx45t_wishbone.vhd]
Enabled=1
LIB=sp605_lx45t_wishbone_post_synthesis
SIM.POST.INCLUDED=1
SIM.FUNC.INCLUDED=0
SIM.POST.AUTO=1
SIM.POST.INDEX=0
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\ComputerInformation.txt]
Enabled=1
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\DesignInformation.txt]
Enabled=1
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\DesignFiles.txt]
Enabled=1
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\LibrariesList.txt]
Enabled=1
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\synthesis_synthesis.dfml]
Enabled=1
[file:.\src\DESIGN_STATUS\2013_07_26_01_18\implement_ver1_rev1_implementation.dfml]
Enabled=1
/trunk/projects/sp605_lx45t_wishbone/src/testbench/test_pkg.vhd
32,49 → 32,54
--! Initialising
procedure test_init(
 
fname: in string --! file name for report
);
--! Finished
procedure test_close;
 
--! Read registers
procedure test_read_reg (
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
--! Start DMA with incorrect descriptor
procedure test_dsc_incorrect (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
 
--! Start DMA for one block 4 kB
procedure test_read_4kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
 
--! Read block_test_check 8 kB
procedure test_adm_read_8kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
 
 
--procedure test_block_main (
 
 
-- signal cmd: out bh_cmd; --! command
-- signal ret: in bh_ret --! answer
-- );
--
 
--procedure test_adm_read_16kb (
 
 
-- signal cmd: out bh_cmd; --! command
-- signal ret: in bh_ret --! answer
-- );
--
 
procedure test_adm_write_16kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
---------------------------------------------------------------------------------------------------
--
81,22 → 86,22
--
--
procedure test_num_1(
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
procedure test_num_2(
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
-- ==> TEST_CHECK.WB_CFG_SLAVE
procedure test_wb_1(
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
-- ==> TEST_GEN.WB_CFG_SLAVE
procedure test_wb_2(
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
);
end package test_pkg;
---------------------------------------------------------------------------------------------------
151,11 → 156,70
end test_close;
--! Read registers
procedure test_read_reg (
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
)
is
 
variable adr : std_logic_vector( 31 downto 0 );
variable data1 : std_logic_vector( 31 downto 0 );
variable data2 : std_logic_vector( 31 downto 0 );
variable str : line;
begin
write( str, string'("TEST_READ_REG" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
--block_read( cmd, ret, 4, 23, x"0000A400" ); -- LOCAL_ADR
wb_block_gen_read( cmd, ret, REG_BLOCK_ID, data1 ); -- read block id
wb_block_check_read( cmd, ret, REG_BLOCK_ID, data2 ); -- read block id
write( str, string'("BLOCK 0 ID: " )); hwrite( str, data1( 15 downto 0 ) );
writeline( log, str );
write( str, string'("BLOCK 1 ID: " )); hwrite( str, data2( 15 downto 0 ) );
writeline( log, str );
wb_read( cmd, ret, 16#1000#, data1 );
wb_read( cmd, ret, 16#3000#, data1 );
 
write( str, string'("0x1000: " )); hwrite( str, data1( 15 downto 0 ) );
writeline( log, str );
write( str, string'("0x3000: " )); hwrite( str, data2( 15 downto 0 ) );
writeline( log, str );
block_write( cmd, ret, 0, 8, x"00000000" ); -- BRD_MODE
wait for 100 ns;
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE
wait for 100 ns;
 
wb_block_gen_read( cmd, ret, REG_BLOCK_ID, data1 ); -- read block id
wb_block_check_read( cmd, ret, REG_BLOCK_ID, data2 ); -- read block id
write( str, string'("BLOCK 0 ID: " )); hwrite( str, data1( 15 downto 0 ) );
writeline( log, str );
write( str, string'("BLOCK 1 ID: " )); hwrite( str, data2( 15 downto 0 ) );
writeline( log, str );
end test_read_reg;
--! Start DMA with incorrect descriptor
procedure test_dsc_incorrect (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
)
is
 
167,6 → 231,9
write( str, string'("TEST_DSC_INCORRECT" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
209,8 → 276,8
 
--! Start DMA for one block 4 kB
procedure test_read_4kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
)
is
 
226,6 → 293,10
write( str, string'("TEST_READ_4KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
361,8 → 432,8
 
--! Read block_test_check 8 kB
procedure test_adm_read_8kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
)
is
 
371,13 → 442,19
variable str : line;
 
variable error : integer:=0;
variable dma_complete : integer;
variable dma_complete : integer;
 
variable status : std_logic_vector( 31 downto 0 );
variable reg_block_wr : std_logic_vector( 31 downto 0 );
 
begin
write( str, string'("TEST_ADM_READ_8KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
399,12 → 476,12
 
block_write( cmd, ret, 4, 8, x"00000027" ); -- DMA_MODE
block_write( cmd, ret, 4, 9, x"00000010" ); -- DMA_CTRL - RESET FIFO
block_write( cmd, ret, 5, 8, x"00000027" ); -- DMA_MODE
block_write( cmd, ret, 5, 9, x"00000010" ); -- DMA_CTRL - RESET FIFO
block_write( cmd, ret, 4, 20, x"00100000" ); -- PCI_ADRL
block_write( cmd, ret, 4, 21, x"00100000" ); -- PCI_ADRH
block_write( cmd, ret, 4, 23, TEST_GEN_WB_BURST_SLAVE ); -- LOCAL_ADR
block_write( cmd, ret, 5, 20, x"00100000" ); -- PCI_ADRL
block_write( cmd, ret, 5, 21, x"00100000" ); -- PCI_ADRH
block_write( cmd, ret, 5, 23, TEST_GEN_WB_BURST_SLAVE ); -- LOCAL_ADR
wb_block_gen_write( cmd, ret, REG_TEST_GEN_CTRL, x"00000001" ); -- reset
416,13 → 493,19
wb_block_gen_write( cmd, ret, REG_TEST_GEN_SIZE, x"00000001" ); -- size of block = 4 kByte
 
block_write( cmd, ret, 4, 9, x"00000001" ); -- DMA_CTRL - START
block_write( cmd, ret, 5, 9, x"00000001" ); -- DMA_CTRL - START
wb_block_gen_read( cmd, ret, REG_TEST_GEN_STATUS, status ); -- read status
write( str, string'("WB_GEN_STATUS: " )); hwrite( str, status( 31 downto 0 ) ); writeline( log, str );
wb_block_gen_read( cmd, ret, REG_TEST_GEN_BL_WR, reg_block_wr ); -- read block_wr
write( str, string'("WB_GEN_BL_WR: " )); hwrite( str, reg_block_wr( 31 downto 0 ) ); writeline( log, str );
wb_block_gen_write( cmd, ret, REG_TEST_GEN_CTRL, x"000006A0" ); -- start test sequence
wait for 20 us;
block_read( cmd, ret, 4, 16, data ); -- STATUS
block_read( cmd, ret, 5, 16, data ); -- STATUS
write( str, string'("STATUS: " )); hwrite( str, data( 15 downto 0 ) );
if( data( 8 )='1' ) then
440,13 → 523,13
dma_complete := 0;
for ii in 0 to 100 loop
block_read( cmd, ret, 4, 16, data ); -- STATUS
block_read( cmd, ret, 5, 16, data ); -- STATUS
write( str, string'("STATUS: " )); hwrite( str, data( 15 downto 0 ) );
if( data(5)='1' ) then
write( str, string'(" - DMA finished " ));
dma_complete := 1;
block_write( cmd, ret, 4, 16#11#, x"00000010" ); -- FLAG_CLR - reset EOT
block_write( cmd, ret, 5, 16#11#, x"00000010" ); -- FLAG_CLR - reset EOT
end if;
writeline( log, str );
467,11 → 550,17
error:=error+1;
end if;
 
end if;
end if;
wb_block_gen_read( cmd, ret, REG_TEST_GEN_STATUS, status ); -- read status
write( str, string'("WB_GEN_STATUS: " )); hwrite( str, status( 31 downto 0 ) ); writeline( log, str );
wb_block_gen_read( cmd, ret, REG_TEST_GEN_BL_WR, reg_block_wr ); -- read block_wr
write( str, string'("WB_GEN_BL_WR: " )); hwrite( str, reg_block_wr( 31 downto 0 ) ); writeline( log, str );
for ii in 0 to 3 loop
block_read( cmd, ret, 4, 16, data ); -- STATUS
block_read( cmd, ret, 5, 16, data ); -- STATUS
write( str, string'("STATUS: " )); hwrite( str, data( 15 downto 0 ) );
writeline( log, str );
wait for 500 ns;
479,7 → 568,7
end loop;
block_write( cmd, ret, 4, 9, x"00000000" ); -- DMA_CTRL - STOP
block_write( cmd, ret, 5, 9, x"00000000" ); -- DMA_CTRL - STOP
write( str, string'(" Block 0 - read: " ));
writeline( log, str );
533,8 → 622,8
--
 
--procedure test_block_main (
 
 
-- signal cmd: out bh_cmd; --! command
-- signal ret: in bh_ret --! answer
-- )
--is
--
618,8 → 707,8
--
 
--procedure test_adm_read_16kb (
 
 
-- signal cmd: out bh_cmd; --! command
-- signal ret: in bh_ret --! answer
-- )
--is
--
869,8 → 958,8
--
 
procedure test_adm_write_16kb (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
)
is
 
891,6 → 980,9
write( str, string'("TEST_ADM_WRITE_16KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
 
for ii in 0 to 256 loop
adr:= x"00100000";
1298,8 → 1390,8
-- My procedure for test Updated Design (test_read_4kb like refenernce)
--
procedure test_num_1 (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
) is
variable adr : std_logic_vector( 31 downto 0 );
1435,8 → 1527,8
--
--
procedure test_num_2 (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
) is
variable adr : std_logic_vector( 31 downto 0 );
1586,8 → 1678,8
-- ==> TEST_CHECK.WB_CFG_SLAVE
--
procedure test_wb_1 (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
) is
variable adr : std_logic_vector( 31 downto 0 );
1749,8 → 1841,8
-- ==> TEST_GEN.WB_CFG_SLAVE
--
procedure test_wb_2 (
 
 
signal cmd: out bh_cmd; --! command
signal ret: in bh_ret --! answer
) is
variable adr : std_logic_vector( 31 downto 0 );
/trunk/projects/sp605_lx45t_wishbone/src/testbench/wb_block_pkg.vhd
1,168 → 1,206
---------------------------------------------------------------------------------------------------
--
-- Title : wb_block_pkg.vhd
-- Author : Dmitry Smekhov
-- Company : Instrumental Systems
-- E-mail : dsmv@insys.ru
--
-- Version : 1.0
---------------------------------------------------------------------------------------------------
--
 
--
---------------------------------------------------------------------------------------------------
--
-- Version 1.0 01.11.2011
 
--
---------------------------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
 
library work;
use work.cmd_sim_pkg.all;
 
use std.textio.all;
use std.textio;
 
---------------------------------------------------------------------------------------------------
package wb_block_pkg is
--
-- Define TEST_CHECK reg id (addr in 64b cells)
--
constant REG_BLOCK_ID : integer:=0;
constant REG_BLOCK_VER : integer:=1;
 
constant REG_TEST_CHECK_CTRL : integer:=8;
constant REG_TEST_CHECK_SIZE : integer:=9;
constant REG_TEST_CHECK_ERR_ADR : integer:=16#0A#;
constant REG_TEST_CHECK_WBS_BURST_CTRL : integer:=16#0B#;
 
constant REG_TEST_CHECK_BL_RD : integer:=16#10#;
constant REG_TEST_CHECK_BL_OK : integer:=16#11#;
constant REG_TEST_CHECK_BL_ERROR : integer:=16#12#;
constant REG_TEST_CHECK_TOTAL_ERROR : integer:=16#13#;
constant REG_TEST_CHECK_ERR_DATA : integer:=16#14#;
--
-- Define TEST_GEN reg id (addr in 64b cells)
--
constant REG_TEST_GEN_CTRL : integer:=8;
constant REG_TEST_GEN_SIZE : integer:=9;
constant REG_TEST_GEN_CNT1 : integer:=16#0A#;
constant REG_TEST_GEN_CNT2 : integer:=16#0B#;
constant REG_TEST_GEN_BL_WR : integer:=16#11#;
--
-- Define SoPC ADDR (must be EQU to: ...\src\top\sp605_lx45t_wishbone_sopc_wb.vhd)
--
constant TEST_CHECK_WB_CFG_SLAVE : std_logic_vector( 31 downto 0) := x"20000000";
constant TEST_CHECK_WB_BURST_SLAVE : std_logic_vector( 31 downto 0) := x"20001000"; -- check data: write-only
constant TEST_GEN_WB_CFG_SLAVE : std_logic_vector( 31 downto 0) := x"20002000";
constant TEST_GEN_WB_BURST_SLAVE : std_logic_vector( 31 downto 0) := x"20003000"; -- generate data: read-only
 
 
procedure wb_block_check_write (
 
 
 
 
);
 
 
procedure wb_block_check_read (
 
 
 
 
);
 
 
procedure wb_block_gen_write (
 
 
 
 
);
 
 
procedure wb_block_gen_read (
 
 
 
 
);
-- Construct value for REG_TEST_CHECK_WBS_BURST_CTRL
function wb_block_check_burst_ctrl_build (i_ena : in std_logic; ii_ack_dly : in integer; ii_dly_pos : in integer) return std_logic_vector;
 
end package wb_block_pkg;
---------------------------------------------------------------------------------------------------
package body wb_block_pkg is
 
 
procedure wb_block_check_write (
 
 
 
 
) is
begin
data_write( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_check_read (
 
 
 
 
) is
begin
data_read( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_gen_write (
 
 
 
 
) is
begin
data_write( cmd, ret, TEST_GEN_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_gen_read (
 
 
 
 
) is
begin
data_read( cmd, ret, TEST_GEN_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
-- Construct value for REG_TEST_CHECK_WBS_BURST_CTRL
function wb_block_check_burst_ctrl_build (i_ena : in std_logic; ii_ack_dly : in integer; ii_dly_pos : in integer) return std_logic_vector is
variable iv_ret : std_logic_vector(31 downto 0):=(others => '0');
begin
iv_ret:= x"0000" & i_ena & conv_std_logic_vector( ii_ack_dly, 6) & conv_std_logic_vector( ii_dly_pos, 9);
return iv_ret;
end wb_block_check_burst_ctrl_build;
 
 
end package body wb_block_pkg;
 
---------------------------------------------------------------------------------------------------
--
-- Title : wb_block_pkg.vhd
-- Author : Dmitry Smekhov
-- Company : Instrumental Systems
-- E-mail : dsmv@insys.ru
--
-- Version : 1.0
---------------------------------------------------------------------------------------------------
--
 
--
---------------------------------------------------------------------------------------------------
--
-- Version 1.0 01.11.2011
 
--
---------------------------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
 
library work;
use work.cmd_sim_pkg.all;
 
use std.textio.all;
use std.textio;
 
---------------------------------------------------------------------------------------------------
package wb_block_pkg is
--
-- Define TEST_CHECK reg id (addr in 64b cells)
--
constant REG_BLOCK_ID : integer:=0;
constant REG_BLOCK_VER : integer:=1;
 
constant REG_TEST_CHECK_CTRL : integer:=8;
constant REG_TEST_CHECK_SIZE : integer:=9;
constant REG_TEST_CHECK_ERR_ADR : integer:=16#0A#;
constant REG_TEST_CHECK_WBS_BURST_CTRL : integer:=16#0B#;
 
constant REG_TEST_CHECK_BL_RD : integer:=16#10#;
constant REG_TEST_CHECK_BL_OK : integer:=16#11#;
constant REG_TEST_CHECK_BL_ERROR : integer:=16#12#;
constant REG_TEST_CHECK_TOTAL_ERROR : integer:=16#13#;
constant REG_TEST_CHECK_ERR_DATA : integer:=16#14#;
--
-- Define TEST_GEN reg id (addr in 64b cells)
--
constant REG_TEST_GEN_CTRL : integer:=8;
constant REG_TEST_GEN_SIZE : integer:=9;
constant REG_TEST_GEN_CNT1 : integer:=16#0A#;
constant REG_TEST_GEN_CNT2 : integer:=16#0B#;
constant REG_TEST_GEN_STATUS : integer:=16#10#;
constant REG_TEST_GEN_BL_WR : integer:=16#11#;
--
-- Define SoPC ADDR (must be EQU to: ...\src\top\sp605_lx45t_wishbone_sopc_wb.vhd)
--
constant TEST_CHECK_WB_CFG_SLAVE : std_logic_vector( 31 downto 0) := x"20000000";
constant TEST_CHECK_WB_BURST_SLAVE : std_logic_vector( 31 downto 0) := x"20001000"; -- check data: write-only
constant TEST_GEN_WB_CFG_SLAVE : std_logic_vector( 31 downto 0) := x"20002000";
constant TEST_GEN_WB_BURST_SLAVE : std_logic_vector( 31 downto 0) := x"20003000"; -- generate data: read-only
---- Write to wishbone ----
procedure wb_write (
 
 
 
 
);
---- Read from wishbone ----
procedure wb_read (
 
 
 
 
);
 
procedure wb_block_check_write (
 
 
 
 
);
 
 
procedure wb_block_check_read (
 
 
 
 
);
 
 
procedure wb_block_gen_write (
 
 
 
 
);
 
 
procedure wb_block_gen_read (
 
 
 
 
);
-- Construct value for REG_TEST_CHECK_WBS_BURST_CTRL
function wb_block_check_burst_ctrl_build (i_ena : in std_logic; ii_ack_dly : in integer; ii_dly_pos : in integer) return std_logic_vector;
 
end package wb_block_pkg;
---------------------------------------------------------------------------------------------------
package body wb_block_pkg is
---- Write to wishbone ----
procedure wb_write (
 
 
 
 
) is
begin
data_write( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(adr, 32), data );
end;
---- Read from wishbone ----
procedure wb_read (
 
 
 
 
) is
begin
data_read( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(adr, 32), data );
end;
 
 
procedure wb_block_check_write (
 
 
 
 
) is
begin
data_write( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_check_read (
 
 
 
 
) is
begin
data_read( cmd, ret, TEST_CHECK_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_gen_write (
 
 
 
 
) is
begin
data_write( cmd, ret, TEST_GEN_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
 
procedure wb_block_gen_read (
 
 
 
 
) is
begin
data_read( cmd, ret, TEST_GEN_WB_CFG_SLAVE+conv_std_logic_vector(reg*8+0, 32), data );
end;
 
-- Construct value for REG_TEST_CHECK_WBS_BURST_CTRL
function wb_block_check_burst_ctrl_build (i_ena : in std_logic; ii_ack_dly : in integer; ii_dly_pos : in integer) return std_logic_vector is
variable iv_ret : std_logic_vector(31 downto 0):=(others => '0');
begin
iv_ret:= x"0000" & i_ena & conv_std_logic_vector( ii_ack_dly, 6) & conv_std_logic_vector( ii_dly_pos, 9);
return iv_ret;
end wb_block_check_burst_ctrl_build;
 
 
end package body wb_block_pkg;
 
/trunk/projects/sp605_lx45t_wishbone/src/testbench/stend_sp605_wishbone.vhd
204,6 → 204,7
when 0 => test_dsc_incorrect( cmd, ret );
when 1 => test_read_4kb( cmd, ret ); -- was original
when 2 => test_adm_read_8kb( cmd, ret );
when 3 => test_read_reg( cmd, ret );
--when 3 => test_adm_read_16kb( cmd, ret );
--when 4 => test_adm_write_16kb( cmd, ret );
--when 5 => test_block_main( cmd, ret );
/trunk/projects/sp605_lx45t_wishbone/src/top/sp605_lx45t_wishbone.ucf
150,46 → 150,35
# End
###############################################################################
 
#INST "amb/gen_syn.pcie/core/reg" AREA_GROUP = "pblock_reg";
#AREA_GROUP "pblock_reg" RANGE=SLICE_X20Y89:SLICE_X25Y95;
#INST "amb/gen_syn.pcie/core/int" AREA_GROUP = "pblock_int";
#AREA_GROUP "pblock_int" RANGE=SLICE_X26Y88:SLICE_X29Y95;
#INST "amb/gen_syn.pcie/core/tx" AREA_GROUP = "pblock_tx";
#AREA_GROUP "pblock_tx" RANGE=SLICE_X8Y96:SLICE_X13Y111;
#INST "amb/gen_syn.pcie/core/disp" AREA_GROUP = "pblock_disp";
#AREA_GROUP "pblock_disp" RANGE=SLICE_X20Y80:SLICE_X25Y87;
#INST "amb/gen_syn.pcie/core/fifo" AREA_GROUP = "pblock_fifo";
#AREA_GROUP "pblock_fifo" RANGE=SLICE_X8Y80:SLICE_X19Y95, SLICE_X0Y64:SLICE_X7Y95;
#AREA_GROUP "pblock_fifo" RANGE=DSP48_X0Y16:DSP48_X0Y23;
#AREA_GROUP "pblock_fifo" RANGE=RAMB16_X1Y40:RAMB16_X1Y46, RAMB16_X0Y32:RAMB16_X0Y46;
#AREA_GROUP "pblock_fifo" RANGE=RAMB8_X1Y40:RAMB8_X1Y47, RAMB8_X0Y32:RAMB8_X0Y47;
#INST "amb/gen_syn.pcie/core/ep" AREA_GROUP = "pblock_ep";
#AREA_GROUP "pblock_ep" RANGE=SLICE_X0Y112:SLICE_X3Y125;
#AREA_GROUP "pblock_ep" RANGE=RAMB16_X0Y48:RAMB16_X0Y62;
#AREA_GROUP "pblock_ep" RANGE=RAMB8_X0Y48:RAMB8_X0Y63;
#INST "amb/gen_syn.pcie/main" AREA_GROUP = "pblock_main";
#AREA_GROUP "pblock_main" RANGE=SLICE_X26Y80:SLICE_X29Y86;
#INST "amb/gen_syn.pcie/tz" AREA_GROUP = "pblock_tz";
#AREA_GROUP "pblock_tz" RANGE=SLICE_X20Y75:SLICE_X29Y79;
#INST "amb/gen_syn.blink" AREA_GROUP = "pblock_gen_syn.blink";
#AREA_GROUP "pblock_gen_syn.blink" RANGE=SLICE_X4Y112:SLICE_X7Y119;
#INST "amb/gen_syn.ad" AREA_GROUP = "pblock_gen_syn.ad";
#AREA_GROUP "pblock_gen_syn.ad" RANGE=SLICE_X20Y64:SLICE_X29Y74, SLICE_X8Y64:SLICE_X19Y79;
#AREA_GROUP "pblock_gen_syn.ad" RANGE=RAMB16_X1Y38:RAMB16_X1Y38, RAMB16_X1Y32:RAMB16_X1Y34;
#AREA_GROUP "pblock_gen_syn.ad" RANGE=RAMB8_X1Y38:RAMB8_X1Y39, RAMB8_X1Y32:RAMB8_X1Y35;
#INST "test_ctrl" AREA_GROUP = "pblock_test_ctrl";
#AREA_GROUP "pblock_test_ctrl" RANGE=SLICE_X0Y16:SLICE_X27Y47;
#INST "dio_in" AREA_GROUP = "pblock_dio_in";
#AREA_GROUP "pblock_dio_in" RANGE=SLICE_X0Y48:SLICE_X9Y63;
#AREA_GROUP "pblock_dio_in" RANGE=DSP48_X0Y12:DSP48_X0Y15;
#AREA_GROUP "pblock_dio_in" RANGE=RAMB16_X0Y24:RAMB16_X0Y30;
#AREA_GROUP "pblock_dio_in" RANGE=RAMB8_X0Y24:RAMB8_X0Y31;
#INST "main" AREA_GROUP = "pblock_main_1";
#AREA_GROUP "pblock_main_1" RANGE=SLICE_X24Y48:SLICE_X29Y63;
#INST "dio_out" AREA_GROUP = "pblock_dio_out";
#AREA_GROUP "pblock_dio_out" RANGE=SLICE_X14Y48:SLICE_X23Y63;
#AREA_GROUP "pblock_dio_out" RANGE=RAMB16_X1Y24:RAMB16_X1Y30;
#AREA_GROUP "pblock_dio_out" RANGE=RAMB8_X1Y24:RAMB8_X1Y31;
#INST "amb/gen_syn.pcie/core/rx" AREA_GROUP = "pblock_rx";
#AREA_GROUP "pblock_rx" RANGE=SLICE_X0Y96:SLICE_X7Y111;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/ep" AREA_GROUP = "pblock_ep";
AREA_GROUP "pblock_ep" RANGE=SLICE_X4Y96:SLICE_X7Y125;
AREA_GROUP "pblock_ep" RANGE=RAMB16_X0Y48:RAMB16_X0Y62;
AREA_GROUP "pblock_ep" RANGE=RAMB8_X0Y48:RAMB8_X0Y63;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/rx" AREA_GROUP = "pblock_rx";
AREA_GROUP "pblock_rx" RANGE=SLICE_X8Y111:SLICE_X17Y88;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/tx" AREA_GROUP = "pblock_tx";
AREA_GROUP "pblock_tx" RANGE=SLICE_X20Y88:SLICE_X29Y111;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/reg" AREA_GROUP = "pblock_reg";
AREA_GROUP "pblock_reg" RANGE=SLICE_X18Y85:SLICE_X19Y95, SLICE_X12Y85:SLICE_X17Y87;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/int" AREA_GROUP = "pblock_int";
AREA_GROUP "pblock_int" RANGE=SLICE_X0Y122:SLICE_X3Y125;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/disp" AREA_GROUP = "pblock_disp";
AREA_GROUP "pblock_disp" RANGE=SLICE_X20Y87:SLICE_X29Y83;
INST "WB_SOPC/PCIE_CORE64_WB/CORE/fifo" AREA_GROUP = "pblock_fifo";
AREA_GROUP "pblock_fifo" RANGE=SLICE_X18Y72:SLICE_X29Y82, SLICE_X8Y72:SLICE_X17Y84, SLICE_X2Y72:SLICE_X7Y95;
AREA_GROUP "pblock_fifo" RANGE=RAMB16_X0Y36:RAMB16_X1Y46;
AREA_GROUP "pblock_fifo" RANGE=RAMB8_X0Y36:RAMB8_X1Y47;
INST "WB_SOPC/PCIE_CORE64_WB/PE_MAIN" AREA_GROUP = "pblock_PE_MAIN";
AREA_GROUP "pblock_PE_MAIN" RANGE=SLICE_X24Y119:SLICE_X29Y113;
INST "WB_SOPC/PCIE_CORE64_WB/PW_WB" AREA_GROUP = "pblock_PW_WB";
AREA_GROUP "pblock_PW_WB" RANGE=SLICE_X4Y68:SLICE_X21Y71;
INST "WB_SOPC/WB_CROSS" AREA_GROUP = "pblock_WB_CROSS";
AREA_GROUP "pblock_WB_CROSS" RANGE=SLICE_X4Y56:SLICE_X21Y67;
INST "WB_SOPC/TEST_CHECK" AREA_GROUP = "pblock_TEST_CHECK";
AREA_GROUP "pblock_TEST_CHECK" RANGE=SLICE_X4Y24:SLICE_X17Y55;
AREA_GROUP "pblock_TEST_CHECK" RANGE=RAMB16_X0Y12:RAMB16_X0Y26;
AREA_GROUP "pblock_TEST_CHECK" RANGE=RAMB8_X0Y12:RAMB8_X0Y27;
INST "WB_SOPC/TEST_GEN" AREA_GROUP = "pblock_TEST_GEN";
AREA_GROUP "pblock_TEST_GEN" RANGE=SLICE_X18Y24:SLICE_X27Y55;
AREA_GROUP "pblock_TEST_GEN" RANGE=RAMB16_X1Y12:RAMB16_X1Y26;
AREA_GROUP "pblock_TEST_GEN" RANGE=RAMB8_X1Y12:RAMB8_X1Y27;
/trunk/projects/sp605_lx45t_wishbone/src/top/sp605_lx45t_wishbone_sopc_wb.vhd
268,7 → 268,7
);
-- Construct DMAR WB IR Input:
sv_wbm_dmar_irq_pcie_core64_wb <= s_wbs_irq_dmar_test_check & s_wbs_irq_dmar_test_gen; -- Bit#1 - TEST_CHECK, Bit#0 - TEST_GEN
sv_wbm_dmar_irq_pcie_core64_wb <= s_wbs_irq_dmar_test_gen & s_wbs_irq_dmar_test_check; -- Bit#1 - TEST_GEN, Bit#0 - TEST_CHECK
-------------------------------------------------------------------------------
--
-- Instantiate TEST_CHECK (provide check of input data):
/trunk/projects/sp605_lx45t_wishbone/compilation.order
94,3 → 94,4
.\src\wishbone\cross\wb_conmax_top.v
.\src\wishbone\cross\wb_conmax_top_pkg.vhd
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.vhd
.\synthesis\sp605_lx45t_wishbone.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.