OpenCores
URL https://opencores.org/ocsvn/pdp1/pdp1/trunk

Subversion Repositories pdp1

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pdp1/trunk
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/sw/circle.py
0,0 → 1,10
from math import *
from time import sleep
from sys import stdout
 
t=0
while True:
print cos(t), sin(t)
stdout.flush()
sleep(0.0002)
t+=0.001

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.