OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/rv01_riscv_core/trunk/SYN/XILINX/README.txt
0,0 → 1,35
---------------------------------------------------------------
-- RV01 top-level module synthesis script
---------------------------------------------------------------
 
Tcl script rv01_selftest_syn.tcl creates a Vivado project synthesizing
RV01 core top-level module and mapping it to Nexis 4 board Artix-7
FPGA.
 
The script has been generated using Vivado ver. 2017.3.
 
This directory includes the design files required by the project
(except for the VHDL source code, which is located into VHDL
directory):
 
1) RV01_selftest_syn.tcl, tcl script creating top-level module project.
 
2) RV01_artix.xdc, timing and I/O constraint (clock period = 10ns).
 
Note: the synthesis top-level module is RV01_SELTEST_SYN (from file
RV01_selftest_syn.vhd), which is a wrapper around the core top-level module
RV01_SELFTEST (from file RV01_selftest.vhd) needed to change reset input
active level (the one provided by the bard cpu-reset button is active-low)
and set two of the board LED's to a known, fixed, state (one permantently
on and the other permanently off, as a simple visual confirmation that
the FPGA has been programmed).
 
Note: tcl script can be run from Vivado shell entering the
following commands to the Tcl Console:
 
set argv [list "--origin_dir" <origin_dir_path>]
set argc [llength $argv]
set argv0 RV01_selftest_syn.tcl
source $argv0
 
 
/rv01_riscv_core/trunk/SYN/XILINX/RV01_artix.xdc
0,0 → 1,17
create_clock -period 10.000 -name CLK_100M_i -waveform {0.000 5.000} [get_ports CLK_100M_i]
set_property IOSTANDARD LVCMOS33 [get_ports DONE_o]
set_property IOSTANDARD LVCMOS33 [get_ports CLK_100M_i]
set_property IOSTANDARD LVCMOS33 [get_ports PASS_o]
set_property IOSTANDARD LVCMOS33 [get_ports RSTN_i]
set_property IOSTANDARD LVCMOS33 [get_ports TIE_HIGH_o]
set_property IOSTANDARD LVCMOS33 [get_ports TIE_LOW_o]
set_property PACKAGE_PIN E3 [get_ports CLK_100M_i]
set_property PACKAGE_PIN T6 [get_ports TIE_HIGH_o]
 
set_property PACKAGE_PIN C12 [get_ports RSTN_i]
set_property PACKAGE_PIN T8 [get_ports DONE_o]
set_property PACKAGE_PIN V9 [get_ports PASS_o]
set_property PACKAGE_PIN R8 [get_ports TIE_LOW_o]
 
set_false_path -from [get_ports RSTN_i]
set_false_path -to [get_ports {TIE_HIGH_o TIE_LOW_o}]
/rv01_riscv_core/trunk/SYN/XILINX/RV01_selftest_vivado.tcl
0,0 → 1,829
#*****************************************************************************************
# Vivado (TM) v2017.3 (64-bit)
#
# RV01_selftest_vivado.tcl: Tcl script for re-creating project 'RV01_artix_vivado_1'
#
# Generated by Vivado on Sat Dec 16 22:58:49 +0100 2017
# IP Build 2016188 on Wed Oct 4 21:52:56 MDT 2017
#
# This file contains the Vivado Tcl commands for re-creating the project to the state*
# when this script was generated. In order to re-create the project, please source this
# file in the Vivado Tcl Shell.
#
# * Note that the runs in the created project will be configured the same way as the
# original project, however they will not be launched automatically. To regenerate the
# run results please launch the synthesis/implementation runs as needed.
#
#*****************************************************************************************
# NOTE: In order to use this script for source control purposes, please make sure that the
# following files are added to the source control system:-
#
# 1. This project restoration tcl script (RV01_selftest_vivado.tcl) that was generated.
#
# 2. The following source(s) files that were local or imported into the original project.
# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
#
# <none>
#
# 3. The following remote source files that were added to the original project:-
#
# "C:/RISCV/SVN/VHDL/RV01_consts_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_types_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_funcs_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_arith_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_op_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_bht.vhd"
# "C:/RISCV/SVN/VHDL/RV01_bjxlog.vhd"
# "C:/RISCV/SVN/VHDL/RV01_bjxlog_bv.vhd"
# "C:/RISCV/SVN/VHDL/RV01_bpu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_csr_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_idec_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_cdcomux.vhd"
# "C:/RISCV/SVN/VHDL/RV01_plic_pkg.vhd"
# "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_cfg_dhrystone_sodor_st_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_comp32.vhd"
# "C:/RISCV/SVN/VHDL/RV01_cpu_2w_p6.vhd"
# "C:/RISCV/SVN/VHDL/RV01_cpu_init.vhd"
# "C:/RISCV/SVN/VHDL/RV01_csru.vhd"
# "C:/RISCV/SVN/VHDL/RV01_dbglog_ix2.vhd"
# "C:/RISCV/SVN/VHDL/RV01_dimslog.vhd"
# "C:/RISCV/SVN/VHDL/RV01_div_funcs_pkg.vhd"
# "C:/RISCV/SVN/VHDL/RV01_divider_r2.vhd"
# "C:/RISCV/SVN/VHDL/RV01_divlog.vhd"
# "C:/RISCV/SVN/VHDL/RV01_excplog_ix1.vhd"
# "C:/RISCV/SVN/VHDL/RV01_excplog_ix2.vhd"
# "C:/RISCV/SVN/VHDL/RV01_excplog_ix3.vhd"
# "C:/RISCV/SVN/VHDL/RV01_ftchlog_1w.vhd"
# "C:/RISCV/SVN/VHDL/RV01_ftchlog_2w.vhd"
# "C:/RISCV/SVN/VHDL/RV01_fwdlog_2w_p6.vhd"
# "C:/RISCV/SVN/VHDL/RV01_hltlog_ix2.vhd"
# "C:/RISCV/SVN/VHDL/RV01_idec.vhd"
# "C:/RISCV/SVN/VHDL/RV01_ifq.vhd"
# "C:/RISCV/SVN/VHDL/RV01_isslog.vhd"
# "C:/RISCV/SVN/VHDL/RV01_jrpu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_logicu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_lsu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_lzdu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_misclog_ix3.vhd"
# "C:/RISCV/SVN/VHDL/RV01_mulu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_pipe_a.vhd"
# "C:/RISCV/SVN/VHDL/RV01_pipe_b.vhd"
# "C:/RISCV/SVN/VHDL/RV01_pstllog_2w_p6.vhd"
# "C:/RISCV/SVN/VHDL/RV01_pxlog.vhd"
# "C:/RISCV/SVN/VHDL/RV01_queue.vhd"
# "C:/RISCV/SVN/VHDL/RV01_rams.vhd"
# "C:/RISCV/SVN/VHDL/RV01_regfile_32x32_2w.vhd"
# "C:/RISCV/SVN/VHDL/RV01_resmux_ix1.vhd"
# "C:/RISCV/SVN/VHDL/RV01_resmux_ix2.vhd"
# "C:/RISCV/SVN/VHDL/RV01_resmux_ix3.vhd"
# "C:/RISCV/SVN/VHDL/RV01_sbuf_2w.vhd"
# "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest.vhd"
# "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest_syn.vhd"
# "C:/RISCV/SVN/VHDL/RV01_shftu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_stack.vhd"
# "C:/RISCV/SVN/VHDL/RV01_top.vhd"
# "C:/RISCV/SVN/VHDL/RV01_top_nohost.vhd"
# "C:/RISCV/SVN/VHDL/SELF_TEST/dhrystone_sodor_st_rom.vhd"
# "C:/RISCV/SVN/VHDL/RV01_hltu.vhd"
# "C:/RISCV/SVN/VHDL/RV01_adder_f.vhd"
# "C:/RISCV/SVN/VHDL/RV01_pipe_a_rmx_x2.vhd"
# "C:/RISCV/SYN/XILINX/RV01_artix.xdc"
# "C:/RISCV/SVN/VHDL/SELF_TEST/RV01_selftest_TB.vhd"
#
#*****************************************************************************************
 
# Set the reference directory for source file relative paths (by default the value is script directory path)
set origin_dir "."
 
# Use origin directory path location variable, if specified in the tcl shell
if { [info exists ::origin_dir_loc] } {
set origin_dir $::origin_dir_loc
}
 
# Set the project name
set project_name "riscv_artix_vivado_1"
 
# Use project name variable, if specified in the tcl shell
if { [info exists ::user_project_name] } {
set project_name $::user_project_name
}
 
variable script_file
set script_file "RV01_selftest_vivado.tcl"
 
# Help information for this script
proc help {} {
variable script_file
puts "\nDescription:"
puts "Recreate a Vivado project from this script. The created project will be"
puts "functionally equivalent to the original project for which this script was"
puts "generated. The script contains commands for creating a project, filesets,"
puts "runs, adding/importing sources and setting properties on various objects.\n"
puts "Syntax:"
puts "$script_file"
puts "$script_file -tclargs \[--origin_dir <path>\]"
puts "$script_file -tclargs \[--project_name <name>\]"
puts "$script_file -tclargs \[--help\]\n"
puts "Usage:"
puts "Name Description"
puts "-------------------------------------------------------------------------"
puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
puts " origin_dir path value is \".\", otherwise, the value"
puts " that was set with the \"-paths_relative_to\" switch"
puts " when this script was generated.\n"
puts "\[--project_name <name>\] Create project with the specified name. Default"
puts " name is the name of the project from where this"
puts " script was generated.\n"
puts "\[--help\] Print help information for this script"
puts "-------------------------------------------------------------------------\n"
exit 0
}
 
if { $::argc > 0 } {
for {set i 0} {$i < [llength $::argc]} {incr i} {
set option [string trim [lindex $::argv $i]]
switch -regexp -- $option {
"--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
"--project_name" { incr i; set project_name [lindex $::argv $i] }
"--help" { help }
default {
if { [regexp {^-} $option] } {
puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
return 1
}
}
}
}
}
 
# Set the directory path for the original project from where this script was exported
set orig_proj_dir "[file normalize "$origin_dir/../../SYN/SYN_TEST/riscv_artix_vivado_1"]"
 
# Create project
create_project ${project_name} ./${project_name} -part xc7a100tcsg324-3 -force
 
# Set the directory path for the new project
set proj_dir [get_property directory [current_project]]
 
# Reconstruct message rules
# None
 
# Set project properties
set obj [current_project]
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
set_property -name "ip_cache_permissions" -value "read write" -objects $obj
set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
set_property -name "simulator_language" -value "VHDL" -objects $obj
set_property -name "source_mgmt_mode" -value "DisplayOnly" -objects $obj
set_property -name "target_language" -value "VHDL" -objects $obj
 
# Create 'sources_1' fileset (if not found)
if {[string equal [get_filesets -quiet sources_1] ""]} {
create_fileset -srcset sources_1
}
 
# Set 'sources_1' fileset object
set obj [get_filesets sources_1]
set files [list \
"[file normalize "$origin_dir/../RV01_consts_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_types_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_funcs_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_arith_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_op_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_bht.vhd"]"\
"[file normalize "$origin_dir/../RV01_bjxlog.vhd"]"\
"[file normalize "$origin_dir/../RV01_bjxlog_bv.vhd"]"\
"[file normalize "$origin_dir/../RV01_bpu.vhd"]"\
"[file normalize "$origin_dir/../RV01_csr_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_idec_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_cdcomux.vhd"]"\
"[file normalize "$origin_dir/../RV01_plic_pkg.vhd"]"\
"[file normalize "$origin_dir/RV01_cfg_dhrystone_sodor_st_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_comp32.vhd"]"\
"[file normalize "$origin_dir/../RV01_cpu_2w_p6.vhd"]"\
"[file normalize "$origin_dir/../RV01_cpu_init.vhd"]"\
"[file normalize "$origin_dir/../RV01_csru.vhd"]"\
"[file normalize "$origin_dir/../RV01_dbglog_ix2.vhd"]"\
"[file normalize "$origin_dir/../RV01_dimslog.vhd"]"\
"[file normalize "$origin_dir/../RV01_div_funcs_pkg.vhd"]"\
"[file normalize "$origin_dir/../RV01_divider_r2.vhd"]"\
"[file normalize "$origin_dir/../RV01_divlog.vhd"]"\
"[file normalize "$origin_dir/../RV01_excplog_ix1.vhd"]"\
"[file normalize "$origin_dir/../RV01_excplog_ix2.vhd"]"\
"[file normalize "$origin_dir/../RV01_excplog_ix3.vhd"]"\
"[file normalize "$origin_dir/../RV01_ftchlog_1w.vhd"]"\
"[file normalize "$origin_dir/../RV01_ftchlog_2w.vhd"]"\
"[file normalize "$origin_dir/../RV01_fwdlog_2w_p6.vhd"]"\
"[file normalize "$origin_dir/../RV01_hltlog_ix2.vhd"]"\
"[file normalize "$origin_dir/../RV01_idec.vhd"]"\
"[file normalize "$origin_dir/../RV01_ifq.vhd"]"\
"[file normalize "$origin_dir/../RV01_isslog.vhd"]"\
"[file normalize "$origin_dir/../RV01_jrpu.vhd"]"\
"[file normalize "$origin_dir/../RV01_logicu.vhd"]"\
"[file normalize "$origin_dir/../RV01_lsu.vhd"]"\
"[file normalize "$origin_dir/../RV01_lzdu.vhd"]"\
"[file normalize "$origin_dir/../RV01_misclog_ix3.vhd"]"\
"[file normalize "$origin_dir/../RV01_mulu.vhd"]"\
"[file normalize "$origin_dir/../RV01_pipe_a.vhd"]"\
"[file normalize "$origin_dir/../RV01_pipe_b.vhd"]"\
"[file normalize "$origin_dir/../RV01_pstllog_2w_p6.vhd"]"\
"[file normalize "$origin_dir/../RV01_pxlog.vhd"]"\
"[file normalize "$origin_dir/../RV01_queue.vhd"]"\
"[file normalize "$origin_dir/../RV01_rams.vhd"]"\
"[file normalize "$origin_dir/../RV01_regfile_32x32_2w.vhd"]"\
"[file normalize "$origin_dir/../RV01_resmux_ix1.vhd"]"\
"[file normalize "$origin_dir/../RV01_resmux_ix2.vhd"]"\
"[file normalize "$origin_dir/../RV01_resmux_ix3.vhd"]"\
"[file normalize "$origin_dir/../RV01_sbuf_2w.vhd"]"\
"[file normalize "$origin_dir/RV01_selftest.vhd"]"\
"[file normalize "$origin_dir/RV01_selftest_syn.vhd"]"\
"[file normalize "$origin_dir/../RV01_shftu.vhd"]"\
"[file normalize "$origin_dir/../RV01_stack.vhd"]"\
"[file normalize "$origin_dir/../RV01_top.vhd"]"\
"[file normalize "$origin_dir/../RV01_top_nohost.vhd"]"\
"[file normalize "$origin_dir/dhrystone_sodor_st_rom.vhd"]"\
"[file normalize "$origin_dir/../RV01_hltu.vhd"]"\
"[file normalize "$origin_dir/../RV01_adder_f.vhd"]"\
"[file normalize "$origin_dir/../RV01_pipe_a_rmx_x2.vhd"]"\
]
add_files -norecurse -fileset $obj $files
 
# Set 'sources_1' fileset file properties for remote files
set file "$origin_dir/../RV01_consts_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_types_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_funcs_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_arith_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_op_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_bht.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_bjxlog.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_bjxlog_bv.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_bpu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_csr_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_idec_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_cdcomux.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_plic_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/RV01_cfg_dhrystone_sodor_st_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_comp32.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_cpu_2w_p6.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_cpu_init.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_csru.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_dbglog_ix2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_dimslog.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_div_funcs_pkg.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_divider_r2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_divlog.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_excplog_ix1.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_excplog_ix2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_excplog_ix3.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_ftchlog_1w.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_ftchlog_2w.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_fwdlog_2w_p6.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_hltlog_ix2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_idec.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_ifq.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_isslog.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_jrpu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_logicu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_lsu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_lzdu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_misclog_ix3.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_mulu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_pipe_a.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_pipe_b.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_pstllog_2w_p6.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_pxlog.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_queue.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_rams.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_regfile_32x32_2w.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_resmux_ix1.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_resmux_ix2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_resmux_ix3.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_sbuf_2w.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/RV01_selftest.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/RV01_selftest_syn.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
set_property -name "used_in" -value "synthesis" -objects $file_obj
set_property -name "used_in_simulation" -value "0" -objects $file_obj
 
set file "$origin_dir/../RV01_shftu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_stack.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_top.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_top_nohost.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/dhrystone_sodor_st_rom.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_hltu.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_adder_f.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
set file "$origin_dir/../RV01_pipe_a_rmx_x2.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
 
 
# Set 'sources_1' fileset file properties for local files
# None
 
# Set 'sources_1' fileset properties
set obj [get_filesets sources_1]
set_property -name "top" -value "RV01_SELFTEST_SYN" -objects $obj
 
# Create 'constrs_1' fileset (if not found)
if {[string equal [get_filesets -quiet constrs_1] ""]} {
create_fileset -constrset constrs_1
}
 
# Set 'constrs_1' fileset object
set obj [get_filesets constrs_1]
 
# Add/Import constrs file and set constrs file properties
set file "[file normalize "$origin_dir/../../SYN/XILINX/RV01_artix.xdc"]"
set file_added [add_files -norecurse -fileset $obj $file]
set file "$origin_dir/../../SYN/XILINX/RV01_artix.xdc"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
set_property -name "file_type" -value "XDC" -objects $file_obj
 
# Set 'constrs_1' fileset properties
set obj [get_filesets constrs_1]
 
# Create 'sim_1' fileset (if not found)
if {[string equal [get_filesets -quiet sim_1] ""]} {
create_fileset -simset sim_1
}
 
# Set 'sim_1' fileset object
set obj [get_filesets sim_1]
set files [list \
"[file normalize "$origin_dir/RV01_selftest_TB.vhd"]"\
]
add_files -norecurse -fileset $obj $files
 
# Set 'sim_1' fileset file properties for remote files
set file "$origin_dir/RV01_selftest_TB.vhd"
set file [file normalize $file]
set file_obj [get_files -of_objects [get_filesets sim_1] [list "*$file"]]
set_property -name "file_type" -value "VHDL" -objects $file_obj
set_property -name "used_in" -value "simulation" -objects $file_obj
set_property -name "used_in_synthesis" -value "0" -objects $file_obj
 
 
# Set 'sim_1' fileset file properties for local files
# None
 
# Set 'sim_1' fileset properties
set obj [get_filesets sim_1]
set_property -name "top" -value "RV01_SELFTEST_TB" -objects $obj
 
# Create 'synth_1' run (if not found)
if {[string equal [get_runs -quiet synth_1] ""]} {
create_run -name synth_1 -part xc7a100tcsg324-3 -flow {Vivado Synthesis 2017} -strategy "Vivado Synthesis Defaults" -constrset constrs_1
} else {
set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
set_property flow "Vivado Synthesis 2017" [get_runs synth_1]
}
set obj [get_runs synth_1]
set_property -name "needs_refresh" -value "1" -objects $obj
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
set_property -name "report_strategy" -value "Vivado Synthesis Default Reports" -objects $obj
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
 
set reports [get_report_configs -of_objects $obj]
if { [llength $reports ] > 0 } {
delete_report_config [get_report_configs -of_objects $obj]
}
# Create 'synth_1_synth_report_utilization_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
}
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
if { $obj != "" } {
 
}
# set the current synth run
current_run -synthesis [get_runs synth_1]
 
# Create 'impl_1' run (if not found)
if {[string equal [get_runs -quiet impl_1] ""]} {
create_run -name impl_1 -part xc7a100tcsg324-3 -flow {Vivado Implementation 2017} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
} else {
set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
set_property flow "Vivado Implementation 2017" [get_runs impl_1]
}
set obj [get_runs impl_1]
set_property -name "needs_refresh" -value "1" -objects $obj
set_property -name "part" -value "xc7a100tcsg324-3" -objects $obj
set_property -name "report_strategy" -value "Vivado Implementation Default Reports" -objects $obj
set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
 
set reports [get_report_configs -of_objects $obj]
if { [llength $reports ] > 0 } {
delete_report_config [get_report_configs -of_objects $obj]
}
# Create 'impl_1_init_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_opt_report_drc_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
if { $obj != "" } {
 
}
# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_place_report_io_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
if { $obj != "" } {
 
}
# Create 'impl_1_place_report_utilization_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
if { $obj != "" } {
 
}
# Create 'impl_1_place_report_control_sets_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
if { $obj != "" } {
 
}
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_place_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
 
}
# Create 'impl_1_route_report_drc_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_methodology_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_power_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_route_status_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
if { $obj != "" } {
 
}
# Create 'impl_1_route_report_clock_utilization_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
if { $obj != "" } {
 
}
# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
if { $obj != "" } {
 
}
# set the current impl run
current_run -implementation [get_runs impl_1]
 
puts "INFO: Project created:$project_name"
/rv01_riscv_core/trunk/Release_Notes.txt
0,0 → 1,29
---------------------------------------------------------------
December 2017
---------------------------------------------------------------
 
This is first release of RV01 RISC-V core version 1.0.
 
Release directory structure:
 
RV01_RISCV_V1_0
|
+--> DOCS (core datasheet and reference RISC-V specifications)
|
+--> SIM
| |
| +--> MODELSIM (self-test simulation script)
| +--> ISIM (self-test simulation notes)
|
+--> SYN
| |
| +--> XILINX (self-test module synthesis script)
|
+--> VHDL (core source files)
| |
| +--> SELF_TEST (self-test module source files)
|
+--> Release_Notes.txt (this file)
 
Additional info about files included in the current release can
be found in README.txt files located in the sub-directories.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.