URL
https://opencores.org/ocsvn/virtual_rs232_terminal_with_lvds_lcd/virtual_rs232_terminal_with_lvds_lcd/trunk
Subversion Repositories virtual_rs232_terminal_with_lvds_lcd
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 1 to Rev 2
- ↔ Reverse comparison
Rev 1 → Rev 2
/virtual_rs232_terminal_with_lvds_lcd/trunk/tools/font.bmp
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/font.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt (revision 2)
@@ -0,0 +1,2050 @@
+01111110
+01000010
+01000010
+01000010
+01000010
+01000010
+01000010
+01111110
+01111110
+10000001
+10100101
+10000001
+10111101
+10011001
+10000001
+01111110
+01111110
+11111111
+11011011
+11111111
+11000011
+11100111
+11111111
+01111110
+01101100
+11111110
+11111110
+11111110
+01111100
+00111000
+00010000
+00000000
+00010000
+00111000
+01111100
+11111110
+01111100
+00111000
+00010000
+00000000
+00111000
+01111100
+00111000
+11111110
+11111110
+01111100
+00111000
+01111100
+00010000
+00010000
+00111000
+01111100
+11111110
+01111100
+00111000
+01111100
+00000000
+00000000
+00011000
+00111100
+00111100
+00011000
+00000000
+00000000
+11111111
+11111111
+11100111
+11000011
+11000011
+11100111
+11111111
+11111111
+00000000
+00111100
+01100110
+01000010
+01000010
+01100110
+00111100
+00000000
+11111111
+11000011
+10011001
+10111101
+10111101
+10011001
+11000011
+11111111
+00001111
+00000111
+00001111
+01111101
+11001100
+11001100
+11001100
+01111000
+00111100
+01100110
+01100110
+01100110
+00111100
+00011000
+01111110
+00011000
+00111111
+00110011
+00111111
+00110000
+00110000
+01110000
+11110000
+11100000
+01111111
+01100011
+01111111
+01100011
+01100011
+01100111
+11100110
+11000000
+10011001
+01011010
+00111100
+11100111
+11100111
+00111100
+01011010
+10011001
+10000000
+11100000
+11111000
+11111110
+11111000
+11100000
+10000000
+00000000
+00000010
+00001110
+00111110
+11111110
+00111110
+00001110
+00000010
+00000000
+00011000
+00111100
+01111110
+00011000
+00011000
+01111110
+00111100
+00011000
+01100110
+01100110
+01100110
+01100110
+01100110
+00000000
+01100110
+00000000
+01111111
+11011011
+11011011
+01111011
+00011011
+00011011
+00011011
+00000000
+00111110
+01100011
+00111000
+01101100
+01101100
+00111000
+11001100
+01111000
+00000000
+00000000
+00000000
+00000000
+01111110
+01111110
+01111110
+00000000
+00011000
+00111100
+01111110
+00011000
+01111110
+00111100
+00011000
+11111111
+00011000
+00111100
+01111110
+00011000
+00011000
+00011000
+00011000
+00000000
+00011000
+00011000
+00011000
+00011000
+01111110
+00111100
+00011000
+00000000
+00000000
+00011000
+00001100
+11111110
+00001100
+00011000
+00000000
+00000000
+00000000
+00110000
+01100000
+11111110
+01100000
+00110000
+00000000
+00000000
+00000000
+00000000
+11000000
+11000000
+11000000
+11111110
+00000000
+00000000
+00000000
+00100100
+01100110
+11111111
+01100110
+00100100
+00000000
+00000000
+00000000
+00011000
+00111100
+01111110
+11111111
+11111111
+00000000
+00000000
+00000000
+11111111
+11111111
+01111110
+00111100
+00011000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00110000
+00110000
+00110000
+00110000
+00110000
+00000000
+00110000
+00000000
+01101100
+01101100
+01101100
+00000000
+00000000
+00000000
+00000000
+00000000
+01101100
+01101100
+11111110
+01101100
+11111110
+01101100
+01101100
+00000000
+00110000
+01111100
+11000000
+01111000
+00001100
+11111000
+00110000
+00000000
+00000000
+11000110
+11001100
+00011000
+00110000
+01100110
+11000110
+00000000
+00111000
+01101100
+00111000
+01110110
+11011100
+11001100
+01110110
+00000000
+01100000
+01100000
+11000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00110000
+01100000
+01100000
+01100000
+00110000
+00011000
+00000000
+01100000
+00110000
+00011000
+00011000
+00011000
+00110000
+01100000
+00000000
+00000000
+01100110
+00111100
+11111111
+00111100
+01100110
+00000000
+00000000
+00000000
+00110000
+00110000
+11111100
+00110000
+00110000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00110000
+00110000
+01100000
+00000000
+00000000
+00000000
+11111100
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00110000
+00110000
+00000000
+00000110
+00001100
+00011000
+00110000
+01100000
+11000000
+10000000
+00000000
+01111100
+11000110
+11001110
+11011110
+11110110
+11100110
+01111100
+00000000
+00110000
+01110000
+00110000
+00110000
+00110000
+00110000
+11111100
+00000000
+01111000
+11001100
+00001100
+00111000
+01100000
+11001100
+11111100
+00000000
+01111000
+11001100
+00001100
+00111000
+00001100
+11001100
+01111000
+00000000
+00011100
+00111100
+01101100
+11001100
+11111110
+00001100
+00011110
+00000000
+11111100
+11000000
+11111000
+00001100
+00001100
+11001100
+01111000
+00000000
+00111000
+01100000
+11000000
+11111000
+11001100
+11001100
+01111000
+00000000
+11111100
+11001100
+00001100
+00011000
+00110000
+00110000
+00110000
+00000000
+01111000
+11001100
+11001100
+01111000
+11001100
+11001100
+01111000
+00000000
+01111000
+11001100
+11001100
+01111100
+00001100
+00011000
+01110000
+00000000
+00000000
+00110000
+00110000
+00000000
+00000000
+00110000
+00110000
+00000000
+00000000
+00110000
+00110000
+00000000
+00000000
+00110000
+00110000
+01100000
+00011000
+00110000
+01100000
+11000000
+01100000
+00110000
+00011000
+00000000
+00000000
+00000000
+11111100
+00000000
+00000000
+11111100
+00000000
+00000000
+01100000
+00110000
+00011000
+00001100
+00011000
+00110000
+01100000
+00000000
+01111000
+11001100
+00001100
+00011000
+00110000
+00000000
+00110000
+00000000
+01111100
+11000110
+11011110
+11011110
+11011110
+11000000
+01111000
+00000000
+00110000
+01111000
+11001100
+11001100
+11111100
+11001100
+11001100
+00000000
+11111100
+01100110
+01100110
+01111100
+01100110
+01100110
+11111100
+00000000
+00111100
+01100110
+11000000
+11000000
+11000000
+01100110
+00111100
+00000000
+11111000
+01101100
+01100110
+01100110
+01100110
+01101100
+11111000
+00000000
+01111110
+01100000
+01100000
+01111000
+01100000
+01100000
+01111110
+00000000
+01111110
+01100000
+01100000
+01111000
+01100000
+01100000
+01100000
+00000000
+00111100
+01100110
+11000000
+11000000
+11001110
+01100110
+00111110
+00000000
+11001100
+11001100
+11001100
+11111100
+11001100
+11001100
+11001100
+00000000
+01111000
+00110000
+00110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00011110
+00001100
+00001100
+00001100
+11001100
+11001100
+01111000
+00000000
+11100110
+01100110
+01101100
+01111000
+01101100
+01100110
+11100110
+00000000
+01100000
+01100000
+01100000
+01100000
+01100000
+01100000
+01111110
+00000000
+11000110
+11101110
+11111110
+11111110
+11010110
+11000110
+11000110
+00000000
+11000110
+11100110
+11110110
+11011110
+11001110
+11000110
+11000110
+00000000
+00111000
+01101100
+11000110
+11000110
+11000110
+01101100
+00111000
+00000000
+11111100
+01100110
+01100110
+01111100
+01100000
+01100000
+11110000
+00000000
+01111000
+11001100
+11001100
+11001100
+11011100
+01111000
+00011100
+00000000
+11111100
+01100110
+01100110
+01111100
+01101100
+01100110
+11100110
+00000000
+01111000
+11001100
+11100000
+01111000
+00011100
+11001100
+01111000
+00000000
+11111100
+00110000
+00110000
+00110000
+00110000
+00110000
+00110000
+00000000
+11001100
+11001100
+11001100
+11001100
+11001100
+11001100
+11111100
+00000000
+11001100
+11001100
+11001100
+11001100
+11001100
+01111000
+00110000
+00000000
+11000110
+11000110
+11000110
+11010110
+11111110
+11101110
+11000110
+00000000
+11000110
+11000110
+01101100
+00111000
+00111000
+01101100
+11000110
+00000000
+11001100
+11001100
+11001100
+01111000
+00110000
+00110000
+01111000
+00000000
+11111110
+00000110
+00001100
+00011000
+00110000
+01100000
+11111110
+00000000
+01111000
+01100000
+01100000
+01100000
+01100000
+01100000
+01111000
+00000000
+11000000
+01100000
+00110000
+00011000
+00001100
+00000110
+00000010
+00000000
+01111000
+00011000
+00011000
+00011000
+00011000
+00011000
+01111000
+00000000
+00010000
+00111000
+01101100
+11000110
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00110000
+00110000
+00011000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+11100000
+01100000
+01100000
+01111100
+01100110
+01100110
+11011100
+00000000
+00000000
+00000000
+01111000
+11001100
+11000000
+11001100
+01111000
+00000000
+00011100
+00001100
+00001100
+01111100
+11001100
+11001100
+01110110
+00000000
+00000000
+00000000
+01111000
+11001100
+11111100
+11000000
+01111000
+00000000
+00111000
+01101100
+01100000
+11110000
+01100000
+01100000
+11110000
+00000000
+00000000
+00000000
+01110110
+11001100
+11001100
+01111100
+00001100
+11111000
+11100000
+01100000
+01101100
+01110110
+01100110
+01100110
+11100110
+00000000
+00110000
+00000000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00001100
+00000000
+00001100
+00001100
+00001100
+11001100
+11001100
+01111000
+11100000
+01100000
+01100110
+01101100
+01111000
+01101100
+11100110
+00000000
+01110000
+00110000
+00110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00000000
+00000000
+11001100
+11111110
+11111110
+11010110
+11000110
+00000000
+00000000
+00000000
+11111000
+11001100
+11001100
+11001100
+11001100
+00000000
+00000000
+00000000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00000000
+00000000
+11011100
+01100110
+01100110
+01111100
+01100000
+11110000
+00000000
+00000000
+01110110
+11001100
+11001100
+01111100
+00001100
+00011110
+00000000
+00000000
+11011100
+01110110
+01100110
+01100000
+11110000
+00000000
+00000000
+00000000
+01111100
+11000000
+01111000
+00001100
+11111000
+00000000
+00010000
+00110000
+01111100
+00110000
+00110000
+00110100
+00011000
+00000000
+00000000
+00000000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00000000
+00000000
+11001100
+11001100
+11001100
+01111000
+00110000
+00000000
+00000000
+00000000
+11000110
+11010110
+11111110
+11111110
+01101100
+00000000
+00000000
+00000000
+11000110
+01101100
+00111000
+01101100
+11000110
+00000000
+00000000
+00000000
+11001100
+11001100
+11001100
+01111100
+00001100
+11111000
+00000000
+00000000
+11111100
+10011000
+00110000
+01100100
+11111100
+00000000
+00011100
+00110000
+00110000
+11100000
+00110000
+00110000
+00011100
+00000000
+00011000
+00011000
+00011000
+00000000
+00011000
+00011000
+00011000
+00000000
+11100000
+00110000
+00110000
+00011100
+00110000
+00110000
+11100000
+00000000
+01110110
+11011100
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00010000
+00111000
+01101100
+11000110
+11111110
+00000000
+00000000
+00111100
+01100110
+11000000
+11000000
+01100110
+00111100
+00001000
+00011000
+00101000
+00000000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00001000
+00010000
+01111000
+11001100
+11111100
+11000000
+01111000
+00000000
+00010000
+00101000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00101000
+00000000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00100000
+00010000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00011000
+00011000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00000000
+01111000
+11001100
+11000000
+11001100
+01111000
+00010000
+00110000
+00010000
+00101000
+01111000
+11001100
+11111100
+11000000
+01111000
+00000000
+00101000
+00000000
+01111000
+11001100
+11111100
+11000000
+01111000
+00000000
+00100000
+00010000
+01111000
+11001100
+11111100
+11000000
+01111000
+00000000
+00101000
+00000000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00010000
+00101000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00010000
+00001000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00101000
+00110000
+01111000
+11001100
+11111100
+11001100
+11001100
+00000000
+00110000
+01001000
+00110000
+11001100
+11111100
+11001100
+11001100
+00000000
+00001000
+00010000
+01111110
+01100000
+01111000
+01100000
+01111110
+00000000
+00000000
+00000000
+01101100
+00010010
+01111110
+10010000
+01111110
+00000000
+00111110
+01010000
+10010000
+10011100
+11110000
+10010000
+10011110
+00000000
+00010000
+00101000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00101000
+00000000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00100000
+00010000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00010000
+00101000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00100000
+00010000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00101000
+00000000
+11001100
+11001100
+11001100
+01111100
+00001100
+11111000
+00101000
+01111100
+11000110
+11000110
+11000110
+11000110
+01111100
+00000000
+00101000
+11000110
+11000110
+11000110
+11000110
+11000110
+01111100
+00000000
+00000000
+00010000
+01111000
+11001100
+11000000
+11001100
+01111000
+00010000
+00111000
+01000100
+01000000
+11110000
+01000000
+01000000
+11111110
+00000000
+11000011
+01100110
+00111100
+01111110
+00011000
+01111110
+00011000
+00000000
+11111100
+01100110
+01100110
+01111100
+01100000
+01100000
+11110000
+00000000
+00011100
+00110000
+11111100
+00110000
+00110000
+00110000
+00110000
+11100000
+00001000
+00010000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00010000
+00100000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00010000
+00100000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00010000
+00100000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00110010
+01001100
+11111000
+11001100
+11001100
+11001100
+11001100
+00000000
+00110010
+01001100
+11000110
+11100110
+11010110
+11001110
+11000110
+00000000
+00000000
+00111000
+00001100
+00111100
+01101100
+00110110
+00000000
+00000000
+00000000
+00111000
+01000100
+01000100
+00111000
+00000000
+00000000
+00000000
+00011000
+00000000
+00011000
+00110000
+01100000
+01100110
+00111100
+00000000
+00000000
+00000000
+11111110
+10000000
+10000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111110
+00000010
+00000010
+00000000
+00000000
+00000000
+01000010
+01000100
+01001000
+01010110
+00101001
+01000110
+10001000
+00011111
+01000010
+01000100
+01001000
+01010110
+00101010
+01011111
+10000010
+00000111
+00110000
+00000000
+00110000
+00110000
+00110000
+00110000
+00110000
+00000000
+00000000
+00100100
+01001000
+10010000
+01001000
+00100100
+00000000
+00000000
+00000000
+01001000
+00100100
+00010010
+00100100
+01001000
+00000000
+00000000
+10001000
+00100010
+10001000
+00100010
+10001000
+00000000
+10001000
+00100010
+10101010
+01010101
+10101010
+01010101
+10101010
+00000000
+10101010
+01010101
+01110111
+11011101
+01110111
+11011101
+01110111
+11111111
+01110111
+11011101
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+11110000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+11110000
+00010000
+11110000
+00010000
+00010000
+00010000
+00101000
+00101000
+00101000
+11101000
+00101000
+00101000
+00101000
+00101000
+00000000
+00000000
+00000000
+11111000
+00101000
+00101000
+00101000
+00101000
+00000000
+00000000
+11110000
+00010000
+11110000
+00010000
+00010000
+00010000
+00101000
+00101000
+11101000
+00001000
+11101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00000000
+00000000
+11111000
+00001000
+11101000
+00101000
+00101000
+00101000
+00101000
+00101000
+11101000
+00001000
+11111000
+00000000
+00000000
+00000000
+00101000
+00101000
+00101000
+11111000
+00000000
+00000000
+00000000
+00000000
+00010000
+00010000
+11110000
+00010000
+11110000
+00000000
+00000000
+00000000
+
+00000000
+0000000
+00000000
+11110000
+00010000
+00010000
+00010000
+00010000
+
+0010000
+00010000
+00010000
+00011111
+00000000
+00000000
+00000000
+00000000
+00010000
+00010000
+00010000
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00011111
+00010000
+00010000
+00010000
+00010000
+00000000
+00000000
+00000000
+11111111
+00000000
+00000000
+00000000
+00000000
+00010000
+00010000
+00010000
+11111111
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00011111
+00010000
+00011111
+00010000
+00010000
+00010000
+00101000
+00101000
+00101000
+00101111
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101111
+00100000
+00111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00111111
+00100000
+00101111
+00101000
+00101000
+00101000
+00101000
+00101000
+11101111
+00000000
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00000000
+11101111
+00101000
+00101000
+00101000
+00101000
+00101000
+00101111
+00100000
+00101111
+00101000
+00101000
+00101000
+00000000
+00000000
+11111111
+00000000
+11111111
+00000000
+00000000
+00000000
+00101000
+00101000
+11101111
+00000000
+11101111
+00101000
+00101000
+00101000
+00010000
+00010000
+11111111
+00000000
+11111111
+00000000
+00000000
+00000000
+00101000
+00101000
+00101000
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00000000
+11111111
+00010000
+00010000
+00010000
+00000000
+00000000
+00000000
+11111111
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00111111
+00000000
+00000000
+00000000
+00000000
+00010000
+00010000
+00011111
+00010000
+00011111
+00000000
+00000000
+00000000
+00000000
+00000000
+00011111
+00010000
+00011111
+00010000
+00010000
+00010000
+00000000
+00000000
+00000000
+00111111
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+00101000
+11111111
+00101000
+00101000
+00101000
+00101000
+00010000
+00010000
+11111111
+00010000
+11111111
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+11110000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011111
+00010000
+00010000
+00010000
+00010000
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+00000000
+00000000
+00000000
+00000000
+11111111
+11111111
+11111111
+11111111
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+11111111
+11111111
+11111111
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+01110010
+10001100
+10001000
+0111010
+00000000
+00110000
+01001000
+01001000
+01111100
+01000010
+01000010
+11011100
+00000000
+00000000
+00000000
+11111110
+01000010
+01000000
+01000000
+11100000
+00000000
+00000000
+00000000
+11111110
+01000100
+01000100
+01000100
+11101110
+00000000
+11111110
+01000010
+00100000
+00010000
+00100000
+01000010
+11111110
+00000000
+00000000
+00000000
+00000000
+00111110
+01000100
+01000100
+00111000
+00000000
+00000000
+00000000
+11001100
+01000100
+01000100
+01000100
+01111010
+01000000
+00000000
+00000000
+01111100
+00010000
+00010000
+00010000
+00011100
+00000000
+00000000
+00010000
+01111100
+10010010
+10010010
+01111100
+00010000
+00000000
+00000000
+00000000
+01111100
+10000010
+10111010
+10000010
+01111100
+00000000
+00000000
+01111100
+10000010
+10000010
+01101100
+00101000
+11101110
+00000000
+00000000
+01111100
+00100000
+00111000
+01000100
+01000100
+00111000
+00000000
+00000000
+00000000
+01101100
+10010010
+10010010
+01101100
+00000000
+00000000
+00000000
+00000000
+01001100
+10010010
+10010010
+01111100
+00010000
+00000000
+00000000
+00000000
+00111100
+01000000
+00110000
+01000000
+00111100
+00000000
+00000000
+00000000
+00111100
+01000010
+01000010
+01000010
+01000010
+00000000
+00000000
+11111110
+00000000
+11111110
+00000000
+11111110
+00000000
+00000000
+00010000
+00010000
+11111110
+00010000
+00010000
+11111110
+00000000
+00000000
+01000000
+00010000
+00000100
+00010000
+01000000
+11111110
+00000000
+00000000
+00000100
+00010000
+01000000
+00010000
+00000100
+11111110
+00000000
+00000000
+00001100
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+00010000
+01100000
+00000000
+00000000
+00010000
+00000000
+11111110
+00000000
+00010000
+00000000
+00000000
+01100010
+10011100
+00000000
+01100010
+10011100
+00000000
+00000000
+00110000
+01001000
+01001000
+00110000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00110000
+00110000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00001000
+00000000
+00000000
+00000000
+00000001
+00000010
+11100010
+00100100
+00010100
+00011000
+00001000
+00000000
+00000000
+00000000
+01011000
+00100100
+00100100
+00000000
+00000000
+00000000
+00110000
+01001000
+00010000
+00100000
+01111000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+11111111
+11111111
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt (revision 2)
@@ -0,0 +1 @@
+000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000110,000010000011000011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001010001100001101,001000001001001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000011000011,001010001101001110,001110010001010010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000111,001111010011010011,001111010010010011,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111110000000,000000000000000000,001000001010001010,001110010001010010,001110010001010010,000101000111000111,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001100,001010001101001101,001010001101001101,001011001110001110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001110010010010011,001001001011001011,000110001000001000,001001001011001100,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001100001100,001000001011001011,001000001010001011,001001001011001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000100000101000101,001011001110001110,001011001110001111,001000001010001010,000111001000001001,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,000100000101000101,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001010001100001100,001011001110001110,001010001100001100,001000001010001010,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000100000100000101,000100000101000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001001001011001011,000101000111000111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000101,000111001000001001,001000001010001011,001001001100001100,001010001100001100,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100000101000101,000111001000001000,001011001110001110,000100000101000110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001010,000111001001001001,001010001101001101,001000001010001010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000110,001000001010001010,001001001011001100,001000001011001011,001001001100001100,001001001100001100,000000001101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,000111001001001010,001000001010001010,001000001010001010,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001011,001110010010010011,001001001011001011,001001001011001011,001000001010001011,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000110001000001000,001000001010001010,000110001000001000,001000001010001010,001001001100001100,000111001001001001,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,001001001011001100,001010001101001101,001001001011001011,001001001100001100,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000001000001000001,001010001100001101,000111001001001001,001000001011001011,001010001101001101,001100001111010000,001000001011001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000111001001001010,001001001011001011,000101000110000110,001000001010001010,001001001011001011,000110000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000100,000111001001001001,000111001001001001,000111001001001001,000101000111000111,000111001001001001,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000110000111000111,010011010101010101,010010010100010100,010010010100010100,010011010101010101,010000010010010010,000101000101000101,000101000101000101,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000111,000101000101000101,010000010010010011,010001010011010011,001111010000010000,001011001110001110,001001001100001100,000110001000001000,000101000101000101,000111000111000111,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000001000001000001,000001000001000001,000110000111000111,001010001101001101,001011001110001110,001010001101001101,001001001011001100,000101000110000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,011110100100100111,011011100111101011,011010100110101010,011010100101101010,011011100110101011,011011100110101011,011011100110101011,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,011011100110101010,011011100111101100,010100100000100101,001001001101001111,001100001111001111,000110000111001000,010101011000011010,011110101001101101,011010100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,010111100011101000,000111001100001110,001000001001001001,001000001011001011,001001001100001100,001011001110001111,001001001011001011,001000001010001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011001100000,000000011010100101,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000001011001100100,000001011010100101,000000010100011100,000101001000001001,001000001010001010,001100001110001110,001100010011010111,000100011100100110,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100100,000000010110100000,000100001001001011,001010001100001100,001001001100001100,000110001000001000,001100001111001111,000101000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,001000001100001101,001000001010001010,001111010010010011,010000010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000101001010001101,001101010000010000,000111001001001010,000110000111001000,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011011,001001001101001111,001111010011010011,001110010001010001,001111010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000110001011001101,001011001101001101,000110001000001000,000000000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100110,000001010101011101,000101001000001010,001001001011001100,001010001100001100,001111010110011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001011000100001,000101001001001011,000101000110000110,000000000000000000,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010101011101,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001110010100,000001001100010001,000110001010001011,001011001110001110,001001001011001010,001111010111011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011000100010,000001001111010100,000011000110001000,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100001,000010011011100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000011000011000011,000101000111000111,000111001001001001,001010001100001101,001001001011001100,001010001100001101,000111001001001001,000110001000001000,000110000111000111,000110000111000111,001100001111010000,001010001101001110,001001001011001100,001010001101001101,001101010000010000,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010011011001,000010000011000100,000001000001000001,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001111,000001010101011101,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011000,000101000101000110,001010001010001010,010010010100010100,010101011000011000,010101011000011000,010010010100010100,010010010011010011,010001010010010010,001111010001010001,001101010000010000,010001010101010110,001010001101001101,001010001101001101,000111001001001001,000111001000001000,010000011000011011,000101011101100111,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000010000101000110,000001010000010110,000001011001100011,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010101100100101011,011010100110101011,011001100110101011,011000100101101011,011001100110101011,011001100110101011,011001100110101011,011010100111101100,001110011010011111,001010001110001111,001100001111001111,001010001101001101,001101010000010001,001000001010001010,001000001010001010,001010010010010101,000011011010100011,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000110,000101011001100010,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010000010110,001110010001010001,001111010010010011,001000001011001011,001001001011001011,000111001000001001,000111001000001001,001001001011001100,000011001011001110,000001010101011100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100011100100011,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001100001110001111,001110010001010001,000111001000001000,001010001100001101,001000001010001011,001000001011001011,001011001110001110,001000001001001001,000010000101000110,000010010101011101,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001110011101100100,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001001001011001011,001011001110001111,001001001100001100,001001001100001100,001010001100001101,001000001010001010,000101000111000111,000001000010000010,001011001011001011,001010010100011001,000011011011100101,000010011001100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,010010010010010011,010010100001101000,000000011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100110,000001010001010111,001100001111010000,001101010000010000,001001001100001100,001000001010001010,001100001111001111,000111001001001001,000101000110000110,000100000101000101,000011000011000011,001100010100010111,000100011100100110,000001011001100011,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000110000110000110,100000100001100001,011101101000101101,000101011011100101,000001011001100011,000010011010100100,000010011010100100,000001010100011011,000001001100010000,000010001100010000,000010001100010000,000010001100010000,000010001100010001,000010001100010001,000010001100010001,000010001100010001,000010001001001101,001010001101001110,001101010000010000,001000001010001011,001011001110001110,001011001110001110,000110000111001000,000101000111000111,000100000101000110,000011000100000011,010011011011011111,000101011100100110,000001011001100011,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000010,011111100100100110,010001100010101001,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000001000001000001,000000000000000000,000001000010000010,000110000111000111,000110001000001000,001000001010001010,000111001000001001,000111001001001001,001000001010001010,000111001001001010,001010001101001110,001000001010001010,001011001110001110,001001001100001100,000101000110000111,000011000100000101,000110000111000111,011101011101011101,011010100110101011,000010011010100100,000010011001100100,000010011011100101,000001010010011001,000001000010000010,011111011111011111,011111011111011111,011111011111011111,001011001011001011,011001011010011011,011001011011011100,011000011011011100,011000011011011100,011001011011011100,010001010101010110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000000011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011001,000111001000001001,001000001000001000,001110001111001111,010010010011010011,010001010010010010,010011010100010100,010010010011010011,010001010010010011,010001010011010011,010101010110010111,010110011001011001,010000010010010011,001100010000010000,001001001011001011,000011000101000101,001110001111001111,100101101000101010,011000100111101101,000011011011100100,000001011001100011,000010011010100100,000010011010100100,000001010110011111,001100010001010011,010001010001010010,010001010001010010,010001010001010010,010100010100010101,011011100111101011,001000011101100111,001001011110100111,001001011110100111,001001011111101000,000100010011011001,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010100100100101010,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011001100111101100,010010011111100101,000110001010001100,001000001001001001,000101000110000110,010101011001011100,001110100010101010,000000011000100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,001011011111101000,010001100010101001,010001100001101001,010001100010101001,010001100010101001,000110011100100101,000000011001100011,000001011001100011,000001011001100011,000001011010100100,000001010000010110,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010100011100,000101000111001001,001001001010001011,001010001100001100,001101010101011000,000100011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000101001000001001,001000001010001010,001011001101001101,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000100000111001000,001001001010001010,001000001001001001,001110010101011001,000101011101100111,000001011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011011100001,000001011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010100011100,000101001000001010,001010001101001101,001001001011001011,001110010110011001,000101011101100111,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000011001100010000,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001010001110,000111001010001011,001111010010010011,001101010000010000,000111001101001111,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001010001110,000010001010001111,000010001000001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111000111,000111001000001000,000101000101000110,000101000110000110,000110000110000111,000110000111001000,000101000110000110,000000000001000001,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000011000011000100,000111001000001000,001010001100001100,001100001111001111,001011001110001110,001001001011001100,000011000100000100,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000001000001000001,000011000100000100,000101000110000110,000101000110000110,000101000101000101,001001001011001011,001011001101001110,000001000010000010,000000000000000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001001001011001011,001100001111010000,000111001001001001,000101000110000110,000101000110000110,000100000101000101,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001000001010001010,001011001110001110,001111010010010011,001001001011001100,001000001001001010,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000001000010000010,000100000101000110,000111001001001001,001100001111001111,001011001110001111,001000001010001010,000011000011000100,001011001011001011,000000111101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111001000,001101010000010000,001100001111001111,000100000110000110,000011000100000100,000001000001000001,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,001000001010001010,001010001101001101,001001001100001100,001001001011001100,001001001100001100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000011000011000100,001011001110001110,001011001101001110,001001001011001011,001011001110001111,000100000101000101,001011001011001011,000000111110000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001000001001,001000001010001010,001010001101001101,000111001001001001,000001000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001100001111001111,001010001101001101,001000001010001011,000110001000001000,000111001001001001,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000101000110000111,001000001010001010,001000001010001010,001010001100001101,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001000001011001011,000101000110000110,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001011001110001110,001100001111010000,001000001010001010,000111001000001001,001000001010001010,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000110001000001000,000111001001001001,001000001011001011,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000101,000001000010000010,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000101000111000111,001001001011001100,001010001101001101,001001001011001011,001100001111001111,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000000000000,000011000100000100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000110,000111001001001001,001001001011001011,001101010001010001,001011001110001111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000111,001001001011001100,001100001111001111,001001001011001011,001010001100001100,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000100000100,001101010001010001,001010001101001101,000111001000001001,000111001000001000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100001111001111,001000001010001011,000111001001001001,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001101001110,001000001010001010,000111001001001010,000011000100000100,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001101,001001001100001100,001000001011001011,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000110000111000111,000111001000001001,001000001010001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001011001100,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,
\ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt (revision 2)
@@ -0,0 +1,674 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 3, 29 June 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc.
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The GNU General Public License is a free, copyleft license for
+software and other kinds of works.
+
+ The licenses for most software and other practical works are designed
+to take away your freedom to share and change the works. By contrast,
+the GNU General Public License is intended to guarantee your freedom to
+share and change all versions of a program--to make sure it remains free
+software for all its users. We, the Free Software Foundation, use the
+GNU General Public License for most of our software; it applies also to
+any other work released this way by its authors. You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+them if you wish), that you receive source code or can get it if you
+want it, that you can change the software or use pieces of it in new
+free programs, and that you know you can do these things.
+
+ To protect your rights, we need to prevent others from denying you
+these rights or asking you to surrender the rights. Therefore, you have
+certain responsibilities if you distribute copies of the software, or if
+you modify it: responsibilities to respect the freedom of others.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must pass on to the recipients the same
+freedoms that you received. You must make sure that they, too, receive
+or can get the source code. And you must show them these terms so they
+know their rights.
+
+ Developers that use the GNU GPL protect your rights with two steps:
+(1) assert copyright on the software, and (2) offer you this License
+giving you legal permission to copy, distribute and/or modify it.
+
+ For the developers' and authors' protection, the GPL clearly explains
+that there is no warranty for this free software. For both users' and
+authors' sake, the GPL requires that modified versions be marked as
+changed, so that their problems will not be attributed erroneously to
+authors of previous versions.
+
+ Some devices are designed to deny users access to install or run
+modified versions of the software inside them, although the manufacturer
+can do so. This is fundamentally incompatible with the aim of
+protecting users' freedom to change the software. The systematic
+pattern of such abuse occurs in the area of products for individuals to
+use, which is precisely where it is most unacceptable. Therefore, we
+have designed this version of the GPL to prohibit the practice for those
+products. If such problems arise substantially in other domains, we
+stand ready to extend this provision to those domains in future versions
+of the GPL, as needed to protect the freedom of users.
+
+ Finally, every program is threatened constantly by software patents.
+States should not allow patents to restrict development and use of
+software on general-purpose computers, but in those that do, we wish to
+avoid the special danger that patents applied to a free program could
+make it effectively proprietary. To prevent this, the GPL assures that
+patents cannot be used to render the program non-free.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ TERMS AND CONDITIONS
+
+ 0. Definitions.
+
+ "This License" refers to version 3 of the GNU General Public License.
+
+ "Copyright" also means copyright-like laws that apply to other kinds of
+works, such as semiconductor masks.
+
+ "The Program" refers to any copyrightable work licensed under this
+License. Each licensee is addressed as "you". "Licensees" and
+"recipients" may be individuals or organizations.
+
+ To "modify" a work means to copy from or adapt all or part of the work
+in a fashion requiring copyright permission, other than the making of an
+exact copy. The resulting work is called a "modified version" of the
+earlier work or a work "based on" the earlier work.
+
+ A "covered work" means either the unmodified Program or a work based
+on the Program.
+
+ To "propagate" a work means to do anything with it that, without
+permission, would make you directly or secondarily liable for
+infringement under applicable copyright law, except executing it on a
+computer or modifying a private copy. Propagation includes copying,
+distribution (with or without modification), making available to the
+public, and in some countries other activities as well.
+
+ To "convey" a work means any kind of propagation that enables other
+parties to make or receive copies. Mere interaction with a user through
+a computer network, with no transfer of a copy, is not conveying.
+
+ An interactive user interface displays "Appropriate Legal Notices"
+to the extent that it includes a convenient and prominently visible
+feature that (1) displays an appropriate copyright notice, and (2)
+tells the user that there is no warranty for the work (except to the
+extent that warranties are provided), that licensees may convey the
+work under this License, and how to view a copy of this License. If
+the interface presents a list of user commands or options, such as a
+menu, a prominent item in the list meets this criterion.
+
+ 1. Source Code.
+
+ The "source code" for a work means the preferred form of the work
+for making modifications to it. "Object code" means any non-source
+form of a work.
+
+ A "Standard Interface" means an interface that either is an official
+standard defined by a recognized standards body, or, in the case of
+interfaces specified for a particular programming language, one that
+is widely used among developers working in that language.
+
+ The "System Libraries" of an executable work include anything, other
+than the work as a whole, that (a) is included in the normal form of
+packaging a Major Component, but which is not part of that Major
+Component, and (b) serves only to enable use of the work with that
+Major Component, or to implement a Standard Interface for which an
+implementation is available to the public in source code form. A
+"Major Component", in this context, means a major essential component
+(kernel, window system, and so on) of the specific operating system
+(if any) on which the executable work runs, or a compiler used to
+produce the work, or an object code interpreter used to run it.
+
+ The "Corresponding Source" for a work in object code form means all
+the source code needed to generate, install, and (for an executable
+work) run the object code and to modify the work, including scripts to
+control those activities. However, it does not include the work's
+System Libraries, or general-purpose tools or generally available free
+programs which are used unmodified in performing those activities but
+which are not part of the work. For example, Corresponding Source
+includes interface definition files associated with source files for
+the work, and the source code for shared libraries and dynamically
+linked subprograms that the work is specifically designed to require,
+such as by intimate data communication or control flow between those
+subprograms and other parts of the work.
+
+ The Corresponding Source need not include anything that users
+can regenerate automatically from other parts of the Corresponding
+Source.
+
+ The Corresponding Source for a work in source code form is that
+same work.
+
+ 2. Basic Permissions.
+
+ All rights granted under this License are granted for the term of
+copyright on the Program, and are irrevocable provided the stated
+conditions are met. This License explicitly affirms your unlimited
+permission to run the unmodified Program. The output from running a
+covered work is covered by this License only if the output, given its
+content, constitutes a covered work. This License acknowledges your
+rights of fair use or other equivalent, as provided by copyright law.
+
+ You may make, run and propagate covered works that you do not
+convey, without conditions so long as your license otherwise remains
+in force. You may convey covered works to others for the sole purpose
+of having them make modifications exclusively for you, or provide you
+with facilities for running those works, provided that you comply with
+the terms of this License in conveying all material for which you do
+not control copyright. Those thus making or running the covered works
+for you must do so exclusively on your behalf, under your direction
+and control, on terms that prohibit them from making any copies of
+your copyrighted material outside their relationship with you.
+
+ Conveying under any other circumstances is permitted solely under
+the conditions stated below. Sublicensing is not allowed; section 10
+makes it unnecessary.
+
+ 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
+
+ No covered work shall be deemed part of an effective technological
+measure under any applicable law fulfilling obligations under article
+11 of the WIPO copyright treaty adopted on 20 December 1996, or
+similar laws prohibiting or restricting circumvention of such
+measures.
+
+ When you convey a covered work, you waive any legal power to forbid
+circumvention of technological measures to the extent such circumvention
+is effected by exercising rights under this License with respect to
+the covered work, and you disclaim any intention to limit operation or
+modification of the work as a means of enforcing, against the work's
+users, your or third parties' legal rights to forbid circumvention of
+technological measures.
+
+ 4. Conveying Verbatim Copies.
+
+ You may convey verbatim copies of the Program's source code as you
+receive it, in any medium, provided that you conspicuously and
+appropriately publish on each copy an appropriate copyright notice;
+keep intact all notices stating that this License and any
+non-permissive terms added in accord with section 7 apply to the code;
+keep intact all notices of the absence of any warranty; and give all
+recipients a copy of this License along with the Program.
+
+ You may charge any price or no price for each copy that you convey,
+and you may offer support or warranty protection for a fee.
+
+ 5. Conveying Modified Source Versions.
+
+ You may convey a work based on the Program, or the modifications to
+produce it from the Program, in the form of source code under the
+terms of section 4, provided that you also meet all of these conditions:
+
+ a) The work must carry prominent notices stating that you modified
+ it, and giving a relevant date.
+
+ b) The work must carry prominent notices stating that it is
+ released under this License and any conditions added under section
+ 7. This requirement modifies the requirement in section 4 to
+ "keep intact all notices".
+
+ c) You must license the entire work, as a whole, under this
+ License to anyone who comes into possession of a copy. This
+ License will therefore apply, along with any applicable section 7
+ additional terms, to the whole of the work, and all its parts,
+ regardless of how they are packaged. This License gives no
+ permission to license the work in any other way, but it does not
+ invalidate such permission if you have separately received it.
+
+ d) If the work has interactive user interfaces, each must display
+ Appropriate Legal Notices; however, if the Program has interactive
+ interfaces that do not display Appropriate Legal Notices, your
+ work need not make them do so.
+
+ A compilation of a covered work with other separate and independent
+works, which are not by their nature extensions of the covered work,
+and which are not combined with it such as to form a larger program,
+in or on a volume of a storage or distribution medium, is called an
+"aggregate" if the compilation and its resulting copyright are not
+used to limit the access or legal rights of the compilation's users
+beyond what the individual works permit. Inclusion of a covered work
+in an aggregate does not cause this License to apply to the other
+parts of the aggregate.
+
+ 6. Conveying Non-Source Forms.
+
+ You may convey a covered work in object code form under the terms
+of sections 4 and 5, provided that you also convey the
+machine-readable Corresponding Source under the terms of this License,
+in one of these ways:
+
+ a) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by the
+ Corresponding Source fixed on a durable physical medium
+ customarily used for software interchange.
+
+ b) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by a
+ written offer, valid for at least three years and valid for as
+ long as you offer spare parts or customer support for that product
+ model, to give anyone who possesses the object code either (1) a
+ copy of the Corresponding Source for all the software in the
+ product that is covered by this License, on a durable physical
+ medium customarily used for software interchange, for a price no
+ more than your reasonable cost of physically performing this
+ conveying of source, or (2) access to copy the
+ Corresponding Source from a network server at no charge.
+
+ c) Convey individual copies of the object code with a copy of the
+ written offer to provide the Corresponding Source. This
+ alternative is allowed only occasionally and noncommercially, and
+ only if you received the object code with such an offer, in accord
+ with subsection 6b.
+
+ d) Convey the object code by offering access from a designated
+ place (gratis or for a charge), and offer equivalent access to the
+ Corresponding Source in the same way through the same place at no
+ further charge. You need not require recipients to copy the
+ Corresponding Source along with the object code. If the place to
+ copy the object code is a network server, the Corresponding Source
+ may be on a different server (operated by you or a third party)
+ that supports equivalent copying facilities, provided you maintain
+ clear directions next to the object code saying where to find the
+ Corresponding Source. Regardless of what server hosts the
+ Corresponding Source, you remain obligated to ensure that it is
+ available for as long as needed to satisfy these requirements.
+
+ e) Convey the object code using peer-to-peer transmission, provided
+ you inform other peers where the object code and Corresponding
+ Source of the work are being offered to the general public at no
+ charge under subsection 6d.
+
+ A separable portion of the object code, whose source code is excluded
+from the Corresponding Source as a System Library, need not be
+included in conveying the object code work.
+
+ A "User Product" is either (1) a "consumer product", which means any
+tangible personal property which is normally used for personal, family,
+or household purposes, or (2) anything designed or sold for incorporation
+into a dwelling. In determining whether a product is a consumer product,
+doubtful cases shall be resolved in favor of coverage. For a particular
+product received by a particular user, "normally used" refers to a
+typical or common use of that class of product, regardless of the status
+of the particular user or of the way in which the particular user
+actually uses, or expects or is expected to use, the product. A product
+is a consumer product regardless of whether the product has substantial
+commercial, industrial or non-consumer uses, unless such uses represent
+the only significant mode of use of the product.
+
+ "Installation Information" for a User Product means any methods,
+procedures, authorization keys, or other information required to install
+and execute modified versions of a covered work in that User Product from
+a modified version of its Corresponding Source. The information must
+suffice to ensure that the continued functioning of the modified object
+code is in no case prevented or interfered with solely because
+modification has been made.
+
+ If you convey an object code work under this section in, or with, or
+specifically for use in, a User Product, and the conveying occurs as
+part of a transaction in which the right of possession and use of the
+User Product is transferred to the recipient in perpetuity or for a
+fixed term (regardless of how the transaction is characterized), the
+Corresponding Source conveyed under this section must be accompanied
+by the Installation Information. But this requirement does not apply
+if neither you nor any third party retains the ability to install
+modified object code on the User Product (for example, the work has
+been installed in ROM).
+
+ The requirement to provide Installation Information does not include a
+requirement to continue to provide support service, warranty, or updates
+for a work that has been modified or installed by the recipient, or for
+the User Product in which it has been modified or installed. Access to a
+network may be denied when the modification itself materially and
+adversely affects the operation of the network or violates the rules and
+protocols for communication across the network.
+
+ Corresponding Source conveyed, and Installation Information provided,
+in accord with this section must be in a format that is publicly
+documented (and with an implementation available to the public in
+source code form), and must require no special password or key for
+unpacking, reading or copying.
+
+ 7. Additional Terms.
+
+ "Additional permissions" are terms that supplement the terms of this
+License by making exceptions from one or more of its conditions.
+Additional permissions that are applicable to the entire Program shall
+be treated as though they were included in this License, to the extent
+that they are valid under applicable law. If additional permissions
+apply only to part of the Program, that part may be used separately
+under those permissions, but the entire Program remains governed by
+this License without regard to the additional permissions.
+
+ When you convey a copy of a covered work, you may at your option
+remove any additional permissions from that copy, or from any part of
+it. (Additional permissions may be written to require their own
+removal in certain cases when you modify the work.) You may place
+additional permissions on material, added by you to a covered work,
+for which you have or can give appropriate copyright permission.
+
+ Notwithstanding any other provision of this License, for material you
+add to a covered work, you may (if authorized by the copyright holders of
+that material) supplement the terms of this License with terms:
+
+ a) Disclaiming warranty or limiting liability differently from the
+ terms of sections 15 and 16 of this License; or
+
+ b) Requiring preservation of specified reasonable legal notices or
+ author attributions in that material or in the Appropriate Legal
+ Notices displayed by works containing it; or
+
+ c) Prohibiting misrepresentation of the origin of that material, or
+ requiring that modified versions of such material be marked in
+ reasonable ways as different from the original version; or
+
+ d) Limiting the use for publicity purposes of names of licensors or
+ authors of the material; or
+
+ e) Declining to grant rights under trademark law for use of some
+ trade names, trademarks, or service marks; or
+
+ f) Requiring indemnification of licensors and authors of that
+ material by anyone who conveys the material (or modified versions of
+ it) with contractual assumptions of liability to the recipient, for
+ any liability that these contractual assumptions directly impose on
+ those licensors and authors.
+
+ All other non-permissive additional terms are considered "further
+restrictions" within the meaning of section 10. If the Program as you
+received it, or any part of it, contains a notice stating that it is
+governed by this License along with a term that is a further
+restriction, you may remove that term. If a license document contains
+a further restriction but permits relicensing or conveying under this
+License, you may add to a covered work material governed by the terms
+of that license document, provided that the further restriction does
+not survive such relicensing or conveying.
+
+ If you add terms to a covered work in accord with this section, you
+must place, in the relevant source files, a statement of the
+additional terms that apply to those files, or a notice indicating
+where to find the applicable terms.
+
+ Additional terms, permissive or non-permissive, may be stated in the
+form of a separately written license, or stated as exceptions;
+the above requirements apply either way.
+
+ 8. Termination.
+
+ You may not propagate or modify a covered work except as expressly
+provided under this License. Any attempt otherwise to propagate or
+modify it is void, and will automatically terminate your rights under
+this License (including any patent licenses granted under the third
+paragraph of section 11).
+
+ However, if you cease all violation of this License, then your
+license from a particular copyright holder is reinstated (a)
+provisionally, unless and until the copyright holder explicitly and
+finally terminates your license, and (b) permanently, if the copyright
+holder fails to notify you of the violation by some reasonable means
+prior to 60 days after the cessation.
+
+ Moreover, your license from a particular copyright holder is
+reinstated permanently if the copyright holder notifies you of the
+violation by some reasonable means, this is the first time you have
+received notice of violation of this License (for any work) from that
+copyright holder, and you cure the violation prior to 30 days after
+your receipt of the notice.
+
+ Termination of your rights under this section does not terminate the
+licenses of parties who have received copies or rights from you under
+this License. If your rights have been terminated and not permanently
+reinstated, you do not qualify to receive new licenses for the same
+material under section 10.
+
+ 9. Acceptance Not Required for Having Copies.
+
+ You are not required to accept this License in order to receive or
+run a copy of the Program. Ancillary propagation of a covered work
+occurring solely as a consequence of using peer-to-peer transmission
+to receive a copy likewise does not require acceptance. However,
+nothing other than this License grants you permission to propagate or
+modify any covered work. These actions infringe copyright if you do
+not accept this License. Therefore, by modifying or propagating a
+covered work, you indicate your acceptance of this License to do so.
+
+ 10. Automatic Licensing of Downstream Recipients.
+
+ Each time you convey a covered work, the recipient automatically
+receives a license from the original licensors, to run, modify and
+propagate that work, subject to this License. You are not responsible
+for enforcing compliance by third parties with this License.
+
+ An "entity transaction" is a transaction transferring control of an
+organization, or substantially all assets of one, or subdividing an
+organization, or merging organizations. If propagation of a covered
+work results from an entity transaction, each party to that
+transaction who receives a copy of the work also receives whatever
+licenses to the work the party's predecessor in interest had or could
+give under the previous paragraph, plus a right to possession of the
+Corresponding Source of the work from the predecessor in interest, if
+the predecessor has it or can get it with reasonable efforts.
+
+ You may not impose any further restrictions on the exercise of the
+rights granted or affirmed under this License. For example, you may
+not impose a license fee, royalty, or other charge for exercise of
+rights granted under this License, and you may not initiate litigation
+(including a cross-claim or counterclaim in a lawsuit) alleging that
+any patent claim is infringed by making, using, selling, offering for
+sale, or importing the Program or any portion of it.
+
+ 11. Patents.
+
+ A "contributor" is a copyright holder who authorizes use under this
+License of the Program or a work on which the Program is based. The
+work thus licensed is called the contributor's "contributor version".
+
+ A contributor's "essential patent claims" are all patent claims
+owned or controlled by the contributor, whether already acquired or
+hereafter acquired, that would be infringed by some manner, permitted
+by this License, of making, using, or selling its contributor version,
+but do not include claims that would be infringed only as a
+consequence of further modification of the contributor version. For
+purposes of this definition, "control" includes the right to grant
+patent sublicenses in a manner consistent with the requirements of
+this License.
+
+ Each contributor grants you a non-exclusive, worldwide, royalty-free
+patent license under the contributor's essential patent claims, to
+make, use, sell, offer for sale, import and otherwise run, modify and
+propagate the contents of its contributor version.
+
+ In the following three paragraphs, a "patent license" is any express
+agreement or commitment, however denominated, not to enforce a patent
+(such as an express permission to practice a patent or covenant not to
+sue for patent infringement). To "grant" such a patent license to a
+party means to make such an agreement or commitment not to enforce a
+patent against the party.
+
+ If you convey a covered work, knowingly relying on a patent license,
+and the Corresponding Source of the work is not available for anyone
+to copy, free of charge and under the terms of this License, through a
+publicly available network server or other readily accessible means,
+then you must either (1) cause the Corresponding Source to be so
+available, or (2) arrange to deprive yourself of the benefit of the
+patent license for this particular work, or (3) arrange, in a manner
+consistent with the requirements of this License, to extend the patent
+license to downstream recipients. "Knowingly relying" means you have
+actual knowledge that, but for the patent license, your conveying the
+covered work in a country, or your recipient's use of the covered work
+in a country, would infringe one or more identifiable patents in that
+country that you have reason to believe are valid.
+
+ If, pursuant to or in connection with a single transaction or
+arrangement, you convey, or propagate by procuring conveyance of, a
+covered work, and grant a patent license to some of the parties
+receiving the covered work authorizing them to use, propagate, modify
+or convey a specific copy of the covered work, then the patent license
+you grant is automatically extended to all recipients of the covered
+work and works based on it.
+
+ A patent license is "discriminatory" if it does not include within
+the scope of its coverage, prohibits the exercise of, or is
+conditioned on the non-exercise of one or more of the rights that are
+specifically granted under this License. You may not convey a covered
+work if you are a party to an arrangement with a third party that is
+in the business of distributing software, under which you make payment
+to the third party based on the extent of your activity of conveying
+the work, and under which the third party grants, to any of the
+parties who would receive the covered work from you, a discriminatory
+patent license (a) in connection with copies of the covered work
+conveyed by you (or copies made from those copies), or (b) primarily
+for and in connection with specific products or compilations that
+contain the covered work, unless you entered into that arrangement,
+or that patent license was granted, prior to 28 March 2007.
+
+ Nothing in this License shall be construed as excluding or limiting
+any implied license or other defenses to infringement that may
+otherwise be available to you under applicable patent law.
+
+ 12. No Surrender of Others' Freedom.
+
+ If conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot convey a
+covered work so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you may
+not convey it at all. For example, if you agree to terms that obligate you
+to collect a royalty for further conveying from those to whom you convey
+the Program, the only way you could satisfy both those terms and this
+License would be to refrain entirely from conveying the Program.
+
+ 13. Use with the GNU Affero General Public License.
+
+ Notwithstanding any other provision of this License, you have
+permission to link or combine any covered work with a work licensed
+under version 3 of the GNU Affero General Public License into a single
+combined work, and to convey the resulting work. The terms of this
+License will continue to apply to the part which is the covered work,
+but the special requirements of the GNU Affero General Public License,
+section 13, concerning interaction through a network will apply to the
+combination as such.
+
+ 14. Revised Versions of this License.
+
+ The Free Software Foundation may publish revised and/or new versions of
+the GNU General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+ Each version is given a distinguishing version number. If the
+Program specifies that a certain numbered version of the GNU General
+Public License "or any later version" applies to it, you have the
+option of following the terms and conditions either of that numbered
+version or of any later version published by the Free Software
+Foundation. If the Program does not specify a version number of the
+GNU General Public License, you may choose any version ever published
+by the Free Software Foundation.
+
+ If the Program specifies that a proxy can decide which future
+versions of the GNU General Public License can be used, that proxy's
+public statement of acceptance of a version permanently authorizes you
+to choose that version for the Program.
+
+ Later license versions may give you additional or different
+permissions. However, no additional obligations are imposed on any
+author or copyright holder as a result of your choosing to follow a
+later version.
+
+ 15. Disclaimer of Warranty.
+
+ THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
+APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
+HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
+OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
+THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
+IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
+ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
+
+ 16. Limitation of Liability.
+
+ IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
+THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
+GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
+USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
+DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
+PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
+EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
+SUCH DAMAGES.
+
+ 17. Interpretation of Sections 15 and 16.
+
+ If the disclaimer of warranty and limitation of liability provided
+above cannot be given local legal effect according to their terms,
+reviewing courts shall apply local law that most closely approximates
+an absolute waiver of all civil liability in connection with the
+Program, unless a warranty or assumption of liability accompanies a
+copy of the Program in return for a fee.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+state the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+
+ Copyright (C)
+
+ This program is free software: you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation, either version 3 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program. If not, see .
+
+Also add information on how to contact you by electronic and paper mail.
+
+ If the program does terminal interaction, make it output a short
+notice like this when it starts in an interactive mode:
+
+ Copyright (C)
+ This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, your program's commands
+might be different; for a GUI interface, you would use an "about box".
+
+ You should also get your employer (if you work as a programmer) or school,
+if any, to sign a "copyright disclaimer" for the program, if necessary.
+For more information on this, and how to apply and follow the GNU GPL, see
+ .
+
+ The GNU General Public License does not permit incorporating your program
+into proprietary programs. If your program is a subroutine library, you
+may consider it more useful to permit linking proprietary applications with
+the library. If this is what you want to do, use the GNU Lesser General
+Public License instead of this License. But first, please read
+.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp (revision 2)
@@ -0,0 +1,31 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp (revision 2)
@@ -0,0 +1,31 @@
+#include
+
+#include
+
+#include
+
+int main() {
+ std::string string = "";
+ sf::Image img;
+ img.SetSmooth(0);
+ if (!img.LoadFromFile("image.bmp")) {
+ return 0;
+ }
+ for (unsigned int i = 0 ; i < img.GetHeight() ; i++) {
+ for (unsigned int j = 0 ; j < img.GetWidth() ; j++) {
+ sf::Color c = img.GetPixel(j,i);
+ if (c == sf::Color::Black) {
+ string += "1,";
+ }
+ else {
+ string += "0,";
+ }
+ }
+ }
+ string.erase(string.end()-1);
+ std::ofstream f("out.txt");
+ if (f.is_open()) {
+ f << string;
+ f.close();
+ }
+}
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat (revision 2)
@@ -0,0 +1,2 @@
+LCD_ColorBitmap.exe
+pause
\ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout (revision 2)
@@ -0,0 +1,7 @@
+
+
+
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE (revision 2)
@@ -0,0 +1,674 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 3, 29 June 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc.
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The GNU General Public License is a free, copyleft license for
+software and other kinds of works.
+
+ The licenses for most software and other practical works are designed
+to take away your freedom to share and change the works. By contrast,
+the GNU General Public License is intended to guarantee your freedom to
+share and change all versions of a program--to make sure it remains free
+software for all its users. We, the Free Software Foundation, use the
+GNU General Public License for most of our software; it applies also to
+any other work released this way by its authors. You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+them if you wish), that you receive source code or can get it if you
+want it, that you can change the software or use pieces of it in new
+free programs, and that you know you can do these things.
+
+ To protect your rights, we need to prevent others from denying you
+these rights or asking you to surrender the rights. Therefore, you have
+certain responsibilities if you distribute copies of the software, or if
+you modify it: responsibilities to respect the freedom of others.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must pass on to the recipients the same
+freedoms that you received. You must make sure that they, too, receive
+or can get the source code. And you must show them these terms so they
+know their rights.
+
+ Developers that use the GNU GPL protect your rights with two steps:
+(1) assert copyright on the software, and (2) offer you this License
+giving you legal permission to copy, distribute and/or modify it.
+
+ For the developers' and authors' protection, the GPL clearly explains
+that there is no warranty for this free software. For both users' and
+authors' sake, the GPL requires that modified versions be marked as
+changed, so that their problems will not be attributed erroneously to
+authors of previous versions.
+
+ Some devices are designed to deny users access to install or run
+modified versions of the software inside them, although the manufacturer
+can do so. This is fundamentally incompatible with the aim of
+protecting users' freedom to change the software. The systematic
+pattern of such abuse occurs in the area of products for individuals to
+use, which is precisely where it is most unacceptable. Therefore, we
+have designed this version of the GPL to prohibit the practice for those
+products. If such problems arise substantially in other domains, we
+stand ready to extend this provision to those domains in future versions
+of the GPL, as needed to protect the freedom of users.
+
+ Finally, every program is threatened constantly by software patents.
+States should not allow patents to restrict development and use of
+software on general-purpose computers, but in those that do, we wish to
+avoid the special danger that patents applied to a free program could
+make it effectively proprietary. To prevent this, the GPL assures that
+patents cannot be used to render the program non-free.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ TERMS AND CONDITIONS
+
+ 0. Definitions.
+
+ "This License" refers to version 3 of the GNU General Public License.
+
+ "Copyright" also means copyright-like laws that apply to other kinds of
+works, such as semiconductor masks.
+
+ "The Program" refers to any copyrightable work licensed under this
+License. Each licensee is addressed as "you". "Licensees" and
+"recipients" may be individuals or organizations.
+
+ To "modify" a work means to copy from or adapt all or part of the work
+in a fashion requiring copyright permission, other than the making of an
+exact copy. The resulting work is called a "modified version" of the
+earlier work or a work "based on" the earlier work.
+
+ A "covered work" means either the unmodified Program or a work based
+on the Program.
+
+ To "propagate" a work means to do anything with it that, without
+permission, would make you directly or secondarily liable for
+infringement under applicable copyright law, except executing it on a
+computer or modifying a private copy. Propagation includes copying,
+distribution (with or without modification), making available to the
+public, and in some countries other activities as well.
+
+ To "convey" a work means any kind of propagation that enables other
+parties to make or receive copies. Mere interaction with a user through
+a computer network, with no transfer of a copy, is not conveying.
+
+ An interactive user interface displays "Appropriate Legal Notices"
+to the extent that it includes a convenient and prominently visible
+feature that (1) displays an appropriate copyright notice, and (2)
+tells the user that there is no warranty for the work (except to the
+extent that warranties are provided), that licensees may convey the
+work under this License, and how to view a copy of this License. If
+the interface presents a list of user commands or options, such as a
+menu, a prominent item in the list meets this criterion.
+
+ 1. Source Code.
+
+ The "source code" for a work means the preferred form of the work
+for making modifications to it. "Object code" means any non-source
+form of a work.
+
+ A "Standard Interface" means an interface that either is an official
+standard defined by a recognized standards body, or, in the case of
+interfaces specified for a particular programming language, one that
+is widely used among developers working in that language.
+
+ The "System Libraries" of an executable work include anything, other
+than the work as a whole, that (a) is included in the normal form of
+packaging a Major Component, but which is not part of that Major
+Component, and (b) serves only to enable use of the work with that
+Major Component, or to implement a Standard Interface for which an
+implementation is available to the public in source code form. A
+"Major Component", in this context, means a major essential component
+(kernel, window system, and so on) of the specific operating system
+(if any) on which the executable work runs, or a compiler used to
+produce the work, or an object code interpreter used to run it.
+
+ The "Corresponding Source" for a work in object code form means all
+the source code needed to generate, install, and (for an executable
+work) run the object code and to modify the work, including scripts to
+control those activities. However, it does not include the work's
+System Libraries, or general-purpose tools or generally available free
+programs which are used unmodified in performing those activities but
+which are not part of the work. For example, Corresponding Source
+includes interface definition files associated with source files for
+the work, and the source code for shared libraries and dynamically
+linked subprograms that the work is specifically designed to require,
+such as by intimate data communication or control flow between those
+subprograms and other parts of the work.
+
+ The Corresponding Source need not include anything that users
+can regenerate automatically from other parts of the Corresponding
+Source.
+
+ The Corresponding Source for a work in source code form is that
+same work.
+
+ 2. Basic Permissions.
+
+ All rights granted under this License are granted for the term of
+copyright on the Program, and are irrevocable provided the stated
+conditions are met. This License explicitly affirms your unlimited
+permission to run the unmodified Program. The output from running a
+covered work is covered by this License only if the output, given its
+content, constitutes a covered work. This License acknowledges your
+rights of fair use or other equivalent, as provided by copyright law.
+
+ You may make, run and propagate covered works that you do not
+convey, without conditions so long as your license otherwise remains
+in force. You may convey covered works to others for the sole purpose
+of having them make modifications exclusively for you, or provide you
+with facilities for running those works, provided that you comply with
+the terms of this License in conveying all material for which you do
+not control copyright. Those thus making or running the covered works
+for you must do so exclusively on your behalf, under your direction
+and control, on terms that prohibit them from making any copies of
+your copyrighted material outside their relationship with you.
+
+ Conveying under any other circumstances is permitted solely under
+the conditions stated below. Sublicensing is not allowed; section 10
+makes it unnecessary.
+
+ 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
+
+ No covered work shall be deemed part of an effective technological
+measure under any applicable law fulfilling obligations under article
+11 of the WIPO copyright treaty adopted on 20 December 1996, or
+similar laws prohibiting or restricting circumvention of such
+measures.
+
+ When you convey a covered work, you waive any legal power to forbid
+circumvention of technological measures to the extent such circumvention
+is effected by exercising rights under this License with respect to
+the covered work, and you disclaim any intention to limit operation or
+modification of the work as a means of enforcing, against the work's
+users, your or third parties' legal rights to forbid circumvention of
+technological measures.
+
+ 4. Conveying Verbatim Copies.
+
+ You may convey verbatim copies of the Program's source code as you
+receive it, in any medium, provided that you conspicuously and
+appropriately publish on each copy an appropriate copyright notice;
+keep intact all notices stating that this License and any
+non-permissive terms added in accord with section 7 apply to the code;
+keep intact all notices of the absence of any warranty; and give all
+recipients a copy of this License along with the Program.
+
+ You may charge any price or no price for each copy that you convey,
+and you may offer support or warranty protection for a fee.
+
+ 5. Conveying Modified Source Versions.
+
+ You may convey a work based on the Program, or the modifications to
+produce it from the Program, in the form of source code under the
+terms of section 4, provided that you also meet all of these conditions:
+
+ a) The work must carry prominent notices stating that you modified
+ it, and giving a relevant date.
+
+ b) The work must carry prominent notices stating that it is
+ released under this License and any conditions added under section
+ 7. This requirement modifies the requirement in section 4 to
+ "keep intact all notices".
+
+ c) You must license the entire work, as a whole, under this
+ License to anyone who comes into possession of a copy. This
+ License will therefore apply, along with any applicable section 7
+ additional terms, to the whole of the work, and all its parts,
+ regardless of how they are packaged. This License gives no
+ permission to license the work in any other way, but it does not
+ invalidate such permission if you have separately received it.
+
+ d) If the work has interactive user interfaces, each must display
+ Appropriate Legal Notices; however, if the Program has interactive
+ interfaces that do not display Appropriate Legal Notices, your
+ work need not make them do so.
+
+ A compilation of a covered work with other separate and independent
+works, which are not by their nature extensions of the covered work,
+and which are not combined with it such as to form a larger program,
+in or on a volume of a storage or distribution medium, is called an
+"aggregate" if the compilation and its resulting copyright are not
+used to limit the access or legal rights of the compilation's users
+beyond what the individual works permit. Inclusion of a covered work
+in an aggregate does not cause this License to apply to the other
+parts of the aggregate.
+
+ 6. Conveying Non-Source Forms.
+
+ You may convey a covered work in object code form under the terms
+of sections 4 and 5, provided that you also convey the
+machine-readable Corresponding Source under the terms of this License,
+in one of these ways:
+
+ a) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by the
+ Corresponding Source fixed on a durable physical medium
+ customarily used for software interchange.
+
+ b) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by a
+ written offer, valid for at least three years and valid for as
+ long as you offer spare parts or customer support for that product
+ model, to give anyone who possesses the object code either (1) a
+ copy of the Corresponding Source for all the software in the
+ product that is covered by this License, on a durable physical
+ medium customarily used for software interchange, for a price no
+ more than your reasonable cost of physically performing this
+ conveying of source, or (2) access to copy the
+ Corresponding Source from a network server at no charge.
+
+ c) Convey individual copies of the object code with a copy of the
+ written offer to provide the Corresponding Source. This
+ alternative is allowed only occasionally and noncommercially, and
+ only if you received the object code with such an offer, in accord
+ with subsection 6b.
+
+ d) Convey the object code by offering access from a designated
+ place (gratis or for a charge), and offer equivalent access to the
+ Corresponding Source in the same way through the same place at no
+ further charge. You need not require recipients to copy the
+ Corresponding Source along with the object code. If the place to
+ copy the object code is a network server, the Corresponding Source
+ may be on a different server (operated by you or a third party)
+ that supports equivalent copying facilities, provided you maintain
+ clear directions next to the object code saying where to find the
+ Corresponding Source. Regardless of what server hosts the
+ Corresponding Source, you remain obligated to ensure that it is
+ available for as long as needed to satisfy these requirements.
+
+ e) Convey the object code using peer-to-peer transmission, provided
+ you inform other peers where the object code and Corresponding
+ Source of the work are being offered to the general public at no
+ charge under subsection 6d.
+
+ A separable portion of the object code, whose source code is excluded
+from the Corresponding Source as a System Library, need not be
+included in conveying the object code work.
+
+ A "User Product" is either (1) a "consumer product", which means any
+tangible personal property which is normally used for personal, family,
+or household purposes, or (2) anything designed or sold for incorporation
+into a dwelling. In determining whether a product is a consumer product,
+doubtful cases shall be resolved in favor of coverage. For a particular
+product received by a particular user, "normally used" refers to a
+typical or common use of that class of product, regardless of the status
+of the particular user or of the way in which the particular user
+actually uses, or expects or is expected to use, the product. A product
+is a consumer product regardless of whether the product has substantial
+commercial, industrial or non-consumer uses, unless such uses represent
+the only significant mode of use of the product.
+
+ "Installation Information" for a User Product means any methods,
+procedures, authorization keys, or other information required to install
+and execute modified versions of a covered work in that User Product from
+a modified version of its Corresponding Source. The information must
+suffice to ensure that the continued functioning of the modified object
+code is in no case prevented or interfered with solely because
+modification has been made.
+
+ If you convey an object code work under this section in, or with, or
+specifically for use in, a User Product, and the conveying occurs as
+part of a transaction in which the right of possession and use of the
+User Product is transferred to the recipient in perpetuity or for a
+fixed term (regardless of how the transaction is characterized), the
+Corresponding Source conveyed under this section must be accompanied
+by the Installation Information. But this requirement does not apply
+if neither you nor any third party retains the ability to install
+modified object code on the User Product (for example, the work has
+been installed in ROM).
+
+ The requirement to provide Installation Information does not include a
+requirement to continue to provide support service, warranty, or updates
+for a work that has been modified or installed by the recipient, or for
+the User Product in which it has been modified or installed. Access to a
+network may be denied when the modification itself materially and
+adversely affects the operation of the network or violates the rules and
+protocols for communication across the network.
+
+ Corresponding Source conveyed, and Installation Information provided,
+in accord with this section must be in a format that is publicly
+documented (and with an implementation available to the public in
+source code form), and must require no special password or key for
+unpacking, reading or copying.
+
+ 7. Additional Terms.
+
+ "Additional permissions" are terms that supplement the terms of this
+License by making exceptions from one or more of its conditions.
+Additional permissions that are applicable to the entire Program shall
+be treated as though they were included in this License, to the extent
+that they are valid under applicable law. If additional permissions
+apply only to part of the Program, that part may be used separately
+under those permissions, but the entire Program remains governed by
+this License without regard to the additional permissions.
+
+ When you convey a copy of a covered work, you may at your option
+remove any additional permissions from that copy, or from any part of
+it. (Additional permissions may be written to require their own
+removal in certain cases when you modify the work.) You may place
+additional permissions on material, added by you to a covered work,
+for which you have or can give appropriate copyright permission.
+
+ Notwithstanding any other provision of this License, for material you
+add to a covered work, you may (if authorized by the copyright holders of
+that material) supplement the terms of this License with terms:
+
+ a) Disclaiming warranty or limiting liability differently from the
+ terms of sections 15 and 16 of this License; or
+
+ b) Requiring preservation of specified reasonable legal notices or
+ author attributions in that material or in the Appropriate Legal
+ Notices displayed by works containing it; or
+
+ c) Prohibiting misrepresentation of the origin of that material, or
+ requiring that modified versions of such material be marked in
+ reasonable ways as different from the original version; or
+
+ d) Limiting the use for publicity purposes of names of licensors or
+ authors of the material; or
+
+ e) Declining to grant rights under trademark law for use of some
+ trade names, trademarks, or service marks; or
+
+ f) Requiring indemnification of licensors and authors of that
+ material by anyone who conveys the material (or modified versions of
+ it) with contractual assumptions of liability to the recipient, for
+ any liability that these contractual assumptions directly impose on
+ those licensors and authors.
+
+ All other non-permissive additional terms are considered "further
+restrictions" within the meaning of section 10. If the Program as you
+received it, or any part of it, contains a notice stating that it is
+governed by this License along with a term that is a further
+restriction, you may remove that term. If a license document contains
+a further restriction but permits relicensing or conveying under this
+License, you may add to a covered work material governed by the terms
+of that license document, provided that the further restriction does
+not survive such relicensing or conveying.
+
+ If you add terms to a covered work in accord with this section, you
+must place, in the relevant source files, a statement of the
+additional terms that apply to those files, or a notice indicating
+where to find the applicable terms.
+
+ Additional terms, permissive or non-permissive, may be stated in the
+form of a separately written license, or stated as exceptions;
+the above requirements apply either way.
+
+ 8. Termination.
+
+ You may not propagate or modify a covered work except as expressly
+provided under this License. Any attempt otherwise to propagate or
+modify it is void, and will automatically terminate your rights under
+this License (including any patent licenses granted under the third
+paragraph of section 11).
+
+ However, if you cease all violation of this License, then your
+license from a particular copyright holder is reinstated (a)
+provisionally, unless and until the copyright holder explicitly and
+finally terminates your license, and (b) permanently, if the copyright
+holder fails to notify you of the violation by some reasonable means
+prior to 60 days after the cessation.
+
+ Moreover, your license from a particular copyright holder is
+reinstated permanently if the copyright holder notifies you of the
+violation by some reasonable means, this is the first time you have
+received notice of violation of this License (for any work) from that
+copyright holder, and you cure the violation prior to 30 days after
+your receipt of the notice.
+
+ Termination of your rights under this section does not terminate the
+licenses of parties who have received copies or rights from you under
+this License. If your rights have been terminated and not permanently
+reinstated, you do not qualify to receive new licenses for the same
+material under section 10.
+
+ 9. Acceptance Not Required for Having Copies.
+
+ You are not required to accept this License in order to receive or
+run a copy of the Program. Ancillary propagation of a covered work
+occurring solely as a consequence of using peer-to-peer transmission
+to receive a copy likewise does not require acceptance. However,
+nothing other than this License grants you permission to propagate or
+modify any covered work. These actions infringe copyright if you do
+not accept this License. Therefore, by modifying or propagating a
+covered work, you indicate your acceptance of this License to do so.
+
+ 10. Automatic Licensing of Downstream Recipients.
+
+ Each time you convey a covered work, the recipient automatically
+receives a license from the original licensors, to run, modify and
+propagate that work, subject to this License. You are not responsible
+for enforcing compliance by third parties with this License.
+
+ An "entity transaction" is a transaction transferring control of an
+organization, or substantially all assets of one, or subdividing an
+organization, or merging organizations. If propagation of a covered
+work results from an entity transaction, each party to that
+transaction who receives a copy of the work also receives whatever
+licenses to the work the party's predecessor in interest had or could
+give under the previous paragraph, plus a right to possession of the
+Corresponding Source of the work from the predecessor in interest, if
+the predecessor has it or can get it with reasonable efforts.
+
+ You may not impose any further restrictions on the exercise of the
+rights granted or affirmed under this License. For example, you may
+not impose a license fee, royalty, or other charge for exercise of
+rights granted under this License, and you may not initiate litigation
+(including a cross-claim or counterclaim in a lawsuit) alleging that
+any patent claim is infringed by making, using, selling, offering for
+sale, or importing the Program or any portion of it.
+
+ 11. Patents.
+
+ A "contributor" is a copyright holder who authorizes use under this
+License of the Program or a work on which the Program is based. The
+work thus licensed is called the contributor's "contributor version".
+
+ A contributor's "essential patent claims" are all patent claims
+owned or controlled by the contributor, whether already acquired or
+hereafter acquired, that would be infringed by some manner, permitted
+by this License, of making, using, or selling its contributor version,
+but do not include claims that would be infringed only as a
+consequence of further modification of the contributor version. For
+purposes of this definition, "control" includes the right to grant
+patent sublicenses in a manner consistent with the requirements of
+this License.
+
+ Each contributor grants you a non-exclusive, worldwide, royalty-free
+patent license under the contributor's essential patent claims, to
+make, use, sell, offer for sale, import and otherwise run, modify and
+propagate the contents of its contributor version.
+
+ In the following three paragraphs, a "patent license" is any express
+agreement or commitment, however denominated, not to enforce a patent
+(such as an express permission to practice a patent or covenant not to
+sue for patent infringement). To "grant" such a patent license to a
+party means to make such an agreement or commitment not to enforce a
+patent against the party.
+
+ If you convey a covered work, knowingly relying on a patent license,
+and the Corresponding Source of the work is not available for anyone
+to copy, free of charge and under the terms of this License, through a
+publicly available network server or other readily accessible means,
+then you must either (1) cause the Corresponding Source to be so
+available, or (2) arrange to deprive yourself of the benefit of the
+patent license for this particular work, or (3) arrange, in a manner
+consistent with the requirements of this License, to extend the patent
+license to downstream recipients. "Knowingly relying" means you have
+actual knowledge that, but for the patent license, your conveying the
+covered work in a country, or your recipient's use of the covered work
+in a country, would infringe one or more identifiable patents in that
+country that you have reason to believe are valid.
+
+ If, pursuant to or in connection with a single transaction or
+arrangement, you convey, or propagate by procuring conveyance of, a
+covered work, and grant a patent license to some of the parties
+receiving the covered work authorizing them to use, propagate, modify
+or convey a specific copy of the covered work, then the patent license
+you grant is automatically extended to all recipients of the covered
+work and works based on it.
+
+ A patent license is "discriminatory" if it does not include within
+the scope of its coverage, prohibits the exercise of, or is
+conditioned on the non-exercise of one or more of the rights that are
+specifically granted under this License. You may not convey a covered
+work if you are a party to an arrangement with a third party that is
+in the business of distributing software, under which you make payment
+to the third party based on the extent of your activity of conveying
+the work, and under which the third party grants, to any of the
+parties who would receive the covered work from you, a discriminatory
+patent license (a) in connection with copies of the covered work
+conveyed by you (or copies made from those copies), or (b) primarily
+for and in connection with specific products or compilations that
+contain the covered work, unless you entered into that arrangement,
+or that patent license was granted, prior to 28 March 2007.
+
+ Nothing in this License shall be construed as excluding or limiting
+any implied license or other defenses to infringement that may
+otherwise be available to you under applicable patent law.
+
+ 12. No Surrender of Others' Freedom.
+
+ If conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot convey a
+covered work so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you may
+not convey it at all. For example, if you agree to terms that obligate you
+to collect a royalty for further conveying from those to whom you convey
+the Program, the only way you could satisfy both those terms and this
+License would be to refrain entirely from conveying the Program.
+
+ 13. Use with the GNU Affero General Public License.
+
+ Notwithstanding any other provision of this License, you have
+permission to link or combine any covered work with a work licensed
+under version 3 of the GNU Affero General Public License into a single
+combined work, and to convey the resulting work. The terms of this
+License will continue to apply to the part which is the covered work,
+but the special requirements of the GNU Affero General Public License,
+section 13, concerning interaction through a network will apply to the
+combination as such.
+
+ 14. Revised Versions of this License.
+
+ The Free Software Foundation may publish revised and/or new versions of
+the GNU General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+ Each version is given a distinguishing version number. If the
+Program specifies that a certain numbered version of the GNU General
+Public License "or any later version" applies to it, you have the
+option of following the terms and conditions either of that numbered
+version or of any later version published by the Free Software
+Foundation. If the Program does not specify a version number of the
+GNU General Public License, you may choose any version ever published
+by the Free Software Foundation.
+
+ If the Program specifies that a proxy can decide which future
+versions of the GNU General Public License can be used, that proxy's
+public statement of acceptance of a version permanently authorizes you
+to choose that version for the Program.
+
+ Later license versions may give you additional or different
+permissions. However, no additional obligations are imposed on any
+author or copyright holder as a result of your choosing to follow a
+later version.
+
+ 15. Disclaimer of Warranty.
+
+ THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
+APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
+HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
+OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
+THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
+IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
+ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
+
+ 16. Limitation of Liability.
+
+ IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
+THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
+GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
+USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
+DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
+PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
+EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
+SUCH DAMAGES.
+
+ 17. Interpretation of Sections 15 and 16.
+
+ If the disclaimer of warranty and limitation of liability provided
+above cannot be given local legal effect according to their terms,
+reviewing courts shall apply local law that most closely approximates
+an absolute waiver of all civil liability in connection with the
+Program, unless a warranty or assumption of liability accompanies a
+copy of the Program in return for a fee.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+state the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+
+ Copyright (C)
+
+ This program is free software: you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation, either version 3 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program. If not, see .
+
+Also add information on how to contact you by electronic and paper mail.
+
+ If the program does terminal interaction, make it output a short
+notice like this when it starts in an interactive mode:
+
+ Copyright (C)
+ This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, your program's commands
+might be different; for a GUI interface, you would use an "about box".
+
+ You should also get your employer (if you work as a programmer) or school,
+if any, to sign a "copyright disclaimer" for the program, if necessary.
+For more information on this, and how to apply and follow the GNU GPL, see
+ .
+
+ The GNU General Public License does not permit incorporating your program
+into proprietary programs. If your program is a subroutine library, you
+may consider it more useful to permit linking proprietary applications with
+the library. If this is what you want to do, use the GNU Lesser General
+Public License instead of this License. But first, please read
+.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt (revision 2)
@@ -0,0 +1,674 @@
+ GNU GENERAL PUBLIC LICENSE
+ Version 3, 29 June 2007
+
+ Copyright (C) 2007 Free Software Foundation, Inc.
+ Everyone is permitted to copy and distribute verbatim copies
+ of this license document, but changing it is not allowed.
+
+ Preamble
+
+ The GNU General Public License is a free, copyleft license for
+software and other kinds of works.
+
+ The licenses for most software and other practical works are designed
+to take away your freedom to share and change the works. By contrast,
+the GNU General Public License is intended to guarantee your freedom to
+share and change all versions of a program--to make sure it remains free
+software for all its users. We, the Free Software Foundation, use the
+GNU General Public License for most of our software; it applies also to
+any other work released this way by its authors. You can apply it to
+your programs, too.
+
+ When we speak of free software, we are referring to freedom, not
+price. Our General Public Licenses are designed to make sure that you
+have the freedom to distribute copies of free software (and charge for
+them if you wish), that you receive source code or can get it if you
+want it, that you can change the software or use pieces of it in new
+free programs, and that you know you can do these things.
+
+ To protect your rights, we need to prevent others from denying you
+these rights or asking you to surrender the rights. Therefore, you have
+certain responsibilities if you distribute copies of the software, or if
+you modify it: responsibilities to respect the freedom of others.
+
+ For example, if you distribute copies of such a program, whether
+gratis or for a fee, you must pass on to the recipients the same
+freedoms that you received. You must make sure that they, too, receive
+or can get the source code. And you must show them these terms so they
+know their rights.
+
+ Developers that use the GNU GPL protect your rights with two steps:
+(1) assert copyright on the software, and (2) offer you this License
+giving you legal permission to copy, distribute and/or modify it.
+
+ For the developers' and authors' protection, the GPL clearly explains
+that there is no warranty for this free software. For both users' and
+authors' sake, the GPL requires that modified versions be marked as
+changed, so that their problems will not be attributed erroneously to
+authors of previous versions.
+
+ Some devices are designed to deny users access to install or run
+modified versions of the software inside them, although the manufacturer
+can do so. This is fundamentally incompatible with the aim of
+protecting users' freedom to change the software. The systematic
+pattern of such abuse occurs in the area of products for individuals to
+use, which is precisely where it is most unacceptable. Therefore, we
+have designed this version of the GPL to prohibit the practice for those
+products. If such problems arise substantially in other domains, we
+stand ready to extend this provision to those domains in future versions
+of the GPL, as needed to protect the freedom of users.
+
+ Finally, every program is threatened constantly by software patents.
+States should not allow patents to restrict development and use of
+software on general-purpose computers, but in those that do, we wish to
+avoid the special danger that patents applied to a free program could
+make it effectively proprietary. To prevent this, the GPL assures that
+patents cannot be used to render the program non-free.
+
+ The precise terms and conditions for copying, distribution and
+modification follow.
+
+ TERMS AND CONDITIONS
+
+ 0. Definitions.
+
+ "This License" refers to version 3 of the GNU General Public License.
+
+ "Copyright" also means copyright-like laws that apply to other kinds of
+works, such as semiconductor masks.
+
+ "The Program" refers to any copyrightable work licensed under this
+License. Each licensee is addressed as "you". "Licensees" and
+"recipients" may be individuals or organizations.
+
+ To "modify" a work means to copy from or adapt all or part of the work
+in a fashion requiring copyright permission, other than the making of an
+exact copy. The resulting work is called a "modified version" of the
+earlier work or a work "based on" the earlier work.
+
+ A "covered work" means either the unmodified Program or a work based
+on the Program.
+
+ To "propagate" a work means to do anything with it that, without
+permission, would make you directly or secondarily liable for
+infringement under applicable copyright law, except executing it on a
+computer or modifying a private copy. Propagation includes copying,
+distribution (with or without modification), making available to the
+public, and in some countries other activities as well.
+
+ To "convey" a work means any kind of propagation that enables other
+parties to make or receive copies. Mere interaction with a user through
+a computer network, with no transfer of a copy, is not conveying.
+
+ An interactive user interface displays "Appropriate Legal Notices"
+to the extent that it includes a convenient and prominently visible
+feature that (1) displays an appropriate copyright notice, and (2)
+tells the user that there is no warranty for the work (except to the
+extent that warranties are provided), that licensees may convey the
+work under this License, and how to view a copy of this License. If
+the interface presents a list of user commands or options, such as a
+menu, a prominent item in the list meets this criterion.
+
+ 1. Source Code.
+
+ The "source code" for a work means the preferred form of the work
+for making modifications to it. "Object code" means any non-source
+form of a work.
+
+ A "Standard Interface" means an interface that either is an official
+standard defined by a recognized standards body, or, in the case of
+interfaces specified for a particular programming language, one that
+is widely used among developers working in that language.
+
+ The "System Libraries" of an executable work include anything, other
+than the work as a whole, that (a) is included in the normal form of
+packaging a Major Component, but which is not part of that Major
+Component, and (b) serves only to enable use of the work with that
+Major Component, or to implement a Standard Interface for which an
+implementation is available to the public in source code form. A
+"Major Component", in this context, means a major essential component
+(kernel, window system, and so on) of the specific operating system
+(if any) on which the executable work runs, or a compiler used to
+produce the work, or an object code interpreter used to run it.
+
+ The "Corresponding Source" for a work in object code form means all
+the source code needed to generate, install, and (for an executable
+work) run the object code and to modify the work, including scripts to
+control those activities. However, it does not include the work's
+System Libraries, or general-purpose tools or generally available free
+programs which are used unmodified in performing those activities but
+which are not part of the work. For example, Corresponding Source
+includes interface definition files associated with source files for
+the work, and the source code for shared libraries and dynamically
+linked subprograms that the work is specifically designed to require,
+such as by intimate data communication or control flow between those
+subprograms and other parts of the work.
+
+ The Corresponding Source need not include anything that users
+can regenerate automatically from other parts of the Corresponding
+Source.
+
+ The Corresponding Source for a work in source code form is that
+same work.
+
+ 2. Basic Permissions.
+
+ All rights granted under this License are granted for the term of
+copyright on the Program, and are irrevocable provided the stated
+conditions are met. This License explicitly affirms your unlimited
+permission to run the unmodified Program. The output from running a
+covered work is covered by this License only if the output, given its
+content, constitutes a covered work. This License acknowledges your
+rights of fair use or other equivalent, as provided by copyright law.
+
+ You may make, run and propagate covered works that you do not
+convey, without conditions so long as your license otherwise remains
+in force. You may convey covered works to others for the sole purpose
+of having them make modifications exclusively for you, or provide you
+with facilities for running those works, provided that you comply with
+the terms of this License in conveying all material for which you do
+not control copyright. Those thus making or running the covered works
+for you must do so exclusively on your behalf, under your direction
+and control, on terms that prohibit them from making any copies of
+your copyrighted material outside their relationship with you.
+
+ Conveying under any other circumstances is permitted solely under
+the conditions stated below. Sublicensing is not allowed; section 10
+makes it unnecessary.
+
+ 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
+
+ No covered work shall be deemed part of an effective technological
+measure under any applicable law fulfilling obligations under article
+11 of the WIPO copyright treaty adopted on 20 December 1996, or
+similar laws prohibiting or restricting circumvention of such
+measures.
+
+ When you convey a covered work, you waive any legal power to forbid
+circumvention of technological measures to the extent such circumvention
+is effected by exercising rights under this License with respect to
+the covered work, and you disclaim any intention to limit operation or
+modification of the work as a means of enforcing, against the work's
+users, your or third parties' legal rights to forbid circumvention of
+technological measures.
+
+ 4. Conveying Verbatim Copies.
+
+ You may convey verbatim copies of the Program's source code as you
+receive it, in any medium, provided that you conspicuously and
+appropriately publish on each copy an appropriate copyright notice;
+keep intact all notices stating that this License and any
+non-permissive terms added in accord with section 7 apply to the code;
+keep intact all notices of the absence of any warranty; and give all
+recipients a copy of this License along with the Program.
+
+ You may charge any price or no price for each copy that you convey,
+and you may offer support or warranty protection for a fee.
+
+ 5. Conveying Modified Source Versions.
+
+ You may convey a work based on the Program, or the modifications to
+produce it from the Program, in the form of source code under the
+terms of section 4, provided that you also meet all of these conditions:
+
+ a) The work must carry prominent notices stating that you modified
+ it, and giving a relevant date.
+
+ b) The work must carry prominent notices stating that it is
+ released under this License and any conditions added under section
+ 7. This requirement modifies the requirement in section 4 to
+ "keep intact all notices".
+
+ c) You must license the entire work, as a whole, under this
+ License to anyone who comes into possession of a copy. This
+ License will therefore apply, along with any applicable section 7
+ additional terms, to the whole of the work, and all its parts,
+ regardless of how they are packaged. This License gives no
+ permission to license the work in any other way, but it does not
+ invalidate such permission if you have separately received it.
+
+ d) If the work has interactive user interfaces, each must display
+ Appropriate Legal Notices; however, if the Program has interactive
+ interfaces that do not display Appropriate Legal Notices, your
+ work need not make them do so.
+
+ A compilation of a covered work with other separate and independent
+works, which are not by their nature extensions of the covered work,
+and which are not combined with it such as to form a larger program,
+in or on a volume of a storage or distribution medium, is called an
+"aggregate" if the compilation and its resulting copyright are not
+used to limit the access or legal rights of the compilation's users
+beyond what the individual works permit. Inclusion of a covered work
+in an aggregate does not cause this License to apply to the other
+parts of the aggregate.
+
+ 6. Conveying Non-Source Forms.
+
+ You may convey a covered work in object code form under the terms
+of sections 4 and 5, provided that you also convey the
+machine-readable Corresponding Source under the terms of this License,
+in one of these ways:
+
+ a) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by the
+ Corresponding Source fixed on a durable physical medium
+ customarily used for software interchange.
+
+ b) Convey the object code in, or embodied in, a physical product
+ (including a physical distribution medium), accompanied by a
+ written offer, valid for at least three years and valid for as
+ long as you offer spare parts or customer support for that product
+ model, to give anyone who possesses the object code either (1) a
+ copy of the Corresponding Source for all the software in the
+ product that is covered by this License, on a durable physical
+ medium customarily used for software interchange, for a price no
+ more than your reasonable cost of physically performing this
+ conveying of source, or (2) access to copy the
+ Corresponding Source from a network server at no charge.
+
+ c) Convey individual copies of the object code with a copy of the
+ written offer to provide the Corresponding Source. This
+ alternative is allowed only occasionally and noncommercially, and
+ only if you received the object code with such an offer, in accord
+ with subsection 6b.
+
+ d) Convey the object code by offering access from a designated
+ place (gratis or for a charge), and offer equivalent access to the
+ Corresponding Source in the same way through the same place at no
+ further charge. You need not require recipients to copy the
+ Corresponding Source along with the object code. If the place to
+ copy the object code is a network server, the Corresponding Source
+ may be on a different server (operated by you or a third party)
+ that supports equivalent copying facilities, provided you maintain
+ clear directions next to the object code saying where to find the
+ Corresponding Source. Regardless of what server hosts the
+ Corresponding Source, you remain obligated to ensure that it is
+ available for as long as needed to satisfy these requirements.
+
+ e) Convey the object code using peer-to-peer transmission, provided
+ you inform other peers where the object code and Corresponding
+ Source of the work are being offered to the general public at no
+ charge under subsection 6d.
+
+ A separable portion of the object code, whose source code is excluded
+from the Corresponding Source as a System Library, need not be
+included in conveying the object code work.
+
+ A "User Product" is either (1) a "consumer product", which means any
+tangible personal property which is normally used for personal, family,
+or household purposes, or (2) anything designed or sold for incorporation
+into a dwelling. In determining whether a product is a consumer product,
+doubtful cases shall be resolved in favor of coverage. For a particular
+product received by a particular user, "normally used" refers to a
+typical or common use of that class of product, regardless of the status
+of the particular user or of the way in which the particular user
+actually uses, or expects or is expected to use, the product. A product
+is a consumer product regardless of whether the product has substantial
+commercial, industrial or non-consumer uses, unless such uses represent
+the only significant mode of use of the product.
+
+ "Installation Information" for a User Product means any methods,
+procedures, authorization keys, or other information required to install
+and execute modified versions of a covered work in that User Product from
+a modified version of its Corresponding Source. The information must
+suffice to ensure that the continued functioning of the modified object
+code is in no case prevented or interfered with solely because
+modification has been made.
+
+ If you convey an object code work under this section in, or with, or
+specifically for use in, a User Product, and the conveying occurs as
+part of a transaction in which the right of possession and use of the
+User Product is transferred to the recipient in perpetuity or for a
+fixed term (regardless of how the transaction is characterized), the
+Corresponding Source conveyed under this section must be accompanied
+by the Installation Information. But this requirement does not apply
+if neither you nor any third party retains the ability to install
+modified object code on the User Product (for example, the work has
+been installed in ROM).
+
+ The requirement to provide Installation Information does not include a
+requirement to continue to provide support service, warranty, or updates
+for a work that has been modified or installed by the recipient, or for
+the User Product in which it has been modified or installed. Access to a
+network may be denied when the modification itself materially and
+adversely affects the operation of the network or violates the rules and
+protocols for communication across the network.
+
+ Corresponding Source conveyed, and Installation Information provided,
+in accord with this section must be in a format that is publicly
+documented (and with an implementation available to the public in
+source code form), and must require no special password or key for
+unpacking, reading or copying.
+
+ 7. Additional Terms.
+
+ "Additional permissions" are terms that supplement the terms of this
+License by making exceptions from one or more of its conditions.
+Additional permissions that are applicable to the entire Program shall
+be treated as though they were included in this License, to the extent
+that they are valid under applicable law. If additional permissions
+apply only to part of the Program, that part may be used separately
+under those permissions, but the entire Program remains governed by
+this License without regard to the additional permissions.
+
+ When you convey a copy of a covered work, you may at your option
+remove any additional permissions from that copy, or from any part of
+it. (Additional permissions may be written to require their own
+removal in certain cases when you modify the work.) You may place
+additional permissions on material, added by you to a covered work,
+for which you have or can give appropriate copyright permission.
+
+ Notwithstanding any other provision of this License, for material you
+add to a covered work, you may (if authorized by the copyright holders of
+that material) supplement the terms of this License with terms:
+
+ a) Disclaiming warranty or limiting liability differently from the
+ terms of sections 15 and 16 of this License; or
+
+ b) Requiring preservation of specified reasonable legal notices or
+ author attributions in that material or in the Appropriate Legal
+ Notices displayed by works containing it; or
+
+ c) Prohibiting misrepresentation of the origin of that material, or
+ requiring that modified versions of such material be marked in
+ reasonable ways as different from the original version; or
+
+ d) Limiting the use for publicity purposes of names of licensors or
+ authors of the material; or
+
+ e) Declining to grant rights under trademark law for use of some
+ trade names, trademarks, or service marks; or
+
+ f) Requiring indemnification of licensors and authors of that
+ material by anyone who conveys the material (or modified versions of
+ it) with contractual assumptions of liability to the recipient, for
+ any liability that these contractual assumptions directly impose on
+ those licensors and authors.
+
+ All other non-permissive additional terms are considered "further
+restrictions" within the meaning of section 10. If the Program as you
+received it, or any part of it, contains a notice stating that it is
+governed by this License along with a term that is a further
+restriction, you may remove that term. If a license document contains
+a further restriction but permits relicensing or conveying under this
+License, you may add to a covered work material governed by the terms
+of that license document, provided that the further restriction does
+not survive such relicensing or conveying.
+
+ If you add terms to a covered work in accord with this section, you
+must place, in the relevant source files, a statement of the
+additional terms that apply to those files, or a notice indicating
+where to find the applicable terms.
+
+ Additional terms, permissive or non-permissive, may be stated in the
+form of a separately written license, or stated as exceptions;
+the above requirements apply either way.
+
+ 8. Termination.
+
+ You may not propagate or modify a covered work except as expressly
+provided under this License. Any attempt otherwise to propagate or
+modify it is void, and will automatically terminate your rights under
+this License (including any patent licenses granted under the third
+paragraph of section 11).
+
+ However, if you cease all violation of this License, then your
+license from a particular copyright holder is reinstated (a)
+provisionally, unless and until the copyright holder explicitly and
+finally terminates your license, and (b) permanently, if the copyright
+holder fails to notify you of the violation by some reasonable means
+prior to 60 days after the cessation.
+
+ Moreover, your license from a particular copyright holder is
+reinstated permanently if the copyright holder notifies you of the
+violation by some reasonable means, this is the first time you have
+received notice of violation of this License (for any work) from that
+copyright holder, and you cure the violation prior to 30 days after
+your receipt of the notice.
+
+ Termination of your rights under this section does not terminate the
+licenses of parties who have received copies or rights from you under
+this License. If your rights have been terminated and not permanently
+reinstated, you do not qualify to receive new licenses for the same
+material under section 10.
+
+ 9. Acceptance Not Required for Having Copies.
+
+ You are not required to accept this License in order to receive or
+run a copy of the Program. Ancillary propagation of a covered work
+occurring solely as a consequence of using peer-to-peer transmission
+to receive a copy likewise does not require acceptance. However,
+nothing other than this License grants you permission to propagate or
+modify any covered work. These actions infringe copyright if you do
+not accept this License. Therefore, by modifying or propagating a
+covered work, you indicate your acceptance of this License to do so.
+
+ 10. Automatic Licensing of Downstream Recipients.
+
+ Each time you convey a covered work, the recipient automatically
+receives a license from the original licensors, to run, modify and
+propagate that work, subject to this License. You are not responsible
+for enforcing compliance by third parties with this License.
+
+ An "entity transaction" is a transaction transferring control of an
+organization, or substantially all assets of one, or subdividing an
+organization, or merging organizations. If propagation of a covered
+work results from an entity transaction, each party to that
+transaction who receives a copy of the work also receives whatever
+licenses to the work the party's predecessor in interest had or could
+give under the previous paragraph, plus a right to possession of the
+Corresponding Source of the work from the predecessor in interest, if
+the predecessor has it or can get it with reasonable efforts.
+
+ You may not impose any further restrictions on the exercise of the
+rights granted or affirmed under this License. For example, you may
+not impose a license fee, royalty, or other charge for exercise of
+rights granted under this License, and you may not initiate litigation
+(including a cross-claim or counterclaim in a lawsuit) alleging that
+any patent claim is infringed by making, using, selling, offering for
+sale, or importing the Program or any portion of it.
+
+ 11. Patents.
+
+ A "contributor" is a copyright holder who authorizes use under this
+License of the Program or a work on which the Program is based. The
+work thus licensed is called the contributor's "contributor version".
+
+ A contributor's "essential patent claims" are all patent claims
+owned or controlled by the contributor, whether already acquired or
+hereafter acquired, that would be infringed by some manner, permitted
+by this License, of making, using, or selling its contributor version,
+but do not include claims that would be infringed only as a
+consequence of further modification of the contributor version. For
+purposes of this definition, "control" includes the right to grant
+patent sublicenses in a manner consistent with the requirements of
+this License.
+
+ Each contributor grants you a non-exclusive, worldwide, royalty-free
+patent license under the contributor's essential patent claims, to
+make, use, sell, offer for sale, import and otherwise run, modify and
+propagate the contents of its contributor version.
+
+ In the following three paragraphs, a "patent license" is any express
+agreement or commitment, however denominated, not to enforce a patent
+(such as an express permission to practice a patent or covenant not to
+sue for patent infringement). To "grant" such a patent license to a
+party means to make such an agreement or commitment not to enforce a
+patent against the party.
+
+ If you convey a covered work, knowingly relying on a patent license,
+and the Corresponding Source of the work is not available for anyone
+to copy, free of charge and under the terms of this License, through a
+publicly available network server or other readily accessible means,
+then you must either (1) cause the Corresponding Source to be so
+available, or (2) arrange to deprive yourself of the benefit of the
+patent license for this particular work, or (3) arrange, in a manner
+consistent with the requirements of this License, to extend the patent
+license to downstream recipients. "Knowingly relying" means you have
+actual knowledge that, but for the patent license, your conveying the
+covered work in a country, or your recipient's use of the covered work
+in a country, would infringe one or more identifiable patents in that
+country that you have reason to believe are valid.
+
+ If, pursuant to or in connection with a single transaction or
+arrangement, you convey, or propagate by procuring conveyance of, a
+covered work, and grant a patent license to some of the parties
+receiving the covered work authorizing them to use, propagate, modify
+or convey a specific copy of the covered work, then the patent license
+you grant is automatically extended to all recipients of the covered
+work and works based on it.
+
+ A patent license is "discriminatory" if it does not include within
+the scope of its coverage, prohibits the exercise of, or is
+conditioned on the non-exercise of one or more of the rights that are
+specifically granted under this License. You may not convey a covered
+work if you are a party to an arrangement with a third party that is
+in the business of distributing software, under which you make payment
+to the third party based on the extent of your activity of conveying
+the work, and under which the third party grants, to any of the
+parties who would receive the covered work from you, a discriminatory
+patent license (a) in connection with copies of the covered work
+conveyed by you (or copies made from those copies), or (b) primarily
+for and in connection with specific products or compilations that
+contain the covered work, unless you entered into that arrangement,
+or that patent license was granted, prior to 28 March 2007.
+
+ Nothing in this License shall be construed as excluding or limiting
+any implied license or other defenses to infringement that may
+otherwise be available to you under applicable patent law.
+
+ 12. No Surrender of Others' Freedom.
+
+ If conditions are imposed on you (whether by court order, agreement or
+otherwise) that contradict the conditions of this License, they do not
+excuse you from the conditions of this License. If you cannot convey a
+covered work so as to satisfy simultaneously your obligations under this
+License and any other pertinent obligations, then as a consequence you may
+not convey it at all. For example, if you agree to terms that obligate you
+to collect a royalty for further conveying from those to whom you convey
+the Program, the only way you could satisfy both those terms and this
+License would be to refrain entirely from conveying the Program.
+
+ 13. Use with the GNU Affero General Public License.
+
+ Notwithstanding any other provision of this License, you have
+permission to link or combine any covered work with a work licensed
+under version 3 of the GNU Affero General Public License into a single
+combined work, and to convey the resulting work. The terms of this
+License will continue to apply to the part which is the covered work,
+but the special requirements of the GNU Affero General Public License,
+section 13, concerning interaction through a network will apply to the
+combination as such.
+
+ 14. Revised Versions of this License.
+
+ The Free Software Foundation may publish revised and/or new versions of
+the GNU General Public License from time to time. Such new versions will
+be similar in spirit to the present version, but may differ in detail to
+address new problems or concerns.
+
+ Each version is given a distinguishing version number. If the
+Program specifies that a certain numbered version of the GNU General
+Public License "or any later version" applies to it, you have the
+option of following the terms and conditions either of that numbered
+version or of any later version published by the Free Software
+Foundation. If the Program does not specify a version number of the
+GNU General Public License, you may choose any version ever published
+by the Free Software Foundation.
+
+ If the Program specifies that a proxy can decide which future
+versions of the GNU General Public License can be used, that proxy's
+public statement of acceptance of a version permanently authorizes you
+to choose that version for the Program.
+
+ Later license versions may give you additional or different
+permissions. However, no additional obligations are imposed on any
+author or copyright holder as a result of your choosing to follow a
+later version.
+
+ 15. Disclaimer of Warranty.
+
+ THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
+APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
+HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
+OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
+THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
+IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
+ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
+
+ 16. Limitation of Liability.
+
+ IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
+WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
+THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
+GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
+USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
+DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
+PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
+EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
+SUCH DAMAGES.
+
+ 17. Interpretation of Sections 15 and 16.
+
+ If the disclaimer of warranty and limitation of liability provided
+above cannot be given local legal effect according to their terms,
+reviewing courts shall apply local law that most closely approximates
+an absolute waiver of all civil liability in connection with the
+Program, unless a warranty or assumption of liability accompanies a
+copy of the Program in return for a fee.
+
+ END OF TERMS AND CONDITIONS
+
+ How to Apply These Terms to Your New Programs
+
+ If you develop a new program, and you want it to be of the greatest
+possible use to the public, the best way to achieve this is to make it
+free software which everyone can redistribute and change under these terms.
+
+ To do so, attach the following notices to the program. It is safest
+to attach them to the start of each source file to most effectively
+state the exclusion of warranty; and each file should have at least
+the "copyright" line and a pointer to where the full notice is found.
+
+
+ Copyright (C)
+
+ This program is free software: you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation, either version 3 of the License, or
+ (at your option) any later version.
+
+ This program is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with this program. If not, see .
+
+Also add information on how to contact you by electronic and paper mail.
+
+ If the program does terminal interaction, make it output a short
+notice like this when it starts in an interactive mode:
+
+ Copyright (C)
+ This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
+ This is free software, and you are welcome to redistribute it
+ under certain conditions; type `show c' for details.
+
+The hypothetical commands `show w' and `show c' should show the appropriate
+parts of the General Public License. Of course, your program's commands
+might be different; for a GUI interface, you would use an "about box".
+
+ You should also get your employer (if you work as a programmer) or school,
+if any, to sign a "copyright disclaimer" for the program, if necessary.
+For more information on this, and how to apply and follow the GNU GPL, see
+ .
+
+ The GNU General Public License does not permit incorporating your program
+into proprietary programs. If your program is a subroutine library, you
+may consider it more useful to permit linking proprietary applications with
+the library. If this is what you want to do, use the GNU Lesser General
+Public License instead of this License. But first, please read
+.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend (revision 2)
@@ -0,0 +1,6 @@
+# depslib dependency file v1.0
+1297660690 source:c:\users\grégory\desktop\lcd\main.cpp
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt (revision 2)
@@ -0,0 +1,8 @@
+This program as been made by Gregory Gusberti, and has been licensed at General Public License.
+
+Consult gpl.txt, LICENSE or LICENSE.txt for more information.
+
+
+Esse programa foi feito por Gregory Gusberti e foi licenciado sobre a General Public License.
+
+Consulte gpl.txt, LICENSE ou LICENSE.txt para mais informações.
\ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt (revision 2)
@@ -0,0 +1,2048 @@
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+01111110
+10000001
+10100101
+10000001
+10111101
+10011001
+10000001
+01111110
+01111110
+11111111
+11011011
+11111111
+11000011
+11100111
+11111111
+01111110
+01101100
+11111110
+11111110
+11111110
+01111100
+00111000
+00010000
+00000000
+00010000
+00111000
+01111100
+11111110
+01111100
+00111000
+00010000
+00000000
+00111000
+01111100
+00111000
+11111110
+11111110
+11010110
+00010000
+00111000
+00010000
+00111000
+01111100
+11111110
+11111110
+01111100
+00010000
+00111000
+00000000
+00000000
+00011000
+00111100
+00111100
+00011000
+00000000
+00000000
+11111111
+11111111
+11100111
+11000011
+11000011
+11100111
+11111111
+11111111
+00000000
+00011000
+00100100
+01000010
+01000010
+00100100
+00011000
+00000000
+11111111
+11100111
+11011011
+10111101
+10111101
+11011011
+11100111
+11111111
+00001111
+00000111
+00001111
+01111101
+11001100
+11001100
+11001100
+01111000
+00111100
+01100110
+01100110
+01100110
+00111100
+00011000
+00111100
+00011000
+00111111
+00110011
+00111111
+00110000
+00110000
+01110000
+11110000
+11100000
+01111111
+01100011
+01111111
+01100011
+01100011
+01100111
+11100100
+10000000
+00011000
+11011011
+00111100
+11100111
+11100111
+00111100
+11011011
+00011000
+10000000
+11100000
+11111000
+11111110
+11111000
+11100000
+10000000
+00000000
+00000010
+00001110
+00111110
+11111110
+00111110
+00001110
+00000010
+00000000
+00011000
+00111100
+01111110
+00011000
+00011000
+01111110
+00111100
+00011000
+01100110
+01100110
+01100110
+01100110
+01100110
+00000000
+01100110
+01100110
+01111111
+11011011
+11011011
+01111011
+00011011
+00011011
+00011011
+00011011
+00111110
+01100001
+00111100
+01100110
+01100110
+00111100
+10000110
+01111100
+00000000
+00000000
+00000000
+00000000
+01111110
+01111110
+01111110
+00000000
+00011000
+00111100
+01111110
+00011000
+01111110
+00111100
+00011000
+11111111
+00011000
+00111100
+01111110
+00011000
+00011000
+00011000
+00011000
+00000000
+00011000
+00011000
+00011000
+00011000
+01111110
+00111100
+00011000
+00000000
+00000000
+00011000
+00001100
+11111110
+00001100
+00011000
+00000000
+00000000
+00000000
+00110000
+01100000
+11111110
+01100000
+00110000
+00000000
+00000000
+00000000
+00000000
+11000000
+11000000
+11000000
+11111110
+00000000
+00000000
+00000000
+00100100
+01100110
+11111111
+01100110
+00100100
+00000000
+00000000
+00000000
+00011000
+00111100
+00111100
+01111110
+01111110
+11111111
+00000000
+00000000
+11111111
+01111110
+01111110
+00111100
+00111100
+00011000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00111100
+00111100
+00011000
+00011000
+00000000
+00011000
+00000000
+01100110
+01100110
+00100100
+00000000
+00000000
+00000000
+00000000
+00000000
+01101100
+01101100
+11111110
+01101100
+11111110
+01101100
+01101100
+00000000
+00011000
+00111110
+01100000
+00111100
+00000110
+01111100
+00011000
+00000000
+00000000
+11000110
+11001100
+00011000
+00110000
+01100110
+11000110
+00000000
+00111000
+01101100
+00111000
+01110110
+11011100
+11001100
+01110110
+00000000
+00011000
+00011000
+00110000
+00000000
+00000000
+00000000
+00000000
+00000000
+00001100
+00011000
+00110000
+00110000
+00110000
+00011000
+00001100
+00000000
+00110000
+00011000
+00001100
+00001100
+00001100
+00011000
+00110000
+00000000
+00000000
+01100110
+00111100
+11111111
+00111100
+01100110
+00000000
+00000000
+00000000
+00011000
+00011000
+01111110
+00011000
+00011000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00011000
+00110000
+00000000
+00000000
+00000000
+01111110
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00011000
+00000000
+00000110
+00001100
+00011000
+00110000
+01100000
+11000000
+10000000
+00000000
+01111100
+11000110
+11001110
+11011110
+11110110
+11100110
+01111100
+00000000
+00110000
+01110000
+00110000
+00110000
+00110000
+00110000
+11111100
+00000000
+01111000
+11001100
+00001100
+00111000
+01100000
+11001100
+11111100
+00000000
+01111000
+11001100
+00001100
+00111000
+00001100
+11001100
+01111000
+00000000
+00011100
+00111100
+01101100
+11001100
+11111110
+00001100
+00011110
+00000000
+11111100
+11000000
+11111000
+00001100
+00001100
+11001100
+01111000
+00000000
+00111000
+01100000
+11000000
+11111000
+11001100
+11001100
+01111000
+00000000
+11111100
+11001100
+00001100
+00011000
+00110000
+00110000
+00110000
+00000000
+01111000
+11001100
+11001100
+01111000
+11001100
+11001100
+01111000
+00000000
+01111000
+11001100
+11001100
+01111100
+00001100
+00011000
+01110000
+00000000
+00000000
+00011000
+00011000
+00000000
+00000000
+00011000
+00011000
+00000000
+00000000
+00011000
+00011000
+00000000
+00000000
+00011000
+00011000
+00110000
+00000110
+00001100
+00011000
+00110000
+00011000
+00001100
+00000110
+00000000
+00000000
+00000000
+00000000
+01111110
+00000000
+01111110
+00000000
+00000000
+00110000
+00011000
+00001100
+00000110
+00001100
+00011000
+00110000
+00000000
+01111100
+11000110
+00001100
+00011000
+00011000
+00000000
+00011000
+00000000
+01111100
+11000110
+11011110
+11011110
+11011110
+11000000
+01111100
+00000000
+00111000
+01101100
+11000110
+11111110
+11000110
+11000110
+11000110
+00000000
+11111100
+01100110
+01100110
+01111100
+01100110
+01100110
+11111100
+00000000
+00111100
+01100110
+11000000
+11000000
+11000000
+01100110
+00111100
+00000000
+11111000
+01101100
+01100110
+01100110
+01100110
+01101100
+11111000
+00000000
+11111110
+01100010
+01101000
+01111000
+01101000
+01100010
+11111110
+00000000
+11111110
+01100010
+01101000
+01111000
+01101000
+01100000
+11110000
+00000000
+00111100
+01100110
+11000000
+11000000
+11001110
+01100110
+00111010
+00000000
+11000110
+11000110
+11000110
+11111110
+11000110
+11000110
+11000110
+00000000
+00111100
+00011000
+00011000
+00011000
+00011000
+00011000
+00111100
+00000000
+00011110
+00001100
+00001100
+00001100
+11001100
+11001100
+01111000
+00000000
+11100110
+01100110
+01101100
+01111000
+01101100
+01100110
+11100110
+00000000
+11110000
+01100000
+01100000
+01100000
+01100010
+01100110
+11111110
+00000000
+11000110
+11101110
+11111110
+11111110
+11010110
+11000110
+11000110
+00000000
+11000110
+11100110
+11110110
+11011110
+11001110
+11000110
+11000110
+00000000
+01111100
+11000110
+11000110
+11000110
+11000110
+11000110
+01111100
+00000000
+11111100
+01100110
+01100110
+01111100
+01100000
+01100000
+11110000
+00000000
+01111000
+11000110
+11000110
+11000110
+11000110
+11001110
+01111100
+00000110
+11111100
+01100110
+01100110
+01111100
+01101100
+01100110
+11100110
+00000000
+00111100
+01100110
+00110000
+00011000
+00001100
+01100110
+00111100
+00000000
+01111110
+01111110
+01011010
+00011000
+00011000
+00011000
+00111100
+00000000
+11000110
+11000110
+11000110
+11000110
+11000110
+11000110
+01111100
+00000000
+11000110
+11000110
+11000110
+11000110
+11000110
+01101100
+00111000
+00000000
+11000110
+11000110
+11000110
+11010110
+11010110
+11111110
+01101100
+00000000
+11000110
+11000110
+01101100
+00111000
+01101100
+11000110
+11000110
+00000000
+01100110
+01100110
+01100110
+00111100
+00011000
+00011000
+00111100
+00000000
+11111110
+11000110
+10001100
+00011000
+00110010
+01100110
+11111110
+00000000
+00111100
+00110000
+00110000
+00110000
+00110000
+00110000
+00111100
+00000000
+11000000
+01100000
+00110000
+00011000
+00001100
+00000110
+00000010
+00000000
+00111100
+00001100
+00001100
+00001100
+00001100
+00001100
+00111100
+00000000
+00010000
+00111000
+01101100
+11000110
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00110000
+00011000
+00001100
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+11100000
+01100000
+01100000
+01111100
+01100110
+01100110
+11011100
+00000000
+00000000
+00000000
+01111100
+11000110
+11000000
+11000110
+01111100
+00000000
+00011100
+00001100
+01111100
+11001100
+11001100
+11001100
+01110110
+00000000
+00000000
+00000000
+01111100
+11000110
+11111110
+11000000
+01111100
+00000000
+00111100
+01100110
+01100000
+11111000
+01100000
+01100000
+11110000
+00000000
+00000000
+00000000
+01110110
+11001100
+11001100
+01111100
+00001100
+01111000
+11100000
+01100000
+01101100
+01110110
+01100110
+01100110
+11100110
+00000000
+00011000
+00000000
+00111000
+00011000
+00011000
+00011000
+00111100
+00000000
+00000110
+00000000
+00000110
+00000110
+00000110
+01100110
+01100110
+00111100
+11100000
+01100000
+01100110
+01101100
+01111000
+01101100
+11100110
+00000000
+00111000
+00011000
+00011000
+00011000
+00011000
+00011000
+00111100
+00000000
+00000000
+00000000
+11101100
+11111100
+11010110
+11010110
+11010110
+00000000
+00000000
+00000000
+11111000
+11001100
+11001100
+11001100
+11001100
+00000000
+00000000
+00000000
+01111000
+11001100
+11001100
+11001100
+01111000
+00000000
+00000000
+00000000
+11011100
+01100110
+01100110
+01111100
+01100000
+11110000
+00000000
+00000000
+01110110
+11001100
+11001100
+01111100
+00001100
+00011110
+00000000
+00000000
+11011100
+01110110
+01100110
+01100000
+11110000
+00000000
+00000000
+00000000
+01111110
+11000000
+01111100
+00000110
+11111100
+00000000
+00010000
+00110000
+01111100
+00110000
+00110000
+00110110
+00011100
+00000000
+00000000
+00000000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00000000
+00000000
+11001100
+11001100
+11001100
+01111000
+00110000
+00000000
+00000000
+00000000
+11000110
+11010110
+11010110
+11111110
+01101100
+00000000
+00000000
+00000000
+11000110
+01101100
+00111000
+01101100
+11000110
+00000000
+00000000
+00000000
+11000110
+11000110
+11000110
+01111110
+00000110
+11111100
+00000000
+00000000
+01111110
+01001100
+00011000
+00110010
+01111110
+00000000
+00001110
+00011000
+00011000
+01110000
+00011000
+00011000
+00001110
+00000000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00000000
+01110000
+00011000
+00011000
+00001110
+00011000
+00011000
+01110000
+00000000
+01110110
+11011100
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00010000
+00111000
+01101100
+11000110
+11000110
+11111110
+00000000
+01111100
+11000110
+11000000
+11000000
+11000110
+01111100
+00001100
+01111000
+11001100
+00000000
+11001100
+11001100
+11001100
+11001100
+01110110
+00000000
+00001100
+00011000
+01111100
+11000110
+11111110
+11000000
+01111100
+00000000
+01111100
+10000010
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+11001100
+00000000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00110000
+00011000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00110000
+00110000
+01111000
+00001100
+01111100
+11001100
+01110110
+00000000
+00000000
+00000000
+01111110
+11000000
+11000000
+01111110
+00001100
+00111000
+01111100
+10000010
+01111100
+11000110
+11111110
+11000000
+01111100
+00000000
+11000110
+00000000
+01111100
+11000110
+11111110
+11000000
+01111100
+00000000
+00110000
+00011000
+01111100
+11000110
+11111110
+11000000
+01111100
+00000000
+01100110
+00000000
+00111000
+00011000
+00011000
+00011000
+00111100
+00000000
+01111100
+10000010
+00111000
+00011000
+00011000
+00011000
+00111100
+00000000
+00110000
+00011000
+00000000
+00111000
+00011000
+00011000
+00111100
+00000000
+11000110
+00111000
+01101100
+11000110
+11111110
+11000110
+11000110
+00000000
+00111000
+01101100
+01111100
+11000110
+11111110
+11000110
+11000110
+00000000
+00011100
+00000000
+11111100
+01100000
+01111000
+01100000
+11111100
+00000000
+00000000
+00000000
+01111111
+00001100
+01111111
+11001100
+01111111
+00000000
+00111110
+01101100
+11001100
+11111110
+11001100
+11001100
+11001110
+00000000
+01111000
+11001100
+00000000
+01111000
+11001100
+11001100
+01111000
+00000000
+00000000
+11001100
+00000000
+01111000
+11001100
+11001100
+01111000
+00000000
+00000000
+11100000
+00000000
+01111000
+11001100
+11001100
+01111000
+00000000
+01111000
+11001100
+00000000
+11001100
+11001100
+11001100
+01111110
+00000000
+00000000
+11100000
+00000000
+11001100
+11001100
+11001100
+01111110
+00000000
+00000000
+11001100
+00000000
+11001100
+11001100
+01111100
+00001100
+11111100
+11000011
+00011000
+00111100
+01100110
+01100110
+00111100
+00011000
+00000000
+11001100
+00000000
+11001100
+11001100
+11001100
+11001100
+01111000
+00000000
+00010000
+00010000
+01111100
+11000000
+11000000
+01111100
+00010000
+00010000
+00111000
+01101100
+01100100
+11110000
+01100000
+11100110
+11111100
+00000000
+11001100
+11001100
+01111000
+11111100
+00110000
+11111100
+00110000
+00110000
+11111000
+11001100
+11001100
+11111010
+11000110
+11001111
+11000110
+11000111
+00001110
+00011011
+00011000
+00111100
+00011000
+00011000
+11011000
+01110000
+00011100
+00000000
+01111000
+00001100
+01111100
+11001100
+01111110
+00000000
+00111000
+00000000
+01110000
+00110000
+00110000
+00110000
+01111000
+00000000
+00000000
+00011100
+00000000
+01111000
+11001100
+11001100
+01111000
+00000000
+00000000
+00011100
+00000000
+11001100
+11001100
+11001100
+01111110
+00000000
+00000000
+11111000
+00000000
+11111000
+11001100
+11001100
+11001100
+00000000
+11111100
+00000000
+11001100
+11101100
+11111100
+11011100
+11001100
+00000000
+00111100
+01101100
+01101100
+00111110
+00000000
+01111110
+00000000
+00000000
+00111000
+01101100
+01101100
+00111000
+00000000
+01111100
+00000000
+00000000
+00110000
+00000000
+00110000
+01100000
+11000000
+11011000
+01110000
+00000000
+00000000
+00000000
+00000000
+11111110
+11000000
+11000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111110
+00000110
+00000110
+00000000
+00000000
+11000011
+11000110
+11001100
+11011110
+00110011
+01100110
+11001100
+00001111
+11000011
+11000110
+11001100
+11011011
+00110111
+01101111
+11001111
+00000011
+00011000
+00011000
+00000000
+00011000
+00011000
+00011000
+00011000
+00000000
+00000000
+00110011
+01100110
+11001100
+01100110
+00110011
+00000000
+00000000
+00000000
+11001100
+01100110
+00110011
+01100110
+11001100
+00000000
+00000000
+00110011
+11001100
+00110011
+11001100
+00110011
+11001100
+00110011
+11001100
+01010101
+10101010
+01010101
+10101010
+01010101
+10101010
+01010101
+10101010
+11011011
+01110111
+11011011
+11101110
+11011011
+01110111
+11011011
+11101110
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+11111000
+00011000
+00011000
+00011000
+00011000
+00011000
+11111000
+00011000
+11111000
+00011000
+00011000
+00011000
+00110110
+00110110
+00110110
+00110110
+11110110
+00110110
+00110110
+00110110
+00000000
+00000000
+00000000
+00000000
+11111110
+00110110
+00110110
+00110110
+00000000
+00000000
+11111000
+00011000
+11111000
+00011000
+00011000
+00011000
+00110110
+00110110
+11110110
+00000110
+11110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00000000
+00000000
+11111110
+00000110
+11110110
+00110110
+00110110
+00110110
+00110110
+00110110
+11110110
+00000110
+11111110
+00000000
+00000000
+00000000
+00110110
+00110110
+00110110
+00110110
+11111110
+00000000
+00000000
+00000000
+00011000
+00011000
+11111000
+00011000
+11111000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011111
+00000000
+00000000
+00000000
+00011000
+00011000
+00011000
+00011000
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011111
+00011000
+00011000
+00011000
+00000000
+00000000
+00000000
+00000000
+11111111
+00000000
+00000000
+00000000
+00011000
+00011000
+00011000
+00011000
+11111111
+00011000
+00011000
+00011000
+00011000
+00011000
+00011111
+00011000
+00011111
+00011000
+00011000
+00011000
+00110110
+00110110
+00110110
+00110110
+00110111
+00110110
+00110110
+00110110
+00110110
+00110110
+00110111
+00110000
+00111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00111111
+00110000
+00110111
+00110110
+00110110
+00110110
+00110110
+00110110
+11110111
+00000000
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00000000
+11110111
+00110110
+00110110
+00110110
+00110110
+00110110
+00110111
+00110000
+00110111
+00110110
+00110110
+00110110
+00000000
+00000000
+11111111
+00000000
+11111111
+00000000
+00000000
+00000000
+00110110
+00110110
+11110111
+00000000
+11110111
+00110110
+00110110
+00110110
+00011000
+00011000
+11111111
+00000000
+11111111
+00000000
+00000000
+00000000
+00110110
+00110110
+00110110
+00110110
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+11111111
+00000000
+11111111
+00011000
+00011000
+00011000
+00000000
+00000000
+00000000
+00000000
+11111111
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00111111
+00000000
+00000000
+00000000
+00011000
+00011000
+00011111
+00011000
+00011111
+00000000
+00000000
+00000000
+00000000
+00000000
+00011111
+00011000
+00011111
+00011000
+00011000
+00011000
+00000000
+00000000
+00000000
+00000000
+00111111
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+00110110
+11111111
+00110110
+00110110
+00110110
+00011000
+00011000
+11111111
+00011000
+11111111
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+11111000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011111
+00011000
+00011000
+00011000
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+11111111
+00000000
+00000000
+00000000
+00000000
+11111111
+11111111
+11111111
+11111111
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+11110000
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+00001111
+11111111
+11111111
+11111111
+11111111
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+01110110
+11011100
+11011000
+11011100
+01110110
+00000000
+00000000
+01111000
+11001100
+11111000
+11001100
+11111000
+11000000
+11000000
+00000000
+11111100
+11001100
+11000000
+11000000
+11000000
+11000000
+00000000
+00000000
+11111110
+01101100
+01101100
+01101100
+01101100
+01101100
+00000000
+11111100
+11001100
+01100000
+00110000
+01100000
+11001100
+11111100
+00000000
+00000000
+00000000
+01111111
+11001100
+11001100
+11001100
+01111000
+00000000
+00000000
+01100110
+01100110
+01100110
+01100110
+01111100
+01100000
+11000000
+01110110
+11011100
+00011000
+00011000
+00011000
+00011000
+00011000
+00000000
+11111100
+00110000
+01111000
+11001100
+11001100
+01111000
+00110000
+11111100
+00111000
+01101100
+11000110
+11111110
+11000110
+01101100
+00111000
+00000000
+00111000
+01101100
+11000110
+11000110
+01101100
+01101100
+11101110
+00000000
+00011100
+00110000
+00011000
+01111100
+11001100
+11001100
+01111000
+00000000
+00000000
+00000000
+01111100
+11010110
+11010110
+01111100
+00000000
+00000000
+00000110
+00001100
+01111100
+11010110
+11010110
+01111100
+01100000
+11000000
+00111000
+01100000
+11000000
+11111000
+11000000
+01100000
+00110000
+00000000
+01111000
+11001100
+11001100
+11001100
+11001100
+11001100
+11001100
+00000000
+00000000
+11111111
+00000000
+11111111
+00000000
+11111111
+00000000
+00000000
+00110000
+00110000
+11111100
+00110000
+00110000
+00000000
+11111100
+00000000
+00110000
+00011000
+00001100
+00011000
+00110000
+00000000
+11111100
+00000000
+00011000
+00110000
+01100000
+00110000
+00011000
+00000000
+11111100
+00000000
+00001110
+00011011
+00011011
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+00011000
+11011000
+01110000
+00110000
+00110000
+00000000
+11111100
+00000000
+00110000
+00110000
+00000000
+00000000
+01110110
+11011100
+00000000
+01110110
+11011100
+00000000
+00000000
+00111000
+01101100
+01101100
+00111000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00011000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00011000
+00000000
+00000000
+00000000
+00001111
+00001100
+00001100
+00001100
+11101100
+01101100
+01101100
+00111000
+01111000
+01101100
+01101100
+01101100
+01101100
+00000000
+00000000
+00000000
+01110000
+00011000
+00110000
+01100000
+01111000
+00000000
+00000000
+00000000
+00000000
+00000000
+00111110
+00111110
+00111110
+00111110
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
+00000000
\ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho (revision 2)
@@ -0,0 +1,72 @@
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2009 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- The following code must appear in the VHDL architecture header:
+
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component textram
+ port (
+ clka: IN std_logic;
+ ena: IN std_logic;
+ wea: IN std_logic_VECTOR(0 downto 0);
+ addra: IN std_logic_VECTOR(12 downto 0);
+ dina: IN std_logic_VECTOR(7 downto 0);
+ clkb: IN std_logic;
+ enb: IN std_logic;
+ addrb: IN std_logic_VECTOR(12 downto 0);
+ doutb: OUT std_logic_VECTOR(7 downto 0));
+end component;
+
+-- Synplicity black box declaration
+attribute syn_black_box : boolean;
+attribute syn_black_box of textram: component is true;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : textram
+ port map (
+ clka => clka,
+ ena => ena,
+ wea => wea,
+ addra => addra,
+ dina => dina,
+ clkb => clkb,
+ enb => enb,
+ addrb => addrb,
+ doutb => doutb);
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
+
+-- You must compile the wrapper file textram.vhd when simulating
+-- the core, textram. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho (revision 2)
@@ -0,0 +1,60 @@
+--------------------------------------------------------------------------------
+-- This file is owned and controlled by Xilinx and must be used --
+-- solely for design, simulation, implementation and creation of --
+-- design files limited to Xilinx devices or technologies. Use --
+-- with non-Xilinx devices or technologies is expressly prohibited --
+-- and immediately terminates your license. --
+-- --
+-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
+-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
+-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
+-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
+-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
+-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
+-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
+-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
+-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
+-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
+-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
+-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
+-- FOR A PARTICULAR PURPOSE. --
+-- --
+-- Xilinx products are not intended for use in life support --
+-- appliances, devices, or systems. Use in such applications are --
+-- expressly prohibited. --
+-- --
+-- (c) Copyright 1995-2009 Xilinx, Inc. --
+-- All rights reserved. --
+--------------------------------------------------------------------------------
+-- The following code must appear in the VHDL architecture header:
+
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component fontrom
+ port (
+ clka: IN std_logic;
+ addra: IN std_logic_VECTOR(10 downto 0);
+ douta: OUT std_logic_VECTOR(7 downto 0));
+end component;
+
+-- Synplicity black box declaration
+attribute syn_black_box : boolean;
+attribute syn_black_box of fontrom: component is true;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : fontrom
+ port map (
+ clka => clka,
+ addra => addra,
+ douta => douta);
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
+
+-- You must compile the wrapper file fontrom.vhd when simulating
+-- the core, fontrom. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc (revision 2)
@@ -0,0 +1,284 @@
+
+
+ xilinx.com
+ project
+ fontrom
+ 1.0
+
+
+ fontrom
+
+ Generated by ISE Project Navigator
+
+
+ false
+ 0
+ false
+ false
+ true
+ 0
+ false
+ false
+ false
+ 8kx2
+ 0
+ 0
+ Single_Port_ROM
+ 100
+ 8
+ false
+ 8
+ 9
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ Always_Enabled
+ Always_Enabled
+ 100
+ 1024
+ Minimum_Area
+ 0
+ 0
+ Single_Bit_Error_Injection
+ 0
+ 8
+ 8
+ CE
+ CE
+ WRITE_FIRST
+ false
+ WRITE_FIRST
+ SYNC
+ false
+ false
+ 0
+ D:\Dados\Energy Labs\FPGA\TFTLCD\fontrom.coe
+ true
+ ALL
+
+
+
+
+ fontrom
+ ./
+ ./tmp/
+ ./tmp/_cg
+
+
+ xa3s400a
+ aspartan3a
+ ftg256
+ -4
+
+
+ BusFormatAngleBracketNotRipped
+ Advanced
+ true
+ Other
+ false
+ false
+ false
+ Ngc
+ false
+
+
+ Behavioral
+ VHDL_and_Verilog
+ false
+
+
+
+
+ apply_current_project_options_generator
+
+
+ customization_generator
+
+ ./fontrom.mif
+ mif
+ Mon Feb 14 17:37:17 GMT 2011
+ 0xB71A1638
+
+
+
+ ip_xco_generator
+
+ ./fontrom.xco
+ xco
+ Mon Feb 14 17:37:18 GMT 2011
+ 0xD1F7146C
+
+
+
+ implementation_netlist_generator
+
+ ./blk_mem_gen_ds512.pdf
+ pdf
+ Mon Feb 14 17:37:41 GMT 2011
+ 0xDCFF7B3C
+
+
+ ./fontrom.asy
+ asy
+ Mon Feb 14 17:37:22 GMT 2011
+ 0xF599AB22
+
+
+ ./fontrom.mif
+ mif
+ Mon Feb 14 17:37:25 GMT 2011
+ 0xB71A1638
+
+
+ ./fontrom.ngc
+ ngc
+ Mon Feb 14 17:37:48 GMT 2011
+ 0xFF9B809D
+
+
+ ./fontrom.v
+ verilog
+ Mon Feb 14 17:37:41 GMT 2011
+ 0xFE768EF6
+
+
+ ./fontrom.veo
+ veo
+ Mon Feb 14 17:37:41 GMT 2011
+ 0xF0BFC19C
+
+
+ ./fontrom.vhd
+ vhdl
+ Mon Feb 14 17:37:41 GMT 2011
+ 0xC36D0761
+
+
+ ./fontrom.vho
+ vho
+ Mon Feb 14 17:37:41 GMT 2011
+ 0xD7858F31
+
+
+
+ instantiation_template_generator
+
+ ./fontrom.veo
+ veo
+ Mon Feb 14 17:37:49 GMT 2011
+ 0xF0BFC19C
+
+
+ ./fontrom.vho
+ vho
+ Mon Feb 14 17:37:48 GMT 2011
+ 0xD7858F31
+
+
+
+ xco_generator
+
+ ./fontrom.xco
+ xco
+ Mon Feb 14 17:37:54 GMT 2011
+ 0x34932751
+
+
+
+ xmdf_generator
+
+ ./fontrom_xmdf.tcl
+ tclXmdf
+ tcl
+ Mon Feb 14 17:37:54 GMT 2011
+ 0x2E99D637
+
+
+
+ ise_generator
+
+ ./_xmsgs/pn_parser.xmsgs
+ ignore
+ unknown
+ Mon Feb 14 17:37:58 GMT 2011
+ 0xF0D573B0
+
+
+ ./fontrom.gise
+ ignore
+ gise
+ Mon Feb 14 17:37:59 GMT 2011
+ 0xD53A1B53
+
+
+ ./fontrom.xise
+ ignore
+ xise
+ Mon Feb 14 17:37:59 GMT 2011
+ 0xA8B0F7D1
+
+
+
+ deliver_readme_generator
+
+ ./fontrom_readme.txt
+ ignore
+ txtReadme
+ txt
+ Mon Feb 14 17:37:59 GMT 2011
+ 0x25E400B3
+
+
+
+ flist_generator
+
+ ./fontrom_flist.txt
+ ignore
+ txtFlist
+ txt
+ Mon Feb 14 17:37:59 GMT 2011
+ 0x74156ECC
+
+
+
+
+
+
+
+
+
+
+ fontrom
+ ./
+ ./tmp/
+ ./tmp/_cg
+
+
+ xa3s400a
+ aspartan3a
+ ftg256
+ -4
+
+
+ BusFormatAngleBracketNotRipped
+ Advanced
+ true
+ Other
+ false
+ false
+ false
+ Ngc
+ false
+
+
+ Behavioral
+ VHDL_and_Verilog
+ false
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v (revision 2)
@@ -0,0 +1,141 @@
+/*******************************************************************************
+* This file is owned and controlled by Xilinx and must be used *
+* solely for design, simulation, implementation and creation of *
+* design files limited to Xilinx devices or technologies. Use *
+* with non-Xilinx devices or technologies is expressly prohibited *
+* and immediately terminates your license. *
+* *
+* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" *
+* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR *
+* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION *
+* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION *
+* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS *
+* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, *
+* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE *
+* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY *
+* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
+* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
+* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
+* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS *
+* FOR A PARTICULAR PURPOSE. *
+* *
+* Xilinx products are not intended for use in life support *
+* appliances, devices, or systems. Use in such applications are *
+* expressly prohibited. *
+* *
+* (c) Copyright 1995-2009 Xilinx, Inc. *
+* All rights reserved. *
+*******************************************************************************/
+// The synthesis directives "translate_off/translate_on" specified below are
+// supported by Xilinx, Mentor Graphics and Synplicity synthesis
+// tools. Ensure they are correct for your synthesis tool(s).
+
+// You must compile the wrapper file LogoROM.v when simulating
+// the core, LogoROM. When compiling the wrapper file, be sure to
+// reference the XilinxCoreLib Verilog simulation library. For detailed
+// instructions, please refer to the "CORE Generator Help".
+
+`timescale 1ns/1ps
+
+module LogoROM(
+ clka,
+ ena,
+ addra,
+ douta);
+
+
+input clka;
+input ena;
+input [11 : 0] addra;
+output [17 : 0] douta;
+
+// synthesis translate_off
+
+ BLK_MEM_GEN_V4_1 #(
+ .C_ADDRA_WIDTH(12),
+ .C_ADDRB_WIDTH(12),
+ .C_ALGORITHM(1),
+ .C_BYTE_SIZE(9),
+ .C_COMMON_CLK(0),
+ .C_DEFAULT_DATA("0"),
+ .C_DISABLE_WARN_BHV_COLL(0),
+ .C_DISABLE_WARN_BHV_RANGE(0),
+ .C_FAMILY("spartan3"),
+ .C_HAS_ENA(1),
+ .C_HAS_ENB(0),
+ .C_HAS_INJECTERR(0),
+ .C_HAS_MEM_OUTPUT_REGS_A(0),
+ .C_HAS_MEM_OUTPUT_REGS_B(0),
+ .C_HAS_MUX_OUTPUT_REGS_A(0),
+ .C_HAS_MUX_OUTPUT_REGS_B(0),
+ .C_HAS_REGCEA(0),
+ .C_HAS_REGCEB(0),
+ .C_HAS_RSTA(0),
+ .C_HAS_RSTB(0),
+ .C_HAS_SOFTECC_INPUT_REGS_A(0),
+ .C_HAS_SOFTECC_INPUT_REGS_B(0),
+ .C_HAS_SOFTECC_OUTPUT_REGS_A(0),
+ .C_HAS_SOFTECC_OUTPUT_REGS_B(0),
+ .C_INITA_VAL("0"),
+ .C_INITB_VAL("0"),
+ .C_INIT_FILE_NAME("LogoROM.mif"),
+ .C_LOAD_INIT_FILE(1),
+ .C_MEM_TYPE(3),
+ .C_MUX_PIPELINE_STAGES(0),
+ .C_PRIM_TYPE(1),
+ .C_READ_DEPTH_A(4096),
+ .C_READ_DEPTH_B(4096),
+ .C_READ_WIDTH_A(18),
+ .C_READ_WIDTH_B(18),
+ .C_RSTRAM_A(0),
+ .C_RSTRAM_B(0),
+ .C_RST_PRIORITY_A("CE"),
+ .C_RST_PRIORITY_B("CE"),
+ .C_RST_TYPE("SYNC"),
+ .C_SIM_COLLISION_CHECK("ALL"),
+ .C_USE_BYTE_WEA(0),
+ .C_USE_BYTE_WEB(0),
+ .C_USE_DEFAULT_DATA(1),
+ .C_USE_ECC(0),
+ .C_USE_SOFTECC(0),
+ .C_WEA_WIDTH(1),
+ .C_WEB_WIDTH(1),
+ .C_WRITE_DEPTH_A(4096),
+ .C_WRITE_DEPTH_B(4096),
+ .C_WRITE_MODE_A("WRITE_FIRST"),
+ .C_WRITE_MODE_B("WRITE_FIRST"),
+ .C_WRITE_WIDTH_A(18),
+ .C_WRITE_WIDTH_B(18),
+ .C_XDEVICEFAMILY("aspartan3a"))
+ inst (
+ .CLKA(clka),
+ .ENA(ena),
+ .ADDRA(addra),
+ .DOUTA(douta),
+ .RSTA(),
+ .REGCEA(),
+ .WEA(),
+ .DINA(),
+ .CLKB(),
+ .RSTB(),
+ .ENB(),
+ .REGCEB(),
+ .WEB(),
+ .ADDRB(),
+ .DINB(),
+ .DOUTB(),
+ .INJECTSBITERR(),
+ .INJECTDBITERR(),
+ .SBITERR(),
+ .DBITERR(),
+ .RDADDRECC());
+
+
+// synthesis translate_on
+
+// XST black box declaration
+// box_type "black_box"
+// synthesis attribute box_type of LogoROM is "black_box"
+
+endmodule
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl (revision 2)
@@ -0,0 +1,88 @@
+# The package naming convention is _xmdf
+package provide textram_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is _xmdf
+namespace eval ::textram_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::textram_xmdf::xmdfInit { instance } {
+# Variable containg name of library into which module is compiled
+# Recommendation:
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name textram
+}
+# ::textram_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::textram_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be magically
+# available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.mif
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.sym
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.vho
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module textram
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl (revision 2)
@@ -0,0 +1,88 @@
+# The package naming convention is _xmdf
+package provide fontrom_xmdf 1.0
+
+# This includes some utilities that support common XMDF operations
+package require utilities_xmdf
+
+# Define a namespace for this package. The name of the name space
+# is _xmdf
+namespace eval ::fontrom_xmdf {
+# Use this to define any statics
+}
+
+# Function called by client to rebuild the params and port arrays
+# Optional when the use context does not require the param or ports
+# arrays to be available.
+proc ::fontrom_xmdf::xmdfInit { instance } {
+# Variable containg name of library into which module is compiled
+# Recommendation:
+# Required
+utilities_xmdf::xmdfSetData $instance Module Attributes Name fontrom
+}
+# ::fontrom_xmdf::xmdfInit
+
+# Function called by client to fill in all the xmdf* data variables
+# based on the current settings of the parameters
+proc ::fontrom_xmdf::xmdfApplyParams { instance } {
+
+set fcount 0
+# Array containing libraries that are assumed to exist
+# Examples include unisim and xilinxcorelib
+# Optional
+# In this example, we assume that the unisim library will
+# be magically
+# available to the simulation and synthesis tool
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
+utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.asy
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.mif
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.ngc
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.sym
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.v
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.veo
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.vhd
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.vho
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.xco
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom_xmdf.tcl
+utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
+incr fcount
+
+utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fontrom
+incr fcount
+
+}
+
+# ::gen_comp_name_xmdf::xmdfApplyParams
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise (revision 2)
@@ -0,0 +1,79 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym (revision 2)
@@ -0,0 +1,21 @@
+
+
+ BLOCK
+ 2011-2-28T7:38:31
+
+
+
+
+
+ LogoROM
+
+
+
+
+
+
+
+
+
+
+
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf
===================================================================
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf
===================================================================
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf
Property changes :
Added: svn:executable
## -0,0 +1 ##
+*
\ No newline at end of property
Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc
===================================================================
--- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc (nonexistent)
+++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc (revision 2)
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.6e
+$3;540<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123G5E7K9IH?0123456789:;<=>?0123456789:;<=>?01234745:;89>?<=2301616<89:;<=>?0123456789:;<=>?0123456789:;<=>?01234567?M:;;I>?01234567<91;<=>?0123456789:;<=>?0123456789:;<=>?012345E7K9IMJ=H?0G2EBC17KJ:?<6>?0123456789:;<=>?0123456789:;<=>?C1AEG5E71OI;O=>?0123456789:;<=:?;123456789:;<=>?0123456789:;<=>?012EBC@D8OLMJN>?0123456789:;<=>?0528456789:;<=>?0123456789:;<=>?01G3456789:;<=>?0123456789:;I=>?01G305=789:;<=>?0123456789:;<=>?0123B56789:;<=>?0123456789:;<=>?0123456382:;<=>?0123456789:;<=>?012345@ANOIMJKKICGAEBF@ANOLH;7=>?0123456789:;<=>?01234567K9I;O=HIC1AE<5E7K9I;5KM?C123456789:;<9>40123456789:;<=>?0123456789=;;I>?F1533517?M:;;I>?F15G451C89:O<=9?4193456789:;<=>?01234F6DNOI;JKHJFB23456789:;<=>?0123456789:;<=>?01274>6789:;<=>?01234567KOIMOKHLCGA3GCEAKOI;OKM?CGA34F@DNOLHOKM?01AEGC2739:;<=>?0123456D89:;<=>?0123456789:;<=>?0B23456D89:;<=>?012345678=:0<=>?012345678O:;<=>?FGD34567NO:;<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;JKH?0GD@4F@7NO:;<=M?CG234C6A8OL;JK>I0GDE456AN9LHJKH;0:23456789:;<=>IFGDE456789:;<=>?0123456789:;<=>?0123456789:;<=>?0163?56789:;<=>?0G2E456789:;<=>?0123BC@A89:;<=>?0123456789:;<=>?0123416<89:;<=>?012@BF@ANOLHJNHIC12@BF@ANOLH<=>?0123456789:;<=>?01234567<91;<=H?01D34C@D8J:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:?<6>?0GDEBF6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=:?;12@4F@7NO:;LF1DE45@789L;JN>LF52845CC89I;O=M?C1A3G5E7K9I;<=M?7EA3G5E7?MI;<=>?0123456789:;IIL?EEA305=78LNNHO>JDB2F@F678LNHJDC2F@F6BLK:NHN>?0123456382:H?C1A3G5EANOI;O=>?0123456789:;<=>?012345EAN9>;7=HIF12@4F678J:HL0B23B5@7K9L<<>5>.137?45=AGZ^X7okdsc?57<768>0=>4FNQWW>dbczk6:>7>118927?IR\Y__6jndepfgf:6:3:5=95>4;KMTPR=IMNYM1?<:1<20>732@D[YY4NDEPA845=87;;7<4FNQWW>rcf490;2<>41;KMTPR=lh7<7>11:01?7638289>?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:;>;7?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<923056745:;89>9>423016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23513715?<8>>?9=41916745:;89>?<=23016745:;89>?<=23016745:;89>?9=73561745><8998<=74074>45:;89>?<=23016745:;89>?<=23016745:>;?<:54516745:;89>?<=230167273;89>?<=23016745:;89>?<=23016745:;8<>:;826011240:;89>?<=23016745:=:0>?<=23016745:;89>?<=23016745:;<9:?<=23016705:;<9:?<=63012705>;898=5=23016745:;89>?<=23016745:;899?<=23016745:;89>?<=23016745:;89>?<;0:016745:;89>?<=23016745:;89>?<:54511042?<8>98;:54746732=>8998;=2663?745:;89>?<=23016745:;89>?<=73511732=;=>>?9=73516015?;89>?<=2301616<:;89>?<=23016745:;=9>8;:23766015:;89>?<=23016745:;89>?<=23016745<919>?<=23016745:;89>:;853761230:>?<9:;826746230:;=>;8;:56746740=>??<6<=23016745:;89;?<=23016745:;89>?<=23016715:;89;?<=23016745:;89>?:?;3016745:;89>?;=23016032:;89>8;=23016745:;89>?<=23016745:;89>?<=25286745:;89>?<=24766732?;=>>8;=23046235:;?99?;:24711732=;8998<:747605=5:;89>?<=23011032=;89>?<=23016745:;89>?<=23016745:;89>?<=230167438289>?<=23016735=;89>?<=23016745:>9?<=23016745:;89>?<=23016745:;>;7?<=23016745?<=>>8;:74061045?<=998;:73016745:;89>?<=23016745:;89>9>423016755:;89>?<=23016745?;99>?9=23017745:;99>?<=23116745:;89>?<=41916742=<=9>?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23074>45:;<9;?9=73513715?;=9;?<=73013715?;89;?<=23016745:;89>?<=330137273;899?<=53061240:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:=:0>?;:23513745?;=9;8<=73563712?;=9>:<826016042:;89>?9=73466742:<898=5=26041732:;8>9?;=53061042=;?998<:24741745=>8<>:;=54011745=;?<>:;;0:066045?;<>>?<=26046240:>8=9?<:53066045:<8>>?9=74013745:;89>?<=2363?732=>?998;854716712?<=9>?;:23016740:>8<9?<826046240:>8<>:<82601316<:>8<9:<826716240:;?>>?9=73513032?;=9>?<=23016745:;89>?<=230130359;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?30?64=G\^[YY4KO@>05?699:18>7AZTQWW>AIE4:;1<3?>;58JJUSS2MC[M1=50?32?14?>0080?IR\Y__6IA_B=194;2<?8=5:23516745:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:;=9>8<;0:711735:;89>8<:23016045:;89>?<=23066745:;89>?<=23016742=>>?<:2363?040:;89>?<=23016745:;89>?<=23016745:;?9>?<=23016045:;89>?<=2301616<=99?;:24711745=<8<>?<=23016745:;89>?<=23016745:;89>?<=23016745<91>;8;:56746230=>9:<=23016745:;89>?<=23016742:>>?;826041740=<=?<69=63512745:;89:?<=23012745:;89>?<=23016745?;=9;?<=73513715?;89;?:?;6041735>;?9>:;:23711740:>89>:<827716240:>8<98<854013715:;8>>8<=2038air|h6<6=0>3::9KPRW]]0ocxzm<683:==FLMXJ0=06;@FGVD:68730MIJ]A=32:<=FLMXJ0<<1b:CG@WG;9:0;245NDEPB845902KOH_O31?:8EABUI58546OKDSC?7;>GCL[K7;364AEFQE9>902KOH_O39?:8EABUJ5:556OKDS@?558>3HNO^O2>1?;8EABUJ5;92o5NDEPA845=8730MIJ]B=30:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI040<;@NO7>DR:11IY^QFNGM2?F273J:HO=H?01D44F@A?9I;J=HL0B234F6D89L;JN>L0B2@BC6DNO=;O=MI0G2@4F678=:0OI>?CE234517?M:;;=9?7E23456789:;<=>?012345E7K9I;<=M?C1A3G5E789I;>6MJ419@BCEDNJLMONHIC123GCEAK9:MJN>?01234F6D8JL3L0B2@4F6D8J:HL01A26>EOMJAT@DMJNRG\P\VB<2IGGL:4CMIA=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ1;Ea8@DRFW^COXEQNc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c:ObnjtQm{ybccm4MhllvScu{`ee?6@>029M545H60:1E=4<4N318J7643G8:?6@=229M66587C<:3:L126=I:>90B>8<;O1;6>H3;2D?=>5A4318J1543G>??6@;529M035H2;;1E:>5A6318J3543G?6@9529M235IL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL;0]45]AL@22GQBi2XNMIQIISQW56=TADUHCABFSHMM[FNBKB<0_B[]CD58WWPFDVK<7^\YAM]A0>UTZH>0_^\M4:VZT@203\:$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo EOMVPZ4Xe|r;<=>>469V4*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&OECXZP2^ov|567888h7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:56;i0Y=!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}949;k1^<"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{545e3\:$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy027g=R8&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w301a?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}Ufyu:>3c9V4*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWds9<=m;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}q<:?o5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hs?89i7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}>6;>1^<"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}k30?14?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/rgo[uowm5;5?;5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%xiaQiqg\460<]9%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS<33n5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre4;49o6[?/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd;;78<7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_dlb6==R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidUnbl?=7:W3+gjlWdofSjkaescwkw)qieco"n}{r.pbiZcij;20Y=!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPeo`20f=R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U?]/pbi+t({:Ubbdz!r`o,`utfe'n{8u:!r`o7g>S7'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?R.scn*w)t;Vceey }al-gtwgj&mz2t4 }al6`?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?S!r`o-v*u4W`dbx#|nm.fsvdk)ly>s8#|nm`9VW@TXIECJ_n5ZSDP\RLUNJEO87[ML9:TJARYSQYO=7ZKN<1<5?RCF484=7ZKN<3<;?RCF4:0;2;5XE@>0:3=PMK6;2;5XEC>2:3=PMK69255XEC>0>5813^OI0>0l;VPJP@YJGMOTMn5XRHVF[HICMVH:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C=7U][LH@4?]USWNDOn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46 3hno~l&>1(;8eabui!;9%55ndepb,7/?3hno~l&<)99b`atf =#37ljkr`*6-==flmxj$;'7;`fgvd.0!11jhi|n(9+;?dbczh"2%55ndepb858>3hno~l2>0?;8eabui5;:2o5ndepb844=8730mij}a=31:==flmxj0<07;`fgvd:5611jhi|n<2<;?dbczh6?255ndepb808?3hno~l29>99b`atf4>437ljkr`>;:==flmxj0407;`fgvg.7!11jhi|m(0+:?dbczk":<$74aefqf-76!01jhi|m(00*<>gcl{h#>$64aefqf-5.02kohl'4(:8eabuj!?"46okds`+2,>gcl{h7<374aefqf977601jhi|m<03=f>gcl{h7=?4?>89b`ate488546okds`?5;>gcl{h79364aefqf90902kohl37?:8eabuj52546okds`?=;4d3kf`S`kb_fgm[s2X9%*Seagax!ALV@&@mgoymya} 00-51=ddbk?7nbdb69gflrbz{=0hd`n(1+4?aoii!;";6jfn`*1-2=cagk#?$94dhlb,1/03mcem%;&7:fjjd.1!>1oeco'7(58`lhf494<7igaa=3=3>bnfh692:5kioc?7;189gmkg;?3:5;6jfn`>4:2=cagh#<$94dhla,4/03mcen%<&7:fjjg.4!>1oecl'4(58`lhe <#<7igab)4*3>bnfk"<%45kio`?3?6902ndyyo'0(:8`jssi!;"46j`uuc+6,>bh}}k#:$64dnwwe-1.02ndyyo30?c8`jssi5=1<364dnwwf-6.02ndyyl'1(:8`jssj!8"46j`uu`+7,>bh}}h#;$64dnwwf96902ndyyl31?:8`jssj58546j`uu`?7;>bh}}h7;7>18:flqqd;?720iigi2oeg7>cii:1nboj4iohfgqbea}oy~i5fnkg`pvdn|lxy46`hccwjhaetcimnyinm'1(f8vagcl{oho%??)e9q`dbczlih$&d:pgeabumji#=?'l;sfb`atbkj"9%n5}d`fgv`ed :#h7jndepfgf.3!j1yhljkrda`,0/d3{njhi|jcb*5-f=ulhno~hml(6+`?wbflmxnon&7)b9q`dbczlih$4'i;sfb`atbkj6:>7>16:pfcfcf>2xnknkm4:pppd2#c^jbwZtbojoj1="l_lgn[qwm4;'oR||tscn[g;7$jUyy|nm^c>4)eXzz~Txt~j=R[MG)eX`hyTecdjcugqv86+kVzye`Q{yqg>5)eXagcnRxnl<2/gZtbimU|eizg_c?;(fYumhnT{dj{h^c><)eXl`yjnakPw`pm[gnqWjeg`0>#c^kmmqYaaeoTblcj=ug{pwgj&dcm nQxrhvf[hicmVh6^HOK_GKQWQ*dW~xbxhQboeg\e8TBIMUME_][,b]nq}YwayogeckPsucdav;7$jUcm~Qbel]lqqvr|Vxnk~Qm=1.`[iiflVceeyQiimg>5)eX`hyTahcPotvsqqYumnyTm0>#c^uqmqcXllzdRl24923(fYpz`~nSikti]b91>78%iTdl}Prrva95*dWakxS}{a<2/gZoia}kTzlb20-a\lduXe|rTcxzuu]qabuXj4:'oRfns^ov|Zir|ySkhs^c>4)eXimnymRyfduj>56*dWhno~oQxievk945+kVnnjl{ct^fbpd;7$jU~hQjcb?3(fYfdneyeyfb=0.`[aotikfnSzo}n^`krZtffno6p`~szhg'oR{|e^`zp`Ypmk7; nQzsd]a}qcXlk60:zb7v278hlk?;9-5CDu5dm2JKt?6i:G87>4}T0=09;?4>e;306ad><3;9>;htn322>4=i:981:6*>fg82bg=z[181>:<51d8277be1=0:>?8i;R34f?40?3:1=>7<87;29564cj0>1=?<80:f14c=9:8on4:51305b>pS9ko1<7?51;65V>32;=96ed823f=Q:9:1>v{>8182?p7?93:0q)?m7;0:1>d50k0;6?h53;0eM7bk2P<57;t2582b?472<21984r$0a0>7>e3-;mi7<<9:k136<722c9;94?::k1=5<72-;i57<61:l2f=<732c9<:4?:%3a=?46;2d:n54?;:k143<72-;i57<>3:l2f=<632c9<84?:%3a=?46;2d:n54=;:k14c<72-;i57<>3:l2f=<432c93:l2f=<232c9