OpenCores
URL https://opencores.org/ocsvn/virtual_rs232_terminal_with_lvds_lcd/virtual_rs232_terminal_with_lvds_lcd/trunk

Subversion Repositories virtual_rs232_terminal_with_lvds_lcd

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 1 to Rev 2
    Reverse comparison

Rev 1 → Rev 2

/virtual_rs232_terminal_with_lvds_lcd/trunk/tools/font.bmp Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/font.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_color.exe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alpha.jpg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt (revision 2) @@ -0,0 +1,2050 @@ +01111110 +01000010 +01000010 +01000010 +01000010 +01000010 +01000010 +01111110 +01111110 +10000001 +10100101 +10000001 +10111101 +10011001 +10000001 +01111110 +01111110 +11111111 +11011011 +11111111 +11000011 +11100111 +11111111 +01111110 +01101100 +11111110 +11111110 +11111110 +01111100 +00111000 +00010000 +00000000 +00010000 +00111000 +01111100 +11111110 +01111100 +00111000 +00010000 +00000000 +00111000 +01111100 +00111000 +11111110 +11111110 +01111100 +00111000 +01111100 +00010000 +00010000 +00111000 +01111100 +11111110 +01111100 +00111000 +01111100 +00000000 +00000000 +00011000 +00111100 +00111100 +00011000 +00000000 +00000000 +11111111 +11111111 +11100111 +11000011 +11000011 +11100111 +11111111 +11111111 +00000000 +00111100 +01100110 +01000010 +01000010 +01100110 +00111100 +00000000 +11111111 +11000011 +10011001 +10111101 +10111101 +10011001 +11000011 +11111111 +00001111 +00000111 +00001111 +01111101 +11001100 +11001100 +11001100 +01111000 +00111100 +01100110 +01100110 +01100110 +00111100 +00011000 +01111110 +00011000 +00111111 +00110011 +00111111 +00110000 +00110000 +01110000 +11110000 +11100000 +01111111 +01100011 +01111111 +01100011 +01100011 +01100111 +11100110 +11000000 +10011001 +01011010 +00111100 +11100111 +11100111 +00111100 +01011010 +10011001 +10000000 +11100000 +11111000 +11111110 +11111000 +11100000 +10000000 +00000000 +00000010 +00001110 +00111110 +11111110 +00111110 +00001110 +00000010 +00000000 +00011000 +00111100 +01111110 +00011000 +00011000 +01111110 +00111100 +00011000 +01100110 +01100110 +01100110 +01100110 +01100110 +00000000 +01100110 +00000000 +01111111 +11011011 +11011011 +01111011 +00011011 +00011011 +00011011 +00000000 +00111110 +01100011 +00111000 +01101100 +01101100 +00111000 +11001100 +01111000 +00000000 +00000000 +00000000 +00000000 +01111110 +01111110 +01111110 +00000000 +00011000 +00111100 +01111110 +00011000 +01111110 +00111100 +00011000 +11111111 +00011000 +00111100 +01111110 +00011000 +00011000 +00011000 +00011000 +00000000 +00011000 +00011000 +00011000 +00011000 +01111110 +00111100 +00011000 +00000000 +00000000 +00011000 +00001100 +11111110 +00001100 +00011000 +00000000 +00000000 +00000000 +00110000 +01100000 +11111110 +01100000 +00110000 +00000000 +00000000 +00000000 +00000000 +11000000 +11000000 +11000000 +11111110 +00000000 +00000000 +00000000 +00100100 +01100110 +11111111 +01100110 +00100100 +00000000 +00000000 +00000000 +00011000 +00111100 +01111110 +11111111 +11111111 +00000000 +00000000 +00000000 +11111111 +11111111 +01111110 +00111100 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00110000 +00110000 +00110000 +00110000 +00000000 +00110000 +00000000 +01101100 +01101100 +01101100 +00000000 +00000000 +00000000 +00000000 +00000000 +01101100 +01101100 +11111110 +01101100 +11111110 +01101100 +01101100 +00000000 +00110000 +01111100 +11000000 +01111000 +00001100 +11111000 +00110000 +00000000 +00000000 +11000110 +11001100 +00011000 +00110000 +01100110 +11000110 +00000000 +00111000 +01101100 +00111000 +01110110 +11011100 +11001100 +01110110 +00000000 +01100000 +01100000 +11000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00110000 +01100000 +01100000 +01100000 +00110000 +00011000 +00000000 +01100000 +00110000 +00011000 +00011000 +00011000 +00110000 +01100000 +00000000 +00000000 +01100110 +00111100 +11111111 +00111100 +01100110 +00000000 +00000000 +00000000 +00110000 +00110000 +11111100 +00110000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00110000 +01100000 +00000000 +00000000 +00000000 +11111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00110000 +00000000 +00000110 +00001100 +00011000 +00110000 +01100000 +11000000 +10000000 +00000000 +01111100 +11000110 +11001110 +11011110 +11110110 +11100110 +01111100 +00000000 +00110000 +01110000 +00110000 +00110000 +00110000 +00110000 +11111100 +00000000 +01111000 +11001100 +00001100 +00111000 +01100000 +11001100 +11111100 +00000000 +01111000 +11001100 +00001100 +00111000 +00001100 +11001100 +01111000 +00000000 +00011100 +00111100 +01101100 +11001100 +11111110 +00001100 +00011110 +00000000 +11111100 +11000000 +11111000 +00001100 +00001100 +11001100 +01111000 +00000000 +00111000 +01100000 +11000000 +11111000 +11001100 +11001100 +01111000 +00000000 +11111100 +11001100 +00001100 +00011000 +00110000 +00110000 +00110000 +00000000 +01111000 +11001100 +11001100 +01111000 +11001100 +11001100 +01111000 +00000000 +01111000 +11001100 +11001100 +01111100 +00001100 +00011000 +01110000 +00000000 +00000000 +00110000 +00110000 +00000000 +00000000 +00110000 +00110000 +00000000 +00000000 +00110000 +00110000 +00000000 +00000000 +00110000 +00110000 +01100000 +00011000 +00110000 +01100000 +11000000 +01100000 +00110000 +00011000 +00000000 +00000000 +00000000 +11111100 +00000000 +00000000 +11111100 +00000000 +00000000 +01100000 +00110000 +00011000 +00001100 +00011000 +00110000 +01100000 +00000000 +01111000 +11001100 +00001100 +00011000 +00110000 +00000000 +00110000 +00000000 +01111100 +11000110 +11011110 +11011110 +11011110 +11000000 +01111000 +00000000 +00110000 +01111000 +11001100 +11001100 +11111100 +11001100 +11001100 +00000000 +11111100 +01100110 +01100110 +01111100 +01100110 +01100110 +11111100 +00000000 +00111100 +01100110 +11000000 +11000000 +11000000 +01100110 +00111100 +00000000 +11111000 +01101100 +01100110 +01100110 +01100110 +01101100 +11111000 +00000000 +01111110 +01100000 +01100000 +01111000 +01100000 +01100000 +01111110 +00000000 +01111110 +01100000 +01100000 +01111000 +01100000 +01100000 +01100000 +00000000 +00111100 +01100110 +11000000 +11000000 +11001110 +01100110 +00111110 +00000000 +11001100 +11001100 +11001100 +11111100 +11001100 +11001100 +11001100 +00000000 +01111000 +00110000 +00110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00011110 +00001100 +00001100 +00001100 +11001100 +11001100 +01111000 +00000000 +11100110 +01100110 +01101100 +01111000 +01101100 +01100110 +11100110 +00000000 +01100000 +01100000 +01100000 +01100000 +01100000 +01100000 +01111110 +00000000 +11000110 +11101110 +11111110 +11111110 +11010110 +11000110 +11000110 +00000000 +11000110 +11100110 +11110110 +11011110 +11001110 +11000110 +11000110 +00000000 +00111000 +01101100 +11000110 +11000110 +11000110 +01101100 +00111000 +00000000 +11111100 +01100110 +01100110 +01111100 +01100000 +01100000 +11110000 +00000000 +01111000 +11001100 +11001100 +11001100 +11011100 +01111000 +00011100 +00000000 +11111100 +01100110 +01100110 +01111100 +01101100 +01100110 +11100110 +00000000 +01111000 +11001100 +11100000 +01111000 +00011100 +11001100 +01111000 +00000000 +11111100 +00110000 +00110000 +00110000 +00110000 +00110000 +00110000 +00000000 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +11111100 +00000000 +11001100 +11001100 +11001100 +11001100 +11001100 +01111000 +00110000 +00000000 +11000110 +11000110 +11000110 +11010110 +11111110 +11101110 +11000110 +00000000 +11000110 +11000110 +01101100 +00111000 +00111000 +01101100 +11000110 +00000000 +11001100 +11001100 +11001100 +01111000 +00110000 +00110000 +01111000 +00000000 +11111110 +00000110 +00001100 +00011000 +00110000 +01100000 +11111110 +00000000 +01111000 +01100000 +01100000 +01100000 +01100000 +01100000 +01111000 +00000000 +11000000 +01100000 +00110000 +00011000 +00001100 +00000110 +00000010 +00000000 +01111000 +00011000 +00011000 +00011000 +00011000 +00011000 +01111000 +00000000 +00010000 +00111000 +01101100 +11000110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00110000 +00110000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +11100000 +01100000 +01100000 +01111100 +01100110 +01100110 +11011100 +00000000 +00000000 +00000000 +01111000 +11001100 +11000000 +11001100 +01111000 +00000000 +00011100 +00001100 +00001100 +01111100 +11001100 +11001100 +01110110 +00000000 +00000000 +00000000 +01111000 +11001100 +11111100 +11000000 +01111000 +00000000 +00111000 +01101100 +01100000 +11110000 +01100000 +01100000 +11110000 +00000000 +00000000 +00000000 +01110110 +11001100 +11001100 +01111100 +00001100 +11111000 +11100000 +01100000 +01101100 +01110110 +01100110 +01100110 +11100110 +00000000 +00110000 +00000000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00001100 +00000000 +00001100 +00001100 +00001100 +11001100 +11001100 +01111000 +11100000 +01100000 +01100110 +01101100 +01111000 +01101100 +11100110 +00000000 +01110000 +00110000 +00110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00000000 +00000000 +11001100 +11111110 +11111110 +11010110 +11000110 +00000000 +00000000 +00000000 +11111000 +11001100 +11001100 +11001100 +11001100 +00000000 +00000000 +00000000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00000000 +00000000 +11011100 +01100110 +01100110 +01111100 +01100000 +11110000 +00000000 +00000000 +01110110 +11001100 +11001100 +01111100 +00001100 +00011110 +00000000 +00000000 +11011100 +01110110 +01100110 +01100000 +11110000 +00000000 +00000000 +00000000 +01111100 +11000000 +01111000 +00001100 +11111000 +00000000 +00010000 +00110000 +01111100 +00110000 +00110000 +00110100 +00011000 +00000000 +00000000 +00000000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00000000 +00000000 +11001100 +11001100 +11001100 +01111000 +00110000 +00000000 +00000000 +00000000 +11000110 +11010110 +11111110 +11111110 +01101100 +00000000 +00000000 +00000000 +11000110 +01101100 +00111000 +01101100 +11000110 +00000000 +00000000 +00000000 +11001100 +11001100 +11001100 +01111100 +00001100 +11111000 +00000000 +00000000 +11111100 +10011000 +00110000 +01100100 +11111100 +00000000 +00011100 +00110000 +00110000 +11100000 +00110000 +00110000 +00011100 +00000000 +00011000 +00011000 +00011000 +00000000 +00011000 +00011000 +00011000 +00000000 +11100000 +00110000 +00110000 +00011100 +00110000 +00110000 +11100000 +00000000 +01110110 +11011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00111000 +01101100 +11000110 +11111110 +00000000 +00000000 +00111100 +01100110 +11000000 +11000000 +01100110 +00111100 +00001000 +00011000 +00101000 +00000000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00001000 +00010000 +01111000 +11001100 +11111100 +11000000 +01111000 +00000000 +00010000 +00101000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00101000 +00000000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00100000 +00010000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00011000 +00011000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00000000 +01111000 +11001100 +11000000 +11001100 +01111000 +00010000 +00110000 +00010000 +00101000 +01111000 +11001100 +11111100 +11000000 +01111000 +00000000 +00101000 +00000000 +01111000 +11001100 +11111100 +11000000 +01111000 +00000000 +00100000 +00010000 +01111000 +11001100 +11111100 +11000000 +01111000 +00000000 +00101000 +00000000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00010000 +00101000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00010000 +00001000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00101000 +00110000 +01111000 +11001100 +11111100 +11001100 +11001100 +00000000 +00110000 +01001000 +00110000 +11001100 +11111100 +11001100 +11001100 +00000000 +00001000 +00010000 +01111110 +01100000 +01111000 +01100000 +01111110 +00000000 +00000000 +00000000 +01101100 +00010010 +01111110 +10010000 +01111110 +00000000 +00111110 +01010000 +10010000 +10011100 +11110000 +10010000 +10011110 +00000000 +00010000 +00101000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00101000 +00000000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00100000 +00010000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00010000 +00101000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00100000 +00010000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00101000 +00000000 +11001100 +11001100 +11001100 +01111100 +00001100 +11111000 +00101000 +01111100 +11000110 +11000110 +11000110 +11000110 +01111100 +00000000 +00101000 +11000110 +11000110 +11000110 +11000110 +11000110 +01111100 +00000000 +00000000 +00010000 +01111000 +11001100 +11000000 +11001100 +01111000 +00010000 +00111000 +01000100 +01000000 +11110000 +01000000 +01000000 +11111110 +00000000 +11000011 +01100110 +00111100 +01111110 +00011000 +01111110 +00011000 +00000000 +11111100 +01100110 +01100110 +01111100 +01100000 +01100000 +11110000 +00000000 +00011100 +00110000 +11111100 +00110000 +00110000 +00110000 +00110000 +11100000 +00001000 +00010000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00010000 +00100000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00010000 +00100000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00010000 +00100000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00110010 +01001100 +11111000 +11001100 +11001100 +11001100 +11001100 +00000000 +00110010 +01001100 +11000110 +11100110 +11010110 +11001110 +11000110 +00000000 +00000000 +00111000 +00001100 +00111100 +01101100 +00110110 +00000000 +00000000 +00000000 +00111000 +01000100 +01000100 +00111000 +00000000 +00000000 +00000000 +00011000 +00000000 +00011000 +00110000 +01100000 +01100110 +00111100 +00000000 +00000000 +00000000 +11111110 +10000000 +10000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111110 +00000010 +00000010 +00000000 +00000000 +00000000 +01000010 +01000100 +01001000 +01010110 +00101001 +01000110 +10001000 +00011111 +01000010 +01000100 +01001000 +01010110 +00101010 +01011111 +10000010 +00000111 +00110000 +00000000 +00110000 +00110000 +00110000 +00110000 +00110000 +00000000 +00000000 +00100100 +01001000 +10010000 +01001000 +00100100 +00000000 +00000000 +00000000 +01001000 +00100100 +00010010 +00100100 +01001000 +00000000 +00000000 +10001000 +00100010 +10001000 +00100010 +10001000 +00000000 +10001000 +00100010 +10101010 +01010101 +10101010 +01010101 +10101010 +00000000 +10101010 +01010101 +01110111 +11011101 +01110111 +11011101 +01110111 +11111111 +01110111 +11011101 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +11110000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +11110000 +00010000 +11110000 +00010000 +00010000 +00010000 +00101000 +00101000 +00101000 +11101000 +00101000 +00101000 +00101000 +00101000 +00000000 +00000000 +00000000 +11111000 +00101000 +00101000 +00101000 +00101000 +00000000 +00000000 +11110000 +00010000 +11110000 +00010000 +00010000 +00010000 +00101000 +00101000 +11101000 +00001000 +11101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00000000 +00000000 +11111000 +00001000 +11101000 +00101000 +00101000 +00101000 +00101000 +00101000 +11101000 +00001000 +11111000 +00000000 +00000000 +00000000 +00101000 +00101000 +00101000 +11111000 +00000000 +00000000 +00000000 +00000000 +00010000 +00010000 +11110000 +00010000 +11110000 +00000000 +00000000 +00000000 + +00000000 +0000000 +00000000 +11110000 +00010000 +00010000 +00010000 +00010000 + +0010000 +00010000 +00010000 +00011111 +00000000 +00000000 +00000000 +00000000 +00010000 +00010000 +00010000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00011111 +00010000 +00010000 +00010000 +00010000 +00000000 +00000000 +00000000 +11111111 +00000000 +00000000 +00000000 +00000000 +00010000 +00010000 +00010000 +11111111 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00011111 +00010000 +00011111 +00010000 +00010000 +00010000 +00101000 +00101000 +00101000 +00101111 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101111 +00100000 +00111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00111111 +00100000 +00101111 +00101000 +00101000 +00101000 +00101000 +00101000 +11101111 +00000000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +11101111 +00101000 +00101000 +00101000 +00101000 +00101000 +00101111 +00100000 +00101111 +00101000 +00101000 +00101000 +00000000 +00000000 +11111111 +00000000 +11111111 +00000000 +00000000 +00000000 +00101000 +00101000 +11101111 +00000000 +11101111 +00101000 +00101000 +00101000 +00010000 +00010000 +11111111 +00000000 +11111111 +00000000 +00000000 +00000000 +00101000 +00101000 +00101000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +11111111 +00010000 +00010000 +00010000 +00000000 +00000000 +00000000 +11111111 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00111111 +00000000 +00000000 +00000000 +00000000 +00010000 +00010000 +00011111 +00010000 +00011111 +00000000 +00000000 +00000000 +00000000 +00000000 +00011111 +00010000 +00011111 +00010000 +00010000 +00010000 +00000000 +00000000 +00000000 +00111111 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +00101000 +11111111 +00101000 +00101000 +00101000 +00101000 +00010000 +00010000 +11111111 +00010000 +11111111 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +11110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011111 +00010000 +00010000 +00010000 +00010000 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +00000000 +00000000 +00000000 +00000000 +11111111 +11111111 +11111111 +11111111 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +11111111 +11111111 +11111111 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01110010 +10001100 +10001000 +0111010 +00000000 +00110000 +01001000 +01001000 +01111100 +01000010 +01000010 +11011100 +00000000 +00000000 +00000000 +11111110 +01000010 +01000000 +01000000 +11100000 +00000000 +00000000 +00000000 +11111110 +01000100 +01000100 +01000100 +11101110 +00000000 +11111110 +01000010 +00100000 +00010000 +00100000 +01000010 +11111110 +00000000 +00000000 +00000000 +00000000 +00111110 +01000100 +01000100 +00111000 +00000000 +00000000 +00000000 +11001100 +01000100 +01000100 +01000100 +01111010 +01000000 +00000000 +00000000 +01111100 +00010000 +00010000 +00010000 +00011100 +00000000 +00000000 +00010000 +01111100 +10010010 +10010010 +01111100 +00010000 +00000000 +00000000 +00000000 +01111100 +10000010 +10111010 +10000010 +01111100 +00000000 +00000000 +01111100 +10000010 +10000010 +01101100 +00101000 +11101110 +00000000 +00000000 +01111100 +00100000 +00111000 +01000100 +01000100 +00111000 +00000000 +00000000 +00000000 +01101100 +10010010 +10010010 +01101100 +00000000 +00000000 +00000000 +00000000 +01001100 +10010010 +10010010 +01111100 +00010000 +00000000 +00000000 +00000000 +00111100 +01000000 +00110000 +01000000 +00111100 +00000000 +00000000 +00000000 +00111100 +01000010 +01000010 +01000010 +01000010 +00000000 +00000000 +11111110 +00000000 +11111110 +00000000 +11111110 +00000000 +00000000 +00010000 +00010000 +11111110 +00010000 +00010000 +11111110 +00000000 +00000000 +01000000 +00010000 +00000100 +00010000 +01000000 +11111110 +00000000 +00000000 +00000100 +00010000 +01000000 +00010000 +00000100 +11111110 +00000000 +00000000 +00001100 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +00010000 +01100000 +00000000 +00000000 +00010000 +00000000 +11111110 +00000000 +00010000 +00000000 +00000000 +01100010 +10011100 +00000000 +01100010 +10011100 +00000000 +00000000 +00110000 +01001000 +01001000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00000000 +00000000 +00000000 +00000001 +00000010 +11100010 +00100100 +00010100 +00011000 +00001000 +00000000 +00000000 +00000000 +01011000 +00100100 +00100100 +00000000 +00000000 +00000000 +00110000 +01001000 +00010000 +00100000 +01111000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +11111111 +11111111 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/x.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt (revision 2) @@ -0,0 +1 @@ +000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000110,000010000011000011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001010001100001101,001000001001001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000011000011,001010001101001110,001110010001010010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000111,001111010011010011,001111010010010011,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111110000000,000000000000000000,001000001010001010,001110010001010010,001110010001010010,000101000111000111,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001100,001010001101001101,001010001101001101,001011001110001110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001110010010010011,001001001011001011,000110001000001000,001001001011001100,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001100001100,001000001011001011,001000001010001011,001001001011001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000100000101000101,001011001110001110,001011001110001111,001000001010001010,000111001000001001,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,000100000101000101,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001010001100001100,001011001110001110,001010001100001100,001000001010001010,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000100000100000101,000100000101000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001001001011001011,000101000111000111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000101,000111001000001001,001000001010001011,001001001100001100,001010001100001100,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100000101000101,000111001000001000,001011001110001110,000100000101000110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001010,000111001001001001,001010001101001101,001000001010001010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000110,001000001010001010,001001001011001100,001000001011001011,001001001100001100,001001001100001100,000000001101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,000111001001001010,001000001010001010,001000001010001010,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001011,001110010010010011,001001001011001011,001001001011001011,001000001010001011,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000110001000001000,001000001010001010,000110001000001000,001000001010001010,001001001100001100,000111001001001001,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,001001001011001100,001010001101001101,001001001011001011,001001001100001100,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000001000001000001,001010001100001101,000111001001001001,001000001011001011,001010001101001101,001100001111010000,001000001011001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000111001001001010,001001001011001011,000101000110000110,001000001010001010,001001001011001011,000110000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000100,000111001001001001,000111001001001001,000111001001001001,000101000111000111,000111001001001001,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000110000111000111,010011010101010101,010010010100010100,010010010100010100,010011010101010101,010000010010010010,000101000101000101,000101000101000101,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000111,000101000101000101,010000010010010011,010001010011010011,001111010000010000,001011001110001110,001001001100001100,000110001000001000,000101000101000101,000111000111000111,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000001000001000001,000001000001000001,000110000111000111,001010001101001101,001011001110001110,001010001101001101,001001001011001100,000101000110000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,011110100100100111,011011100111101011,011010100110101010,011010100101101010,011011100110101011,011011100110101011,011011100110101011,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,011011100110101010,011011100111101100,010100100000100101,001001001101001111,001100001111001111,000110000111001000,010101011000011010,011110101001101101,011010100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,010111100011101000,000111001100001110,001000001001001001,001000001011001011,001001001100001100,001011001110001111,001001001011001011,001000001010001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011001100000,000000011010100101,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000001011001100100,000001011010100101,000000010100011100,000101001000001001,001000001010001010,001100001110001110,001100010011010111,000100011100100110,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100100,000000010110100000,000100001001001011,001010001100001100,001001001100001100,000110001000001000,001100001111001111,000101000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,001000001100001101,001000001010001010,001111010010010011,010000010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000101001010001101,001101010000010000,000111001001001010,000110000111001000,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011011,001001001101001111,001111010011010011,001110010001010001,001111010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000110001011001101,001011001101001101,000110001000001000,000000000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100110,000001010101011101,000101001000001010,001001001011001100,001010001100001100,001111010110011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001011000100001,000101001001001011,000101000110000110,000000000000000000,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010101011101,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001110010100,000001001100010001,000110001010001011,001011001110001110,001001001011001010,001111010111011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011000100010,000001001111010100,000011000110001000,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100001,000010011011100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000011000011000011,000101000111000111,000111001001001001,001010001100001101,001001001011001100,001010001100001101,000111001001001001,000110001000001000,000110000111000111,000110000111000111,001100001111010000,001010001101001110,001001001011001100,001010001101001101,001101010000010000,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010011011001,000010000011000100,000001000001000001,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001111,000001010101011101,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011000,000101000101000110,001010001010001010,010010010100010100,010101011000011000,010101011000011000,010010010100010100,010010010011010011,010001010010010010,001111010001010001,001101010000010000,010001010101010110,001010001101001101,001010001101001101,000111001001001001,000111001000001000,010000011000011011,000101011101100111,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000010000101000110,000001010000010110,000001011001100011,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010101100100101011,011010100110101011,011001100110101011,011000100101101011,011001100110101011,011001100110101011,011001100110101011,011010100111101100,001110011010011111,001010001110001111,001100001111001111,001010001101001101,001101010000010001,001000001010001010,001000001010001010,001010010010010101,000011011010100011,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000110,000101011001100010,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010000010110,001110010001010001,001111010010010011,001000001011001011,001001001011001011,000111001000001001,000111001000001001,001001001011001100,000011001011001110,000001010101011100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100011100100011,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001100001110001111,001110010001010001,000111001000001000,001010001100001101,001000001010001011,001000001011001011,001011001110001110,001000001001001001,000010000101000110,000010010101011101,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001110011101100100,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001001001011001011,001011001110001111,001001001100001100,001001001100001100,001010001100001101,001000001010001010,000101000111000111,000001000010000010,001011001011001011,001010010100011001,000011011011100101,000010011001100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,010010010010010011,010010100001101000,000000011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100110,000001010001010111,001100001111010000,001101010000010000,001001001100001100,001000001010001010,001100001111001111,000111001001001001,000101000110000110,000100000101000101,000011000011000011,001100010100010111,000100011100100110,000001011001100011,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000110000110000110,100000100001100001,011101101000101101,000101011011100101,000001011001100011,000010011010100100,000010011010100100,000001010100011011,000001001100010000,000010001100010000,000010001100010000,000010001100010000,000010001100010001,000010001100010001,000010001100010001,000010001100010001,000010001001001101,001010001101001110,001101010000010000,001000001010001011,001011001110001110,001011001110001110,000110000111001000,000101000111000111,000100000101000110,000011000100000011,010011011011011111,000101011100100110,000001011001100011,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000010,011111100100100110,010001100010101001,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000001000001000001,000000000000000000,000001000010000010,000110000111000111,000110001000001000,001000001010001010,000111001000001001,000111001001001001,001000001010001010,000111001001001010,001010001101001110,001000001010001010,001011001110001110,001001001100001100,000101000110000111,000011000100000101,000110000111000111,011101011101011101,011010100110101011,000010011010100100,000010011001100100,000010011011100101,000001010010011001,000001000010000010,011111011111011111,011111011111011111,011111011111011111,001011001011001011,011001011010011011,011001011011011100,011000011011011100,011000011011011100,011001011011011100,010001010101010110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000000011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011001,000111001000001001,001000001000001000,001110001111001111,010010010011010011,010001010010010010,010011010100010100,010010010011010011,010001010010010011,010001010011010011,010101010110010111,010110011001011001,010000010010010011,001100010000010000,001001001011001011,000011000101000101,001110001111001111,100101101000101010,011000100111101101,000011011011100100,000001011001100011,000010011010100100,000010011010100100,000001010110011111,001100010001010011,010001010001010010,010001010001010010,010001010001010010,010100010100010101,011011100111101011,001000011101100111,001001011110100111,001001011110100111,001001011111101000,000100010011011001,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010100100100101010,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011001100111101100,010010011111100101,000110001010001100,001000001001001001,000101000110000110,010101011001011100,001110100010101010,000000011000100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,001011011111101000,010001100010101001,010001100001101001,010001100010101001,010001100010101001,000110011100100101,000000011001100011,000001011001100011,000001011001100011,000001011010100100,000001010000010110,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010100011100,000101000111001001,001001001010001011,001010001100001100,001101010101011000,000100011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000101001000001001,001000001010001010,001011001101001101,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000100000111001000,001001001010001010,001000001001001001,001110010101011001,000101011101100111,000001011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011011100001,000001011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010100011100,000101001000001010,001010001101001101,001001001011001011,001110010110011001,000101011101100111,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000011001100010000,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001010001110,000111001010001011,001111010010010011,001101010000010000,000111001101001111,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001010001110,000010001010001111,000010001000001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111000111,000111001000001000,000101000101000110,000101000110000110,000110000110000111,000110000111001000,000101000110000110,000000000001000001,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000011000011000100,000111001000001000,001010001100001100,001100001111001111,001011001110001110,001001001011001100,000011000100000100,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000001000001000001,000011000100000100,000101000110000110,000101000110000110,000101000101000101,001001001011001011,001011001101001110,000001000010000010,000000000000000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001001001011001011,001100001111010000,000111001001001001,000101000110000110,000101000110000110,000100000101000101,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001000001010001010,001011001110001110,001111010010010011,001001001011001100,001000001001001010,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000001000010000010,000100000101000110,000111001001001001,001100001111001111,001011001110001111,001000001010001010,000011000011000100,001011001011001011,000000111101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111001000,001101010000010000,001100001111001111,000100000110000110,000011000100000100,000001000001000001,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,001000001010001010,001010001101001101,001001001100001100,001001001011001100,001001001100001100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000011000011000100,001011001110001110,001011001101001110,001001001011001011,001011001110001111,000100000101000101,001011001011001011,000000111110000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001000001001,001000001010001010,001010001101001101,000111001001001001,000001000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001100001111001111,001010001101001101,001000001010001011,000110001000001000,000111001001001001,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000101000110000111,001000001010001010,001000001010001010,001010001100001101,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001000001011001011,000101000110000110,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001011001110001110,001100001111010000,001000001010001010,000111001000001001,001000001010001010,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000110001000001000,000111001001001001,001000001011001011,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000101,000001000010000010,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000101000111000111,001001001011001100,001010001101001101,001001001011001011,001100001111001111,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000000000000,000011000100000100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000110,000111001001001001,001001001011001011,001101010001010001,001011001110001111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000111,001001001011001100,001100001111001111,001001001011001011,001010001100001100,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000100000100,001101010001010001,001010001101001101,000111001000001001,000111001000001000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100001111001111,001000001010001011,000111001001001001,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001101001110,001000001010001010,000111001001001010,000011000100000100,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001101,001001001100001100,001000001011001011,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000110000111000111,000111001000001001,001000001010001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001011001100,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000, \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/out.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/libgcc_s_dw2-1.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt (revision 2) @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/gpl.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window-d.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/alphatest.jpg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp (revision 2) @@ -0,0 +1,31 @@ + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.cbp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp (revision 2) @@ -0,0 +1,31 @@ +#include + +#include + +#include + +int main() { + std::string string = ""; + sf::Image img; + img.SetSmooth(0); + if (!img.LoadFromFile("image.bmp")) { + return 0; + } + for (unsigned int i = 0 ; i < img.GetHeight() ; i++) { + for (unsigned int j = 0 ; j < img.GetWidth() ; j++) { + sf::Color c = img.GetPixel(j,i); + if (c == sf::Color::Black) { + string += "1,"; + } + else { + string += "0,"; + } + } + } + string.erase(string.end()-1); + std::ofstream f("out.txt"); + if (f.is_open()) { + f << string; + f.close(); + } +}
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/main.cpp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat (revision 2) @@ -0,0 +1,2 @@ +LCD_ColorBitmap.exe +pause \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/lcd.bat Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD_ColorBitmap.exe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/logo_alphaconsole.psd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout (revision 2) @@ -0,0 +1,7 @@ + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.layout Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-window.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image2.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE (revision 2) @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image3.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.exe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network-d.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/imagex.bmp Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics-d.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio-d.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt (revision 2) @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +.
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LICENSE.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend (revision 2) @@ -0,0 +1,6 @@ +# depslib dependency file v1.0 +1297660690 source:c:\users\grégory\desktop\lcd\main.cpp + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/LCD.depend Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/image.jpg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-network.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-graphics.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-audio.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt (revision 2) @@ -0,0 +1,8 @@ +This program as been made by Gregory Gusberti, and has been licensed at General Public License. + +Consult gpl.txt, LICENSE or LICENSE.txt for more information. + + +Esse programa foi feito por Gregory Gusberti e foi licenciado sobre a General Public License. + +Consulte gpl.txt, LICENSE ou LICENSE.txt para mais informações. \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/README.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/Generator/sfml-system-d.dll Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt (revision 2) @@ -0,0 +1,2048 @@ +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +10000001 +10100101 +10000001 +10111101 +10011001 +10000001 +01111110 +01111110 +11111111 +11011011 +11111111 +11000011 +11100111 +11111111 +01111110 +01101100 +11111110 +11111110 +11111110 +01111100 +00111000 +00010000 +00000000 +00010000 +00111000 +01111100 +11111110 +01111100 +00111000 +00010000 +00000000 +00111000 +01111100 +00111000 +11111110 +11111110 +11010110 +00010000 +00111000 +00010000 +00111000 +01111100 +11111110 +11111110 +01111100 +00010000 +00111000 +00000000 +00000000 +00011000 +00111100 +00111100 +00011000 +00000000 +00000000 +11111111 +11111111 +11100111 +11000011 +11000011 +11100111 +11111111 +11111111 +00000000 +00011000 +00100100 +01000010 +01000010 +00100100 +00011000 +00000000 +11111111 +11100111 +11011011 +10111101 +10111101 +11011011 +11100111 +11111111 +00001111 +00000111 +00001111 +01111101 +11001100 +11001100 +11001100 +01111000 +00111100 +01100110 +01100110 +01100110 +00111100 +00011000 +00111100 +00011000 +00111111 +00110011 +00111111 +00110000 +00110000 +01110000 +11110000 +11100000 +01111111 +01100011 +01111111 +01100011 +01100011 +01100111 +11100100 +10000000 +00011000 +11011011 +00111100 +11100111 +11100111 +00111100 +11011011 +00011000 +10000000 +11100000 +11111000 +11111110 +11111000 +11100000 +10000000 +00000000 +00000010 +00001110 +00111110 +11111110 +00111110 +00001110 +00000010 +00000000 +00011000 +00111100 +01111110 +00011000 +00011000 +01111110 +00111100 +00011000 +01100110 +01100110 +01100110 +01100110 +01100110 +00000000 +01100110 +01100110 +01111111 +11011011 +11011011 +01111011 +00011011 +00011011 +00011011 +00011011 +00111110 +01100001 +00111100 +01100110 +01100110 +00111100 +10000110 +01111100 +00000000 +00000000 +00000000 +00000000 +01111110 +01111110 +01111110 +00000000 +00011000 +00111100 +01111110 +00011000 +01111110 +00111100 +00011000 +11111111 +00011000 +00111100 +01111110 +00011000 +00011000 +00011000 +00011000 +00000000 +00011000 +00011000 +00011000 +00011000 +01111110 +00111100 +00011000 +00000000 +00000000 +00011000 +00001100 +11111110 +00001100 +00011000 +00000000 +00000000 +00000000 +00110000 +01100000 +11111110 +01100000 +00110000 +00000000 +00000000 +00000000 +00000000 +11000000 +11000000 +11000000 +11111110 +00000000 +00000000 +00000000 +00100100 +01100110 +11111111 +01100110 +00100100 +00000000 +00000000 +00000000 +00011000 +00111100 +00111100 +01111110 +01111110 +11111111 +00000000 +00000000 +11111111 +01111110 +01111110 +00111100 +00111100 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00111100 +00111100 +00011000 +00011000 +00000000 +00011000 +00000000 +01100110 +01100110 +00100100 +00000000 +00000000 +00000000 +00000000 +00000000 +01101100 +01101100 +11111110 +01101100 +11111110 +01101100 +01101100 +00000000 +00011000 +00111110 +01100000 +00111100 +00000110 +01111100 +00011000 +00000000 +00000000 +11000110 +11001100 +00011000 +00110000 +01100110 +11000110 +00000000 +00111000 +01101100 +00111000 +01110110 +11011100 +11001100 +01110110 +00000000 +00011000 +00011000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001100 +00011000 +00110000 +00110000 +00110000 +00011000 +00001100 +00000000 +00110000 +00011000 +00001100 +00001100 +00001100 +00011000 +00110000 +00000000 +00000000 +01100110 +00111100 +11111111 +00111100 +01100110 +00000000 +00000000 +00000000 +00011000 +00011000 +01111110 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00110000 +00000000 +00000000 +00000000 +01111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000110 +00001100 +00011000 +00110000 +01100000 +11000000 +10000000 +00000000 +01111100 +11000110 +11001110 +11011110 +11110110 +11100110 +01111100 +00000000 +00110000 +01110000 +00110000 +00110000 +00110000 +00110000 +11111100 +00000000 +01111000 +11001100 +00001100 +00111000 +01100000 +11001100 +11111100 +00000000 +01111000 +11001100 +00001100 +00111000 +00001100 +11001100 +01111000 +00000000 +00011100 +00111100 +01101100 +11001100 +11111110 +00001100 +00011110 +00000000 +11111100 +11000000 +11111000 +00001100 +00001100 +11001100 +01111000 +00000000 +00111000 +01100000 +11000000 +11111000 +11001100 +11001100 +01111000 +00000000 +11111100 +11001100 +00001100 +00011000 +00110000 +00110000 +00110000 +00000000 +01111000 +11001100 +11001100 +01111000 +11001100 +11001100 +01111000 +00000000 +01111000 +11001100 +11001100 +01111100 +00001100 +00011000 +01110000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00011000 +00011000 +00110000 +00000110 +00001100 +00011000 +00110000 +00011000 +00001100 +00000110 +00000000 +00000000 +00000000 +00000000 +01111110 +00000000 +01111110 +00000000 +00000000 +00110000 +00011000 +00001100 +00000110 +00001100 +00011000 +00110000 +00000000 +01111100 +11000110 +00001100 +00011000 +00011000 +00000000 +00011000 +00000000 +01111100 +11000110 +11011110 +11011110 +11011110 +11000000 +01111100 +00000000 +00111000 +01101100 +11000110 +11111110 +11000110 +11000110 +11000110 +00000000 +11111100 +01100110 +01100110 +01111100 +01100110 +01100110 +11111100 +00000000 +00111100 +01100110 +11000000 +11000000 +11000000 +01100110 +00111100 +00000000 +11111000 +01101100 +01100110 +01100110 +01100110 +01101100 +11111000 +00000000 +11111110 +01100010 +01101000 +01111000 +01101000 +01100010 +11111110 +00000000 +11111110 +01100010 +01101000 +01111000 +01101000 +01100000 +11110000 +00000000 +00111100 +01100110 +11000000 +11000000 +11001110 +01100110 +00111010 +00000000 +11000110 +11000110 +11000110 +11111110 +11000110 +11000110 +11000110 +00000000 +00111100 +00011000 +00011000 +00011000 +00011000 +00011000 +00111100 +00000000 +00011110 +00001100 +00001100 +00001100 +11001100 +11001100 +01111000 +00000000 +11100110 +01100110 +01101100 +01111000 +01101100 +01100110 +11100110 +00000000 +11110000 +01100000 +01100000 +01100000 +01100010 +01100110 +11111110 +00000000 +11000110 +11101110 +11111110 +11111110 +11010110 +11000110 +11000110 +00000000 +11000110 +11100110 +11110110 +11011110 +11001110 +11000110 +11000110 +00000000 +01111100 +11000110 +11000110 +11000110 +11000110 +11000110 +01111100 +00000000 +11111100 +01100110 +01100110 +01111100 +01100000 +01100000 +11110000 +00000000 +01111000 +11000110 +11000110 +11000110 +11000110 +11001110 +01111100 +00000110 +11111100 +01100110 +01100110 +01111100 +01101100 +01100110 +11100110 +00000000 +00111100 +01100110 +00110000 +00011000 +00001100 +01100110 +00111100 +00000000 +01111110 +01111110 +01011010 +00011000 +00011000 +00011000 +00111100 +00000000 +11000110 +11000110 +11000110 +11000110 +11000110 +11000110 +01111100 +00000000 +11000110 +11000110 +11000110 +11000110 +11000110 +01101100 +00111000 +00000000 +11000110 +11000110 +11000110 +11010110 +11010110 +11111110 +01101100 +00000000 +11000110 +11000110 +01101100 +00111000 +01101100 +11000110 +11000110 +00000000 +01100110 +01100110 +01100110 +00111100 +00011000 +00011000 +00111100 +00000000 +11111110 +11000110 +10001100 +00011000 +00110010 +01100110 +11111110 +00000000 +00111100 +00110000 +00110000 +00110000 +00110000 +00110000 +00111100 +00000000 +11000000 +01100000 +00110000 +00011000 +00001100 +00000110 +00000010 +00000000 +00111100 +00001100 +00001100 +00001100 +00001100 +00001100 +00111100 +00000000 +00010000 +00111000 +01101100 +11000110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00110000 +00011000 +00001100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +11100000 +01100000 +01100000 +01111100 +01100110 +01100110 +11011100 +00000000 +00000000 +00000000 +01111100 +11000110 +11000000 +11000110 +01111100 +00000000 +00011100 +00001100 +01111100 +11001100 +11001100 +11001100 +01110110 +00000000 +00000000 +00000000 +01111100 +11000110 +11111110 +11000000 +01111100 +00000000 +00111100 +01100110 +01100000 +11111000 +01100000 +01100000 +11110000 +00000000 +00000000 +00000000 +01110110 +11001100 +11001100 +01111100 +00001100 +01111000 +11100000 +01100000 +01101100 +01110110 +01100110 +01100110 +11100110 +00000000 +00011000 +00000000 +00111000 +00011000 +00011000 +00011000 +00111100 +00000000 +00000110 +00000000 +00000110 +00000110 +00000110 +01100110 +01100110 +00111100 +11100000 +01100000 +01100110 +01101100 +01111000 +01101100 +11100110 +00000000 +00111000 +00011000 +00011000 +00011000 +00011000 +00011000 +00111100 +00000000 +00000000 +00000000 +11101100 +11111100 +11010110 +11010110 +11010110 +00000000 +00000000 +00000000 +11111000 +11001100 +11001100 +11001100 +11001100 +00000000 +00000000 +00000000 +01111000 +11001100 +11001100 +11001100 +01111000 +00000000 +00000000 +00000000 +11011100 +01100110 +01100110 +01111100 +01100000 +11110000 +00000000 +00000000 +01110110 +11001100 +11001100 +01111100 +00001100 +00011110 +00000000 +00000000 +11011100 +01110110 +01100110 +01100000 +11110000 +00000000 +00000000 +00000000 +01111110 +11000000 +01111100 +00000110 +11111100 +00000000 +00010000 +00110000 +01111100 +00110000 +00110000 +00110110 +00011100 +00000000 +00000000 +00000000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00000000 +00000000 +11001100 +11001100 +11001100 +01111000 +00110000 +00000000 +00000000 +00000000 +11000110 +11010110 +11010110 +11111110 +01101100 +00000000 +00000000 +00000000 +11000110 +01101100 +00111000 +01101100 +11000110 +00000000 +00000000 +00000000 +11000110 +11000110 +11000110 +01111110 +00000110 +11111100 +00000000 +00000000 +01111110 +01001100 +00011000 +00110010 +01111110 +00000000 +00001110 +00011000 +00011000 +01110000 +00011000 +00011000 +00001110 +00000000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00000000 +01110000 +00011000 +00011000 +00001110 +00011000 +00011000 +01110000 +00000000 +01110110 +11011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00111000 +01101100 +11000110 +11000110 +11111110 +00000000 +01111100 +11000110 +11000000 +11000000 +11000110 +01111100 +00001100 +01111000 +11001100 +00000000 +11001100 +11001100 +11001100 +11001100 +01110110 +00000000 +00001100 +00011000 +01111100 +11000110 +11111110 +11000000 +01111100 +00000000 +01111100 +10000010 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +11001100 +00000000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00110000 +00011000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00110000 +00110000 +01111000 +00001100 +01111100 +11001100 +01110110 +00000000 +00000000 +00000000 +01111110 +11000000 +11000000 +01111110 +00001100 +00111000 +01111100 +10000010 +01111100 +11000110 +11111110 +11000000 +01111100 +00000000 +11000110 +00000000 +01111100 +11000110 +11111110 +11000000 +01111100 +00000000 +00110000 +00011000 +01111100 +11000110 +11111110 +11000000 +01111100 +00000000 +01100110 +00000000 +00111000 +00011000 +00011000 +00011000 +00111100 +00000000 +01111100 +10000010 +00111000 +00011000 +00011000 +00011000 +00111100 +00000000 +00110000 +00011000 +00000000 +00111000 +00011000 +00011000 +00111100 +00000000 +11000110 +00111000 +01101100 +11000110 +11111110 +11000110 +11000110 +00000000 +00111000 +01101100 +01111100 +11000110 +11111110 +11000110 +11000110 +00000000 +00011100 +00000000 +11111100 +01100000 +01111000 +01100000 +11111100 +00000000 +00000000 +00000000 +01111111 +00001100 +01111111 +11001100 +01111111 +00000000 +00111110 +01101100 +11001100 +11111110 +11001100 +11001100 +11001110 +00000000 +01111000 +11001100 +00000000 +01111000 +11001100 +11001100 +01111000 +00000000 +00000000 +11001100 +00000000 +01111000 +11001100 +11001100 +01111000 +00000000 +00000000 +11100000 +00000000 +01111000 +11001100 +11001100 +01111000 +00000000 +01111000 +11001100 +00000000 +11001100 +11001100 +11001100 +01111110 +00000000 +00000000 +11100000 +00000000 +11001100 +11001100 +11001100 +01111110 +00000000 +00000000 +11001100 +00000000 +11001100 +11001100 +01111100 +00001100 +11111100 +11000011 +00011000 +00111100 +01100110 +01100110 +00111100 +00011000 +00000000 +11001100 +00000000 +11001100 +11001100 +11001100 +11001100 +01111000 +00000000 +00010000 +00010000 +01111100 +11000000 +11000000 +01111100 +00010000 +00010000 +00111000 +01101100 +01100100 +11110000 +01100000 +11100110 +11111100 +00000000 +11001100 +11001100 +01111000 +11111100 +00110000 +11111100 +00110000 +00110000 +11111000 +11001100 +11001100 +11111010 +11000110 +11001111 +11000110 +11000111 +00001110 +00011011 +00011000 +00111100 +00011000 +00011000 +11011000 +01110000 +00011100 +00000000 +01111000 +00001100 +01111100 +11001100 +01111110 +00000000 +00111000 +00000000 +01110000 +00110000 +00110000 +00110000 +01111000 +00000000 +00000000 +00011100 +00000000 +01111000 +11001100 +11001100 +01111000 +00000000 +00000000 +00011100 +00000000 +11001100 +11001100 +11001100 +01111110 +00000000 +00000000 +11111000 +00000000 +11111000 +11001100 +11001100 +11001100 +00000000 +11111100 +00000000 +11001100 +11101100 +11111100 +11011100 +11001100 +00000000 +00111100 +01101100 +01101100 +00111110 +00000000 +01111110 +00000000 +00000000 +00111000 +01101100 +01101100 +00111000 +00000000 +01111100 +00000000 +00000000 +00110000 +00000000 +00110000 +01100000 +11000000 +11011000 +01110000 +00000000 +00000000 +00000000 +00000000 +11111110 +11000000 +11000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111110 +00000110 +00000110 +00000000 +00000000 +11000011 +11000110 +11001100 +11011110 +00110011 +01100110 +11001100 +00001111 +11000011 +11000110 +11001100 +11011011 +00110111 +01101111 +11001111 +00000011 +00011000 +00011000 +00000000 +00011000 +00011000 +00011000 +00011000 +00000000 +00000000 +00110011 +01100110 +11001100 +01100110 +00110011 +00000000 +00000000 +00000000 +11001100 +01100110 +00110011 +01100110 +11001100 +00000000 +00000000 +00110011 +11001100 +00110011 +11001100 +00110011 +11001100 +00110011 +11001100 +01010101 +10101010 +01010101 +10101010 +01010101 +10101010 +01010101 +10101010 +11011011 +01110111 +11011011 +11101110 +11011011 +01110111 +11011011 +11101110 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +11111000 +00011000 +00011000 +00011000 +00011000 +00011000 +11111000 +00011000 +11111000 +00011000 +00011000 +00011000 +00110110 +00110110 +00110110 +00110110 +11110110 +00110110 +00110110 +00110110 +00000000 +00000000 +00000000 +00000000 +11111110 +00110110 +00110110 +00110110 +00000000 +00000000 +11111000 +00011000 +11111000 +00011000 +00011000 +00011000 +00110110 +00110110 +11110110 +00000110 +11110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00000000 +00000000 +11111110 +00000110 +11110110 +00110110 +00110110 +00110110 +00110110 +00110110 +11110110 +00000110 +11111110 +00000000 +00000000 +00000000 +00110110 +00110110 +00110110 +00110110 +11111110 +00000000 +00000000 +00000000 +00011000 +00011000 +11111000 +00011000 +11111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011111 +00000000 +00000000 +00000000 +00011000 +00011000 +00011000 +00011000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011111 +00011000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +00000000 +00000000 +00011000 +00011000 +00011000 +00011000 +11111111 +00011000 +00011000 +00011000 +00011000 +00011000 +00011111 +00011000 +00011111 +00011000 +00011000 +00011000 +00110110 +00110110 +00110110 +00110110 +00110111 +00110110 +00110110 +00110110 +00110110 +00110110 +00110111 +00110000 +00111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00111111 +00110000 +00110111 +00110110 +00110110 +00110110 +00110110 +00110110 +11110111 +00000000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +11110111 +00110110 +00110110 +00110110 +00110110 +00110110 +00110111 +00110000 +00110111 +00110110 +00110110 +00110110 +00000000 +00000000 +11111111 +00000000 +11111111 +00000000 +00000000 +00000000 +00110110 +00110110 +11110111 +00000000 +11110111 +00110110 +00110110 +00110110 +00011000 +00011000 +11111111 +00000000 +11111111 +00000000 +00000000 +00000000 +00110110 +00110110 +00110110 +00110110 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +11111111 +00011000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +11111111 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00111111 +00000000 +00000000 +00000000 +00011000 +00011000 +00011111 +00011000 +00011111 +00000000 +00000000 +00000000 +00000000 +00000000 +00011111 +00011000 +00011111 +00011000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00111111 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +00110110 +11111111 +00110110 +00110110 +00110110 +00011000 +00011000 +11111111 +00011000 +11111111 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +11111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011111 +00011000 +00011000 +00011000 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +00000000 +00000000 +00000000 +00000000 +11111111 +11111111 +11111111 +11111111 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +11110000 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +00001111 +11111111 +11111111 +11111111 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01110110 +11011100 +11011000 +11011100 +01110110 +00000000 +00000000 +01111000 +11001100 +11111000 +11001100 +11111000 +11000000 +11000000 +00000000 +11111100 +11001100 +11000000 +11000000 +11000000 +11000000 +00000000 +00000000 +11111110 +01101100 +01101100 +01101100 +01101100 +01101100 +00000000 +11111100 +11001100 +01100000 +00110000 +01100000 +11001100 +11111100 +00000000 +00000000 +00000000 +01111111 +11001100 +11001100 +11001100 +01111000 +00000000 +00000000 +01100110 +01100110 +01100110 +01100110 +01111100 +01100000 +11000000 +01110110 +11011100 +00011000 +00011000 +00011000 +00011000 +00011000 +00000000 +11111100 +00110000 +01111000 +11001100 +11001100 +01111000 +00110000 +11111100 +00111000 +01101100 +11000110 +11111110 +11000110 +01101100 +00111000 +00000000 +00111000 +01101100 +11000110 +11000110 +01101100 +01101100 +11101110 +00000000 +00011100 +00110000 +00011000 +01111100 +11001100 +11001100 +01111000 +00000000 +00000000 +00000000 +01111100 +11010110 +11010110 +01111100 +00000000 +00000000 +00000110 +00001100 +01111100 +11010110 +11010110 +01111100 +01100000 +11000000 +00111000 +01100000 +11000000 +11111000 +11000000 +01100000 +00110000 +00000000 +01111000 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +00000000 +00000000 +11111111 +00000000 +11111111 +00000000 +11111111 +00000000 +00000000 +00110000 +00110000 +11111100 +00110000 +00110000 +00000000 +11111100 +00000000 +00110000 +00011000 +00001100 +00011000 +00110000 +00000000 +11111100 +00000000 +00011000 +00110000 +01100000 +00110000 +00011000 +00000000 +11111100 +00000000 +00001110 +00011011 +00011011 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +00011000 +11011000 +01110000 +00110000 +00110000 +00000000 +11111100 +00000000 +00110000 +00110000 +00000000 +00000000 +01110110 +11011100 +00000000 +01110110 +11011100 +00000000 +00000000 +00111000 +01101100 +01101100 +00111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00000000 +00000000 +00000000 +00001111 +00001100 +00001100 +00001100 +11101100 +01101100 +01101100 +00111000 +01111000 +01101100 +01101100 +01101100 +01101100 +00000000 +00000000 +00000000 +01110000 +00011000 +00110000 +01100000 +01111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +00111110 +00111110 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/ibmpc.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/tools/pc8x8.fon Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho (revision 2) @@ -0,0 +1,72 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component textram + port ( + clka: IN std_logic; + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + addra: IN std_logic_VECTOR(12 downto 0); + dina: IN std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + enb: IN std_logic; + addrb: IN std_logic_VECTOR(12 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Synplicity black box declaration +attribute syn_black_box : boolean; +attribute syn_black_box of textram: component is true; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : textram + port map ( + clka => clka, + ena => ena, + wea => wea, + addra => addra, + dina => dina, + clkb => clkb, + enb => enb, + addrb => addrb, + doutb => doutb); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file textram.vhd when simulating +-- the core, textram. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vho Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho (revision 2) @@ -0,0 +1,60 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component fontrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Synplicity black box declaration +attribute syn_black_box : boolean; +attribute syn_black_box of fontrom: component is true; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : fontrom + port map ( + clka => clka, + addra => addra, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file fontrom.vhd when simulating +-- the core, fontrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vho Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc (revision 2) @@ -0,0 +1,284 @@ + + + xilinx.com + project + fontrom + 1.0 + + + fontrom + + Generated by ISE Project Navigator + + + false + 0 + false + false + true + 0 + false + false + false + 8kx2 + 0 + 0 + Single_Port_ROM + 100 + 8 + false + 8 + 9 + false + false + false + false + false + false + false + Always_Enabled + Always_Enabled + 100 + 1024 + Minimum_Area + 0 + 0 + Single_Bit_Error_Injection + 0 + 8 + 8 + CE + CE + WRITE_FIRST + false + WRITE_FIRST + SYNC + false + false + 0 + D:\Dados\Energy Labs\FPGA\TFTLCD\fontrom.coe + true + ALL + + + + + fontrom + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Advanced + true + Other + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + + + apply_current_project_options_generator + + + customization_generator + + ./fontrom.mif + mif + Mon Feb 14 17:37:17 GMT 2011 + 0xB71A1638 + + + + ip_xco_generator + + ./fontrom.xco + xco + Mon Feb 14 17:37:18 GMT 2011 + 0xD1F7146C + + + + implementation_netlist_generator + + ./blk_mem_gen_ds512.pdf + pdf + Mon Feb 14 17:37:41 GMT 2011 + 0xDCFF7B3C + + + ./fontrom.asy + asy + Mon Feb 14 17:37:22 GMT 2011 + 0xF599AB22 + + + ./fontrom.mif + mif + Mon Feb 14 17:37:25 GMT 2011 + 0xB71A1638 + + + ./fontrom.ngc + ngc + Mon Feb 14 17:37:48 GMT 2011 + 0xFF9B809D + + + ./fontrom.v + verilog + Mon Feb 14 17:37:41 GMT 2011 + 0xFE768EF6 + + + ./fontrom.veo + veo + Mon Feb 14 17:37:41 GMT 2011 + 0xF0BFC19C + + + ./fontrom.vhd + vhdl + Mon Feb 14 17:37:41 GMT 2011 + 0xC36D0761 + + + ./fontrom.vho + vho + Mon Feb 14 17:37:41 GMT 2011 + 0xD7858F31 + + + + instantiation_template_generator + + ./fontrom.veo + veo + Mon Feb 14 17:37:49 GMT 2011 + 0xF0BFC19C + + + ./fontrom.vho + vho + Mon Feb 14 17:37:48 GMT 2011 + 0xD7858F31 + + + + xco_generator + + ./fontrom.xco + xco + Mon Feb 14 17:37:54 GMT 2011 + 0x34932751 + + + + xmdf_generator + + ./fontrom_xmdf.tcl + tclXmdf + tcl + Mon Feb 14 17:37:54 GMT 2011 + 0x2E99D637 + + + + ise_generator + + ./_xmsgs/pn_parser.xmsgs + ignore + unknown + Mon Feb 14 17:37:58 GMT 2011 + 0xF0D573B0 + + + ./fontrom.gise + ignore + gise + Mon Feb 14 17:37:59 GMT 2011 + 0xD53A1B53 + + + ./fontrom.xise + ignore + xise + Mon Feb 14 17:37:59 GMT 2011 + 0xA8B0F7D1 + + + + deliver_readme_generator + + ./fontrom_readme.txt + ignore + txtReadme + txt + Mon Feb 14 17:37:59 GMT 2011 + 0x25E400B3 + + + + flist_generator + + ./fontrom_flist.txt + ignore + txtFlist + txt + Mon Feb 14 17:37:59 GMT 2011 + 0x74156ECC + + + + + + + + + + + fontrom + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Advanced + true + Other + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.cgc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v (revision 2) @@ -0,0 +1,141 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file LogoROM.v when simulating +// the core, LogoROM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module LogoROM( + clka, + ena, + addra, + douta); + + +input clka; +input ena; +input [11 : 0] addra; +output [17 : 0] douta; + +// synthesis translate_off + + BLK_MEM_GEN_V4_1 #( + .C_ADDRA_WIDTH(12), + .C_ADDRB_WIDTH(12), + .C_ALGORITHM(1), + .C_BYTE_SIZE(9), + .C_COMMON_CLK(0), + .C_DEFAULT_DATA("0"), + .C_DISABLE_WARN_BHV_COLL(0), + .C_DISABLE_WARN_BHV_RANGE(0), + .C_FAMILY("spartan3"), + .C_HAS_ENA(1), + .C_HAS_ENB(0), + .C_HAS_INJECTERR(0), + .C_HAS_MEM_OUTPUT_REGS_A(0), + .C_HAS_MEM_OUTPUT_REGS_B(0), + .C_HAS_MUX_OUTPUT_REGS_A(0), + .C_HAS_MUX_OUTPUT_REGS_B(0), + .C_HAS_REGCEA(0), + .C_HAS_REGCEB(0), + .C_HAS_RSTA(0), + .C_HAS_RSTB(0), + .C_HAS_SOFTECC_INPUT_REGS_A(0), + .C_HAS_SOFTECC_INPUT_REGS_B(0), + .C_HAS_SOFTECC_OUTPUT_REGS_A(0), + .C_HAS_SOFTECC_OUTPUT_REGS_B(0), + .C_INITA_VAL("0"), + .C_INITB_VAL("0"), + .C_INIT_FILE_NAME("LogoROM.mif"), + .C_LOAD_INIT_FILE(1), + .C_MEM_TYPE(3), + .C_MUX_PIPELINE_STAGES(0), + .C_PRIM_TYPE(1), + .C_READ_DEPTH_A(4096), + .C_READ_DEPTH_B(4096), + .C_READ_WIDTH_A(18), + .C_READ_WIDTH_B(18), + .C_RSTRAM_A(0), + .C_RSTRAM_B(0), + .C_RST_PRIORITY_A("CE"), + .C_RST_PRIORITY_B("CE"), + .C_RST_TYPE("SYNC"), + .C_SIM_COLLISION_CHECK("ALL"), + .C_USE_BYTE_WEA(0), + .C_USE_BYTE_WEB(0), + .C_USE_DEFAULT_DATA(1), + .C_USE_ECC(0), + .C_USE_SOFTECC(0), + .C_WEA_WIDTH(1), + .C_WEB_WIDTH(1), + .C_WRITE_DEPTH_A(4096), + .C_WRITE_DEPTH_B(4096), + .C_WRITE_MODE_A("WRITE_FIRST"), + .C_WRITE_MODE_B("WRITE_FIRST"), + .C_WRITE_WIDTH_A(18), + .C_WRITE_WIDTH_B(18), + .C_XDEVICEFAMILY("aspartan3a")) + inst ( + .CLKA(clka), + .ENA(ena), + .ADDRA(addra), + .DOUTA(douta), + .RSTA(), + .REGCEA(), + .WEA(), + .DINA(), + .CLKB(), + .RSTB(), + .ENB(), + .REGCEB(), + .WEB(), + .ADDRB(), + .DINB(), + .DOUTB(), + .INJECTSBITERR(), + .INJECTDBITERR(), + .SBITERR(), + .DBITERR(), + .RDADDRECC()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of LogoROM is "black_box" + +endmodule +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl (revision 2) @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide textram_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::textram_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::textram_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name textram +} +# ::textram_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::textram_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path textram_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module textram +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram_xmdf.tcl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl (revision 2) @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide fontrom_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::fontrom_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::fontrom_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name fontrom +} +# ::fontrom_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::fontrom_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path fontrom_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module fontrom +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom_xmdf.tcl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise (revision 2) @@ -0,0 +1,79 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym (revision 2) @@ -0,0 +1,21 @@ + + + BLOCK + 2011-2-28T7:38:31 + + + + + + LogoROM + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.sym Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf =================================================================== Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ncf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf =================================================================== Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ncf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3;540<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;0:23456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123G5E7K9IH?0123456789:;<=>?0123456789:;<=>?01234745:;89>?<=2301616<89:;<=>?0123456789:;<=>?0123456789:;<=>?01234567?M:;;I>?01234567<91;<=>?0123456789:;<=>?0123456789:;<=>?012345E7K9IMJ=H?0G2EBC17KJ:?<6>?0123456789:;<=>?0123456789:;<=>?C1AEG5E71OI;O=>?0123456789:;<=:?;123456789:;<=>?0123456789:;<=>?012EBC@D8OLMJN>?0123456789:;<=>?0528456789:;<=>?0123456789:;<=>?01G3456789:;<=>?0123456789:;I=>?01G305=789:;<=>?0123456789:;<=>?0123B56789:;<=>?0123456789:;<=>?0123456382:;<=>?0123456789:;<=>?012345@ANOIMJKKICGAEBF@ANOLH;7=>?0123456789:;<=>?01234567K9I;O=HIC1AE<5E7K9I;5KM?C123456789:;<9>40123456789:;<=>?0123456789=;;I>?F1533517?M:;;I>?F15G451C89:O<=9?4193456789:;<=>?01234F6DNOI;JKHJFB23456789:;<=>?0123456789:;<=>?01274>6789:;<=>?01234567KOIMOKHLCGA3GCEAKOI;OKM?CGA34F@DNOLHOKM?01AEGC2739:;<=>?0123456D89:;<=>?0123456789:;<=>?0B23456D89:;<=>?012345678=:0<=>?012345678O:;<=>?FGD34567NO:;<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;JKH?0GD@4F@7NO:;<=M?CG234C6A8OL;JK>I0GDE456AN9LHJKH;0:23456789:;<=>IFGDE456789:;<=>?0123456789:;<=>?0123456789:;<=>?0163?56789:;<=>?0G2E456789:;<=>?0123BC@A89:;<=>?0123456789:;<=>?0123416<89:;<=>?012@BF@ANOLHJNHIC12@BF@ANOLH<=>?0123456789:;<=>?01234567<91;<=H?01D34C@D8J:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:?<6>?0GDEBF6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=:?;12@4F@7NO:;LF1DE45@789L;JN>LF52845CC89I;O=M?C1A3G5E7K9I;<=M?7EA3G5E7?MI;<=>?0123456789:;IIL?EEA305=78LNNHO>JDB2F@F678LNHJDC2F@F6BLK:NHN>?0123456382:H?C1A3G5EANOI;O=>?0123456789:;<=>?012345EAN9>;7=HIF12@4F678J:HL0B23B5@7K9L<<>5>.137?45=AGZ^X7okdsc?57<768>0=>4FNQWW>dbczk6:>7>118927?IR\Y__6jndepfgf:6:3:5=95>4;KMTPR=IMNYM1?<:1<20>732@D[YY4NDEPA845=87;;7<4FNQWW>rcf490;2<>41;KMTPR=lh7<7>11:01?7638289>?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:;>;7?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<923056745:;89>9>423016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23513715?<8>>?9=41916745:;89>?<=23016745:;89>?<=23016745:;89>?9=73561745><8998<=74074>45:;89>?<=23016745:;89>?<=23016745:;?<:54516745:;89>?<=230167273;89>?<=23016745:;89>?<=23016745:;8<>:;826011240:;89>?<=23016745:=:0>?<=23016745:;89>?<=23016745:;<9:?<=23016705:;<9:?<=63012705>;898=5=23016745:;89>?<=23016745:;899?<=23016745:;89>?<=23016745:;89>?<;0:016745:;89>?<=23016745:;89>?<:54511042?<8>98;:54746732=>8998;=2663?745:;89>?<=23016745:;89>?<=73511732=;=>>?9=73516015?;89>?<=2301616<:;89>?<=23016745:;=9>8;:23766015:;89>?<=23016745:;89>?<=23016745<919>?<=23016745:;89>:;853761230:>?<9:;826746230:;=>;8;:56746740=>??<6<=23016745:;89;?<=23016745:;89>?<=23016715:;89;?<=23016745:;89>?:?;3016745:;89>?;=23016032:;89>8;=23016745:;89>?<=23016745:;89>?<=25286745:;89>?<=24766732?;=>>8;=23046235:;?99?;:24711732=;8998<:747605=5:;89>?<=23011032=;89>?<=23016745:;89>?<=23016745:;89>?<=230167438289>?<=23016735=;89>?<=23016745:9?<=23016745:;89>?<=23016745:;>;7?<=23016745?<=>>8;:74061045?<=998;:73016745:;89>?<=23016745:;89>9>423016755:;89>?<=23016745?;99>?9=23017745:;99>?<=23116745:;89>?<=41916742=<=9>?<=23016745:;89>?<=23016745:;89>?<=23016745:;89>?<=23074>45:;<9;?9=73513715?;=9;?<=73013715?;89;?<=23016745:;89>?<=330137273;899?<=53061240:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:=:0>?;:23513745?;=9;8<=73563712?;=9>:<826016042:;89>?9=73466742:<898=5=26041732:;8>9?;=53061042=;?998<:24741745=>8<>:;=54011745=;?<>:;;0:066045?;<>>?<=26046240:>8=9?<:53066045:<8>>?9=74013745:;89>?<=2363?732=>?998;854716712?<=9>?;:23016740:>8<9?<826046240:>8<>:<82601316<:>8<9:<826716240:;?>>?9=73513032?;=9>?<=23016745:;89>?<=230130359;18>7GAPTV9@LG;;80;2<<4338JJUSS2MCI0>?50?30?64=G\^[YY4KO@>05?699:18>7AZTQWW>AIE4:;1<3?>;58JJUSS2MC[M1=50?32?14?>0080?IR\Y__6IA_B=194;2<?8=5:23516745:;89>?<=23016745:;89>?<=23016745:;89>?<=23016745:;=9>8<;0:711735:;89>8<:23016045:;89>?<=23066745:;89>?<=23016742=>?<:2363?040:;89>?<=23016745:;89>?<=23016745:;?9>?<=23016045:;89>?<=2301616<=?<=23016745:;89>?<=23016745:;89>?<=23016745<91>;8;:56746230=9:<=23016745:;89>?<=23016742:>?;826041740=<=?<69=63512745:;89:?<=23012745:;89>?<=23016745?;=9;?<=73513715?;89;?:?;6041735>;?9>:;:23711740:>89>:<827716240:>8<98<854013715:;8>>8<=2038air|h6<6=0>3::9KPRW]]0ocxzm<683:==FLMXJ0=06;@FGVD:68730MIJ]A=32:<=FLMXJ0<<1b:CG@WG;9:0;245NDEPB845902KOH_O31?:8EABUI58546OKDSC?7;>GCL[K7;364AEFQE9>902KOH_O39?:8EABUJ5:556OKDS@?558>3HNO^O2>1?;8EABUJ5;92o5NDEPA845=8730MIJ]B=30:==FLMXI0<07;@FGVG:5611JHI\M<2<;?DBCZK6?255NDEPA808?3HNO^O29>99B@ATE4>437LJKRC>;:==FLMXI040<;@NO7>DR:11IY^QFNGM2?F273J:HO=H?01D44F@A?9I;J=HL0B234F6D89L;JN>L0B2@BC6DNO=;O=MI0G2@4F678=:0OI>?CE234517?M:;;=9?7E23456789:;<=>?012345E7K9I;<=M?C1A3G5E789I;>6MJ419@BCEDNJLMONHIC123GCEAK9:MJN>?01234F6D8JL3L0B2@4F6D8J:HL01A26>EOMJAT@DMJNRG\P\VB<2IGGL:4CMIA=>EHEDC_XHJ>4:ALV@Y@MGOYMYG@N^KMBJ1;Ea8@DRFW^COXEQNc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c:ObnjtQm{ybccm4MhllvScu{`ee?6@>029M545H60:1E=4<4N318J7643G8:?6@=229M66587C<:3:L126=I:>90B>8<;O1;6>H3;2D?=>5A4318J1543G>??6@;529M035H2;;1E:>5A6318J3543GIL92Z?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL;0]45]AL@22GQBi2XNMIQIISQW56=TADUHCABFSHMM[FNBKB<0_B[]CD58WWPFDVK<7^\YAM]A0>UTZH>0_^\M4:VZT@203\:$naePmdo\c`hbzh~d~"xnlhf-gvru'kcehhmPa/`jjacdW`dxxRo EOMVPZ4Xe|r;<=>>469V4*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&OECXZP2^ov|567888h7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:56;i0Y=!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}949;k1^<"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{545e3\:$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy027g=R8&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~XlfS`{w301a?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/Lov|Zbh}}Ufyu:>3c9V4*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWds9<=m;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWk$I#@czx^flqqYj}q<:?o5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%FaxvPdnww[hs?89i7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}>6;>1^<"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)tmeU{e}k30?14?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSo M/rgo[uowm5;5?;5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Ye&K%xiaQiqg\460<]9%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS<33n5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}al]gkpre4;49o6[?/cnh[hcjWnoeio{os-ueioc&jy~"|nm^flqqd;;78<7X> bmi\i`kXoldn~lz`r.tbhlb)kz~y#ob_dlb6==R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidUnbl?=7:W3+gjlWdofSjkaescwkw)qieco"n}{r.pbiZcij;20Y=!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPeo`20f=R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U?]/pbi+t({:Ubbdz!r`o,`utfe'n{8u:!r`o7g>S7'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?R.scn*w)t;Vceey }al-gtwgj&mz2t4 }al6`?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?S!r`o-v*u4W`dbx#|nm.fsvdk)ly>s8#|nm`9VW@TXIECJ_n5ZSDP\RLUNJEO87[ML9:TJARYSQYO=7ZKN<1<5?RCF484=7ZKN<3<;?RCF4:0;2;5XE@>0:3=PMK6;2;5XEC>2:3=PMK69255XEC>0>5813^OI0>0l;VPJP@YJGMOTMn5XRHVF[HICMVH:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C=7U][LH@4?]USWNDOn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj463hno~l&>1(;8eabui!;9%55ndepb,7/?3hno~l&<)99b`atf =#37ljkr`*6-==flmxj$;'7;`fgvd.0!11jhi|n(9+;?dbczh"2%55ndepb858>3hno~l2>0?;8eabui5;:2o5ndepb844=8730mij}a=31:==flmxj0<07;`fgvd:5611jhi|n<2<;?dbczh6?255ndepb808?3hno~l29>99b`atf4>437ljkr`>;:==flmxj0407;`fgvg.7!11jhi|m(0+:?dbczk":<$74aefqf-76!01jhi|m(00*<>gcl{h#>$64aefqf-5.02kohl'4(:8eabuj!?"46okds`+2,>gcl{h7<374aefqf977601jhi|m<03=f>gcl{h7=?4?>89b`ate488546okds`?5;>gcl{h79364aefqf90902kohl37?:8eabuj52546okds`?=;4d3kf`S`kb_fgm[s2X9%*Seagax!ALV@&@mgoymya} 00-51=ddbk?7nbdb69gflrbz{=0hd`n(1+4?aoii!;";6jfn`*1-2=cagk#?$94dhlb,1/03mcem%;&7:fjjd.1!>1oeco'7(58`lhf494<7igaa=3=3>bnfh692:5kioc?7;189gmkg;?3:5;6jfn`>4:2=cagh#<$94dhla,4/03mcen%<&7:fjjg.4!>1oecl'4(58`lhe <#<7igab)4*3>bnfk"<%45kio`?3?6902ndyyo'0(:8`jssi!;"46j`uuc+6,>bh}}k#:$64dnwwe-1.02ndyyo30?c8`jssi5=1<364dnwwf-6.02ndyyl'1(:8`jssj!8"46j`uu`+7,>bh}}h#;$64dnwwf96902ndyyl31?:8`jssj58546j`uu`?7;>bh}}h7;7>18:flqqd;?720iigi2oeg7>cii:1nboj4iohfgqbea}oy~i5fnkg`pvdn|lxy46`hccwjhaetcimnyinm'1(f8vagcl{oho%??)e9q`dbczlih$7>16:pfcfcf>2xnknkm4:pppd2#c^jbwZtbojoj1="l_lgn[qwm4;'oR||tscn[g;7$jUyy|nm^c>4)eXzz~Txt~j=R[MG)eX`hyTecdjcugqv86+kVzye`Q{yqg>5)eXagcnRxnl<2/gZtbimU|eizg_c?;(fYumhnT{dj{h^c><)eXl`yjnakPw`pm[gnqWjeg`0>#c^kmmqYaaeoTblcj=ug{pwgj&dcm nQxrhvf[hicmVh6^HOK_GKQWQ*dW~xbxhQboeg\e8TBIMUME_][,b]nq}YwayogeckPsucdav;7$jUcm~Qbel]lqqvr|Vxnk~Qm=1.`[iiflVceeyQiimg>5)eX`hyTahcPotvsqqYumnyTm0>#c^uqmqcXllzdRl24923(fYpz`~nSikti]b91>78%iTdl}Prrva95*dWakxS}{a<2/gZoia}kTzlb20-a\lduXe|rTcxzuu]qabuXj4:'oRfns^ov|Zir|ySkhs^c>4)eXimnymRyfduj>56*dWhno~oQxievk945+kVnnjl{ct^fbpd;7$jU~hQjcb?3(fYfdneyeyfb=0.`[aotikfnSzo}n^`krZtffno6p`~szhg'oR{|e^`zp`Ypmk7; nQzsd]a}qcXlk60:zb7v278hlk?;9-5CDu5dm2JKt?6i:G87>4}T0=09;?4>e;306ad><3;9>;htn322>4=i:981:6*>fg82bg=z[181>:<51d8277be1=0:>?8i;R34f?40?3:1=>7<87;29564cj0>1=?<80:f14c=9:8on4:51305b>pS9ko1<7?51;65V>32;=96ed823f=Q:9:1>v{>8182?p7?93:0q)?m7;0:1>d50k0;6?h53;0eM7bk2P<57;t2582b?472<21984r$0a0>7>e3-;mi7<<9:k136<722c9;94?::k1=5<72-;i57<61:l2f=<732c9<:4?:%3a=?46;2d:n54?;:k143<72-;i57<>3:l2f=<632c9<84?:%3a=?46;2d:n54=;:k14c<72-;i57<>3:l2f=<432c93:l2f=<232c93:l2f=<032c93:l2f=<>32c9<54?:%3a=?46;2d:n54n;:k141<72-;i57<>3:l2f=4?:%3a=?46;2d:n54l;:k167<72-;i57<=e:l2f=<732c9><4?:%3a=?45m2d:n54>;:k165<72-;i57<=e:l2f=<532c9>l4?:%3a=?45m2d:n54<;:k16<<72-;i57<=e:l2f=<332c9>54?:%3a=?45m2d:n54:;:k162<72-;i57<=e:l2f=<132c9>;4?:%3a=?45m2d:n548;:k160<72-;i57<=e:l2f=94?:%3a=?45m2d:n546;:k166<72-;i57<=e:l2f=5}O9li0(3:17pl>2`83>4<729qC=hm4$0a0>44f3f;957>5;|`47:9{I3fg>\013;9w??525811?7a2;:19:4:8;76>00=:80>;7;7:36960<6n38;68;5578~ 4e42;2n7)9i:3:`?!>42;2o7)?m1;3a1>o5??0;66a=7b83>!7e138396`>b983?>i5?k0;6)?m9;0;1>h6j10:76a=7`83>!7e138396`>b981?>i50=0;6)?m9;0;1>h6j10876a=8283>!7e138396`>b987?>i50;0;6)?m9;0;1>h6j10>76a=8083>!7e138396`>b985?>i5090;6)?m9;0;1>h6j10<76a=7g83>!7e138396`>b98;?>i5?l0;6)?m9;0;1>h6j10276a=7e83>!7e138396`>b98b?>i5?00;6)?m9;0;1>h6j10i76a=7983>!7e138396`>b98`?>o5?:0;66g=7583>>o50?0;66g=8683>>o5190;6)?m9;0:5>h6j10;76g=9283>!7e138286`>b983?>o58>0;6)?m9;027>h6j10;76g=0783>!7e138:?6`>b982?>o58<0;6)?m9;027>h6j10976g=0g83>!7e138:?6`>b980?>o58l0;6)?m9;027>h6j10?76g=0e83>!7e138:?6`>b986?>o58j0;6)?m9;027>h6j10=76g=0c83>!7e138:?6`>b984?>o58h0;6)?m9;027>h6j10376g=0883>!7e138:?6`>b98:?>o5810;6)?m9;027>h6j10j76g=0583>!7e138:?6`>b98a?>o58:0;6)?m9;027>h6j10h76g=2383>!7e1389i6`>b983?>o5:80;6)?m9;01a>h6j10:76g=2183>!7e1389i6`>b981?>o5:h0;6)?m9;01a>h6j10876g=2883>!7e1389i6`>b987?>o5:10;6)?m9;01a>h6j10>76g=2683>!7e1389i6`>b985?>o5:?0;6)?m9;01a>h6j10<76g=2483>!7e1389i6`>b98;?>o5:=0;6)?m9;01a>h6j10276g=2283>!7e1389i6`>b98b?>o59o0;6)?m9;01a>h6j10i76g=1d83>!7e1389i6`>b98`?>o5010;66g=8883>>i50h0;66a=5d83>!7e138=<6`>b983?>i5=m0;6)?m9;054>h6j10:76a=5b83>!7e138=<6`>b981?>i5=k0;6)?m9;054>h6j10876a=5`83>!7e138=<6`>b987?>i5=00;6)?m9;054>h6j10>76a=5983>!7e138=<6`>b985?>i5=>0;6)?m9;054>h6j10<76a=6983>!7e138<<6`>b983?>i5>>0;6)?m9;044>h6j10:76a=6783>!7e138<<6`>b981?>i5><0;6)?m9;044>h6j10876a=6583>!7e138<<6`>b987?>i5>:0;6)?m9;044>h6j10>76a=6383>!7e138<<6`>b985?>i5>80;6)?m9;044>h6j10<76g=3`83>>o5;k0;66g=4583>!7e138?o6`>b983?>o5<:0;6)?m9;07g>h6j10:76g=4383>!7e138?o6`>b981?>o5<80;6)?m9;07g>h6j10876g=4183>!7e138?o6`>b987?>o5;o0;6)?m9;07g>h6j10>76g=3d83>!7e138?o6`>b985?>o5;m0;6)?m9;07g>h6j10<76g=5483>!7e138>:6`>b983?>o5==0;6)?m9;062>h6j10:76g=5283>!7e138>:6`>b981?>o5=;0;6)?m9;062>h6j10876g=5083>!7e138>:6`>b987?>o5=90;6)?m9;062>h6j10>76g=4g83>!7e138>:6`>b985?>o5h6j10<76g=7483>>i5;j0;66l>fe83>4<729q/=n=513c8L4`d3A;no6a>2883>>{e9m81<7:50;2x 4e4288<7E?ic:J2af=#9:=1=6g8a;29?l1c2900e5;50;9l5gg=831vn5<7s-;h?7?=7:J2bf=O9li0(<=8:09j3d<722c5;h:6>5<c2823a=O9oi0D5;h31g?6=3f;i87>5;|`2g1<72=0;6=u+1b1952c<@8lh7E?jc:&272<5?2c:>o4?::k26f<722c:>i4?::m2f1<722wi=h950;194?6|,8i86<9k;I3eg>N6mj1/=>95279j57d=831b=?m50;9l5g2=831vn5<7s-;h?7?8e:J2bf=O9li0(<=8:358m44e2900e<50z&2g6<6?o1C=km4H0g`?!74?3>o7d?=b;29?l75k3:17d?=d;29?l75m3:17b?m4;29?xd6kl0;684?:1y'5f5=9>l0D"6;>0?h6g>2c83>>o6:j0;66g>2e83>>o6:l0;66a>b583>>{e9jn1<7;50;2x 4e428=m7E?ic:J2af=#9:=18i5f13`94?=n9;i1<75f13f94?=n9;o1<75`1c694?=zj8ih6=4::183!7d;3;fb9K5`e<,89<69j4i00a>5<5<5<55;294~"6k:0:;k5G1ga8L4cd3-;8;7:k;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n3a0?6=3th:ol4?:483>5}#9j91=:h4H0d`?M7bk2.:?:4;d:k26g<722c:>n4?::k26a<722c:>h4?::m2f1<722wi=n750;794?6|,8i86<9i;I3eg>N6mj1/=>954e9j57d=831b=?m50;9j57b=831b=?k50;9l5g2=831vn5<7s-;h?7?8f:J2bf=O9li0(<=8:5f8m44e2900e<869:546872=k1884;6;6a>1e=u-;887=4$05b>4d43-;847<7;%30=?4?3-;8m7<7;%30f?4?3-;8o7<7;%30`?4?3-;8i7<7;%30b?4?3-;?<7<7;%375?4?3-;?>7<7;%377?4?3-;?87<7;%371?4?3-;?:7<7;%373?4?3-;?47<7;%37=?523-;?m7:i;%37f?5a3-;?o7;;;%37`?5b3-;?i7:>;%37b?543-;><7<7;%365?4a3-;>>7=k;%367?4?3-;>87:7==;%363?5e3-;>47=8;%36=?373-;>m7=9;%36f?273-;>o7:=;%36`?353-;>i7=?;%36b?4d3-;=<7=7;%355?363-;=>7:j;%357?4e3-;=87=>;%351?5>3-;=:7<7;%353?4?3-;=47<7;%35=?533-;=m7=n;%35f?5d3-;=o7;<;%35`?4b3-;=i7<6;%35b?4?3-;<<7;4$052>6=#9>81?6*>7280?!70<390(<9::29'520=;2.:;:4<;%3a`?103-;897=4$015>6=#9o31=om4$0db>4dd3g2=695a8687?!700380(<96:39'57`=;2.:?=4<;%305?5<,8996>5+12197>"6jo097)?l0;08m=6=831b4<4?::k265<722c:><4?::k2g4<722c:o?4?::k6a?6=,8h268j4n0`;>5=b886`>h6j10:76g:b;29 4d>25$0`:>0bh6`>b986?>o1=3:1(==b886`>h6j10276g91;29 4d>2j7>5$0`:>0bh6`>b98g?>o1l3:1(;:k5e?6=,8h26;m4n0`;>7=b885g>h6j10876g86;29 4d>2?i0b5$0`:>3eb984?>o0:3:1(d=b885g>h6j10i76g9e;29 4d>2?i0b=83.:n449c:l2f=5$0`:>d6b982?>o>l3:1(4n0`;>1=b88b4>h6j10>76g69;29 4d>2h:0b=83.:n44n0:l2f=<032c2;7>5$0`:>d6b98:?>o>=3:1(4n0`;>f=b88b4>h6j10o76g60;29 4d>2h:0b5$0`:>d64;h:g>5<#9k31m=5a1c:954=b88b4>h6j10:>65f8c83>!7e13k;7c?m8;30?>o?i3:1(07d66:18'5g?=i91e=o651498md>=83.:n44n0:l2f=<6>21bm:4?:%3a=?g73g;i47?8;:kb2?6=,8h26l>4n0`;>4><3`k>6=4+1c;9e5=i9k21=454i`694?"6j00j<6`>b982e>=ni:0;6)?m9;c3?k7e03;i76gn2;29 4d>2h:0b=9m10e4=50;&2f<e:9j<=<72-;i57o?;o3a7>5$0`:>f7b982?>oem3:1(1=b88`5>h6j10>76gma;29 4d>2j;0b5$0`:>f7b98:?>oe>3:1(f=b88`5>h6j10o76gm1;29 4d>2j;0b5$0`:>f74;hcf>5<#9k31o<5a1c:954=b88`5>h6j10:>65fab83>!7e13i:7c?m8;30?>ofj3:1(07don:18'5g?=k81e=o651498mf?=83.:n44l1:l2f=<6>21bo54?:%3a=?e63g;i47?8;:k`3?6=,8h26n?4n0`;>4><3`i=6=4+1c;9g4=i9k21=454ib794?"6j00h=6`>b982e>=nk=0;6)?m9;a2?k7e03;i76gl3;29 4d>2j;0b=9m10eo:50;&2f<e:9je<<72-;i57m>;o3a5$0`:>fbb982?>odj3:1(5=b88g6>h6j10:76gk0;29 4d>2m80b4?:%3a=?7a:2d:n54?;:k2b4<72-;i57?i2:l2f=<632c:j=4?:%3a=?7a:2d:n54=;:k2ac<72-;i57?i2:l2f=<432c:j54?:%3a=?7a?2d:n54?;:k2b3<72-;i57?i7:l2f=<632c:j84?:%3a=?7a?2d:n54=;:k2b1<72-;i57?i7:l2f=<432enh7>5$0`:>`eb982?>ib13:1(1=b88fg>h6j10>76aj5;29 4d>2li0b5$0`:>`eb98:?>ib93:1(50;&2f<f=b88fg>h6j10o76akc;29 4d>2li0b5$0`:>`e4;nf:>5<#9k31in5a1c:954=b88fg>h6j10:>65`d683>!7e13oh7c?m8;30?>ic>3:1(07bj::18'5g?=mj1e=o651498kc2=83.:n44jc:l2f=<6>21dj>4?:%3a=?cd3g;i47?8;:me6?6=,8h26hm4n0`;>4><3fl:6=4+1c;9af=i9k21=454og294?"6j00no6`>b982e>=hmo0;6)?m9;g`?k7e03;i76aje;29 4d>2li0b=9m10cih50;&2f<e:9l`1<72-;i57kl;o3a32e:5$0`:>46c3g;i47m4;ndf>5<#9k31==j4n0`;>a=b8824a=i9k21i65`fb83>!7e13;;h6`>b98e?>iaj3:1(28:o7c?m8;32?>ia13:1(28:o7c?m8;30?>ia?3:1(28:o7c?m8;36?>i69<0;6)?m9;33`>h6j10::65`10694?"6j00:k;o3a32e:=<4?:%3a=?77l2d:n54>a:9l546=83.:n44>0e9m5g>=9k10c<>i:18'5g?=99n0b0183>!7e13;;h6`>b982a>=hn<0;6)?m9;33`>h6j10:j65`10c94?"6j00:=45a1c:94>=h9821<7*>b8825<=i9k21=65`10594?"6j00:=45a1c:96>=h98<1<7*>b8825<=i9k21?65`10d94?"6j00:=h5a1c:94>=h98n1<7*>b8825`=i9k21=65`10a94?"6j00:=h5a1c:96>=h98h1<7*>b8825`=i9k21?65rb0g:>5c282fg=O9oi0Df}5:38869=545873?2?2=318l4;5;65>1d=k4<;%304?5<,89:6>5+12097>"6;:087)?<4;18j=1=12.:;l4>b29'56>=;2.:?44<;%30e?5<,89i6>5+12a97>"6;m087)?53:&204<43-;?>7=4$060>6=#9=>1?6*>4480?!73>390(<:8:29'51>=;2.:844<;%37e?5<,8>i6>5+15a97>"653:&214<43-;>>7=4$070>6=#9<>1?6*>5480?!72>390(<;8:29'50>=;2.:944<;%36e?5<,8?i6>5+14a97>"6=m087)?:e;18 43a2:1/=;>53:&224<43-;=>7=4$040>6=#9?>1?6*>6480?!71>390(<88:29'53>=;2.::44<;%35e?5<,85+17a97>"6>m087)?9e;18 40a2:1/=:>53:&234<43-;<>7=4$050>6=#9>>1?6*>7480?!70>390(<98:29'5gb=?>1/=>;53:&273<43-;m57?mc:&2bd<6jj1e4;46;%3ab?4<,8i;6?5f8183>>o?93:17d?=0;29?l7593:17d?l1;29?l7d:3:17d;j:18'5g?==m1e=o650:9j1f<72-;i57;k;o3a65f5`83>!7e13?o7c?m8;18?l00290/=o755e9m5g>=<21b:;4?:%3a=?3c3g;i47;4;h46>5<#9k319i5a1c:92>=n>=0;6)?m9;7g?k7e03=07d8<:18'5g?==m1e=o658:9j27<72-;i57;k;o3a!7e13?o7c?m8;`8?l3a290/=o755e9m5g>=k21b944?:%3a=?3c3g;i47j4;h4g>5<#9k31:n5a1c:94>=n>k0;6)?m9;4`?k7e03;07d8n:18'5g?=>j1e=o652:9j2<<72-;i578l;o3a!7e13=>21b;>4?:%3a=?0d3g;i4794;h51>5<#9k31:n5a1c:9<>=n?80;6)?m9;4`?k7e03307d9?:18'5g?=>j1e=o65a:9j2c<72-;i578l;o3a!7e13=821b5k4?:%3a=?g73g;i47?4;h;g>5<#9k31m=5a1c:96>=n1j0;6)?m9;c3?k7e03907d7m:18'5g?=i91e=o654:9j=d<72-;i57o?;o3a!7e13k;7c?m8;58?l?0290/=o75a19m5g>=021b5;4?:%3a=?g73g;i4774;h;6>5<#9k31m=5a1c:9e>=n1=0;6)?m9;c3?k7e03h07d7=:18'5g?=i91e=o65c:9j=4<72-;i57o?;o3a!7e13k;7c?m8;d8?l>b290/=o75a19m5g>=9910e5j50;&2f<1:9j5$0`:>d65<#9k31m=5a1c:951=b88b4>h6j10:965fa983>!7e13k;7c?m8;35?>of?3:1(4n0`;>4d<3`k96=4+1c;9e5=i9k21=n54i8g94?"6j00j<6`>b982`>=n1:0;6)?m9;c3?k7e03;n76g78;29 4d>2h:0b=821bo=4?:%3a=?e63g;i47?4;h`f>5<#9k31o<5a1c:96>=njm0;6)?m9;a2?k7e03907dll:18'5g?=k81e=o654:9jfg<72-;i57m>;o3a!7e13i:7c?m8;58?ld?290/=o75c09m5g>=021bn:4?:%3a=?e63g;i4774;h`5>5<#9k31o<5a1c:9e>=nj<0;6)?m9;a2?k7e03h07dl<:18'5g?=k81e=o65c:9jf7<72-;i57m>;o3a!7e13i:7c?m8;d8?lga290/=o75c09m5g>=9910elk50;&2f<1:9jea<72-;i57m>;o3a5$0`:>f75<#9k31o<5a1c:951=b88`5>h6j10:965fc883>!7e13i:7c?m8;35?>od03:1(4d<3`i86=4+1c;9g4=i9k21=n54icd94?"6j00h=6`>b982`>=nj=0;6)?m9;a2?k7e03;n76gn9;29 4d>2j;0b=821bon4?:%3a=?ec3g;i47?4;haa>5<#9k31oi5a1c:96>=nkh0;6)?m9;ag?k7e03907dj<:18'5g?=l;1e=o650:9j`4<72-;i57j=;o3a65fcg83>!7e13n97c?m8;18?l7a;3:1(28l97c?m8;38?l7a83:1(28l97c?m8;18?l7a03:1(28l<7c?m8;38?l7a=3:1(28l<7c?m8;18?jcc290/=o75eb9m5g>=821dio4?:%3a=?cd3g;i47?4;ng:>5<#9k31in5a1c:96>=hm10;6)?m9;g`?k7e03907bk8:18'5g?=mj1e=o654:9la3<72-;i57kl;o3a6=4+1c;9af=i9k21:65`e583>!7e13oh7c?m8;58?jc4290/=o75eb9m5g>=021di?4?:%3a=?cd3g;i4774;ng2>5<#9k31in5a1c:9e>=hm90;6)?m9;g`?k7e03h07bjj:18'5g?=mj1e=o65c:9l`a<72-;i57kl;o3a!7e13oh7c?m8;d8?jbf290/=o75eb9m5g>=9910ci750;&2f<1:9l`=<72-;i57kl;o3a5$0`:>`e5<#9k31in5a1c:951=b88fg>h6j10:965`f583>!7e13oh7c?m8;35?>ia;3:1(4d<3fon6=4+1c;9af=i9k21=n54odc94?"6j00no6`>b982`>=hlo0;6)?m9;g`?k7e03;n76ak4;29 4d>2li0b28:o7c?m8;38?j77i3:1(28:o7c?m8;18?j7703:1(07b??7;29 4d>28:o7c?m8;78?j77>3:1(28:o7c?m8;58?j77<3:1(28:o7c?m8;;8?j77:3:1(28:o7c?m8;`8?j`a290/=o7511f8j4d?2j10ckk50;&2f<<68m1e=o65d:9lba<72-;i57??d:l2f=5$0`:>46c3g;i47h4;nda>5<#9k31==j4n0`;>46<3flj6=4+1c;955b5<#9k31==j4n0`;>44<3fl36=4+1c;955b5<#9k31==j4n0`;>42<3fl=6=4+1c;955bk;o3a7:9l545=83.:n44>0e9m5g>=9110c1183>!7e13;;h6`>b982f>=h99l1<7*>b8824a=i9k21=n54o02a>5<#9k31==j4n0`;>4b<3f;;<7>5$0`:>46c3g;i47?j;:me1?6=,8h26<>k;o3a9:l2f=<632e:=:4?:%3a=?7612d:n54=;:m253<72-;i57?>9:l2f=<432e:=k4?:%3a=?76m2d:n54?;:m25a<72-;i57?>e:l2f=<632e:=n4?:%3a=?76m2d:n54=;:m25g<72-;i57?>e:l2f=<432wi=h650;`b>5<7s-;h?7?mb:J2bf=O9li0V:75cz01>75=<:0?87:8:5:90<<3i3>>69854c87g?{#9:>1?6*>7`82f6=#9:21?6*>3880?!74i390(<=m:29'56e=;2.:?i4<;%30a?5<,89m6>5+15297>"6<8087)?;2;18 4242:1/=9:53:&200<43-;?:7=4$064>6=#9=21?6*>48826>"695+15`95<=#9=i1;o5+15f95a=#9=o1;h5+15d955=#9<:1?6*>508a?!72:3;<7)?:3;18 4332=1/=8;58:&213;7?7;%3657?=3:&21d<6;2.:9o4>249'50e=9j1/=8j51348 43b2j1/=8h59:&225<6=2.::<4>299'534=9;80(<8<:69'532=l2.::84>6:&223<43-;=;7=4$04;>d=#9?31=<5+17c95g=#9?h1=l5+17a93f=#9?n1i6*>6d85?!71n390(<9?:29'527=;2.:;?4<;%347?5<,8=?6>5+16797>"6??087)?87;18 4dc2>=0(<=::29'560=;2.:j44>bb9'5cg=9ki0b5854:l;3?2<,8=36?5+16;96>"6:o087)?<0;18 4562:1/=><53:&276<43-;ij7<4$0a3>7=n090;66g71;29?l7583:17d?=1;29?l7d93:17d?l2;29?l3b290/=o755e9m5g>=821b9n4?:%3a=?3c3g;i47?4;h7a>5<#9k319i5a1c:96>=n=h0;6)?m9;7g?k7e03907d88:18'5g?==m1e=o654:9j23<72-;i57;k;o3a6=4+1c;91a=i9k21:65f6583>!7e13?o7c?m8;58?l04290/=o755e9m5g>=021b:?4?:%3a=?3c3g;i4774;h42>5<#9k319i5a1c:9e>=n>90;6)?m9;7g?k7e03h07d;i:18'5g?==m1e=o65c:9j1<<72-;i57;k;o3a!7e13=:21b:44?:%3a=?0d3g;i47=4;h55>5<#9k31:n5a1c:90>=n?<0;6)?m9;4`?k7e03?07d9;:18'5g?=>j1e=o656:9j36<72-;i578l;o3a!7e13=i21b:k4?:%3a=?0d3g;i47l4;h4f>5<#9k31:n5a1c:9g>=n>10;6)?m9;4`?k7e03n07do>:18'5g?=i91e=o650:9j=c<72-;i57o?;o3a65f9b83>!7e13k;7c?m8;18?l?e290/=o75a19m5g>=<21b5l4?:%3a=?g73g;i47;4;h;:>5<#9k31m=5a1c:92>=n110;6)?m9;c3?k7e03=07d78:18'5g?=i91e=o658:9j=3<72-;i57o?;o3a6=4+1c;9e5=i9k21m65f9583>!7e13k;7c?m8;`8?l?5290/=o75a19m5g>=k21b5<4?:%3a=?g73g;i47j4;h;3>5<#9k31m=5a1c:9a>=n0o0;6)?m9;c3?k7e03l07d6j:18'5g?=i91e=o651198m=b=83.:n44n0:l2f=<6921b4n4?:%3a=?g73g;i47?=;:k;f?6=,8h26l>4n0`;>45<3`2j6=4+1c;9e5=i9k21=954i9;94?"6j00j<6`>b9821>=ni10;6)?m9;c3?k7e03;=76gn7;29 4d>2h:0b=9110el;50;&2f<9:9je1<72-;i57o?;o3a5$0`:>d65<#9k31m=5a1c:95f=b88b4>h6j10:h65f9283>!7e13k;7c?m8;3f?>o?03:1(;o3a65fbe83>!7e13i:7c?m8;18?ldd290/=o75c09m5g>=<21bno4?:%3a=?e63g;i47;4;h`b>5<#9k31o<5a1c:92>=nj00;6)?m9;a2?k7e03=07dl7:18'5g?=k81e=o658:9jf2<72-;i57m>;o3a!7e13i:7c?m8;`8?ld4290/=o75c09m5g>=k21bn?4?:%3a=?e63g;i47j4;h`2>5<#9k31o<5a1c:9a>=nj90;6)?m9;a2?k7e03l07doi:18'5g?=k81e=o651198mdc=83.:n44l1:l2f=<6921bmi4?:%3a=?e63g;i47?=;:kbg?6=,8h26n?4n0`;>45<3`ki6=4+1c;9g4=i9k21=954i`c94?"6j00h=6`>b9821>=nk00;6)?m9;a2?k7e03;=76gl8;29 4d>2j;0b=9110en850;&2f<9:9jg0<72-;i57m>;o3a5$0`:>f75<#9k31o<5a1c:95f=b88`5>h6j10:h65fb583>!7e13i:7c?m8;3f?>of13:1(65fc`83>!7e13io7c?m8;18?lb4290/=o75d39m5g>=821bh<4?:%3a=?b53g;i47?4;hf3>5<#9k31h?5a1c:96>=nko0;6)?m9;f1?k7e03907d?i3;29 4d>28l97c?m8;28?l7a93:1(28l97c?m8;08?l7bn3:1(28l<7c?m8;28?l7a>3:1(28l<7c?m8;08?l7a<3:1(65`e983>!7e13oh7c?m8;18?jc0290/=o75eb9m5g>=<21di;4?:%3a=?cd3g;i47;4;ng6>5<#9k31in5a1c:92>=hm=0;6)?m9;g`?k7e03=07bk<:18'5g?=mj1e=o658:9la7<72-;i57kl;o3a!7e13oh7c?m8;`8?jbb290/=o75eb9m5g>=k21dhi4?:%3a=?cd3g;i47j4;nf`>5<#9k31in5a1c:9a>=hlk0;6)?m9;g`?k7e03l07bjn:18'5g?=mj1e=o651198ka?=83.:n44jc:l2f=<6921dh54?:%3a=?cd3g;i47?=;:mg3?6=,8h26hm4n0`;>45<3fn=6=4+1c;9af=i9k21=954oe794?"6j00no6`>b9821>=hn=0;6)?m9;g`?k7e03;=76ai3;29 4d>2li0b=9110ck?50;&2f<9:9lb5<72-;i57kl;o3a5$0`:>`e5<#9k31in5a1c:95f=b88fg>h6j10:h65`dg83>!7e13oh7c?m8;3f?>ic<3:1(28:o7c?m8;28?j77k3:1(28:o7c?m8;08?j7713:1(28:o7c?m8;68?j77?3:1(28:o7c?m8;48?j77=3:1(28:o7c?m8;:8?j77;3:1(28:o7c?m8;c8?j7793:1(0e9m5g>=l21dji4?:%3a=?77l2d:n54j;:meg?6=,8h26<>k;o3a4;ndb>5<#9k31==j4n0`;>47<3fl26=4+1c;955b5<#9k31==j4n0`;>45<3fl<6=4+1c;955b5<#9k31==j4n0`;>43<3f;:97>5$0`:>46c3g;i47?9;:m251<72-;i57??d:l2f=<6?21d=<=50;&2f<<68m1e=o651998k475290/=o7511f8j4d?28307b?>1;29 4d>28:o7c?m8;3b?>i6990;6)?m9;33`>h6j10:n65`11d94?"6j00:k;o3a5$0`:>46c3g;i47?i;:m25d<72-;i57?>9:l2f=<732e:=54?:%3a=?7612d:n54>;:m252<72-;i57?>9:l2f=<532e:=;4?:%3a=?7612d:n54<;:m25c<72-;i57?>e:l2f=<732e:=i4?:%3a=?76m2d:n54>;:m25f<72-;i57?>e:l2f=<532e:=o4?:%3a=?76m2d:n54<;:p60`=839hwS<i0R?9m;_04e>X50=1U>5=4^3:1?[4?92T94=5Q26d8Z71b3W8X5=11U>894=0dg>44>34;nm7?l1:?2ad<6k;16=ho5c29>5`g=jo16=ho5b59>5`g=i016=ho51g:894cf28l=70?ja;3e1>;6mh0:j9521d;95f7<58o26f79>5`?=9o?01e982g7=:9l21o>521d:9fc=:9l21n9521d:9e<=:9l21=k64=0g;>4`134;n47?i5:?2a=<6n=1v?9<:180[40;27:i84>2c9>5f2=9;n0q~<84;291~X5?=16=i<57e9>5a5=?m16=h9513`894e2288o7p}=3`83>1}Y::k0121:015a4=?h16=i=57`9>5`g=0816=h75809>5`>=081v?9>:181[41027:ok4>b59~w70a2909wS<97:?2g`<6j=1v?8j:181[41>27:oi4>b59~w70c2909wS<95:?2gf<6j=1v?8l:181[41<27:oo4>b59~w70e2909wS<93:?2gd<6j=1v?8n:181[41:27:o44>b59~w70>2909wS<91:?2g=<6j=1v?7=:18g[4>827:il4>f29>5`g=9o;01e882b6=:9l31=k?4=0g:>4`734;n57?jf:?2a=<6n:16=h651g3894c?28l;70?j8;3fb>{t:8>1<7=t^324?87b=3;9o63>c5826g=z{;;96=4;{_032>;6k=0:>n521dc91`=:9l219h5rs332>5<3sW8;963>e`86g>;6m00>i63>e986g>{t:8n1<7:t^32e?87bi3?i70?j9;7`?87b03?i7p}=1b83>1}Y:9o0125`g=>>16=h755`9>5`>=>>1v??n:187[47k27:il496:?2a<<1?27:i5496:p64?=83>pR?>m;<3fe?0234;n5789;<3f33<58o36;:4}r023?6=;6mh0=>63>e8857>;6m10=>6s|20794?2|V;:?70?ja;42?87b13<970?j8;42?xu5990;69uQ211894cf2?:015`1=9;i015`?=>k16=h656`9~w750290?wS<=9:?2ad<1127:i449a:?2a=<112wx>>850;6xZ74?34;nm799;<3f=?0>34;n4799;|q170<72=qU>?94=0gb>23<58o26:84=0g;>2354z\163=:9lk1;9521d;930=:9l21;95rs310>5<3sW89963>e`847>;6m00<863>e9847>{t::81<7:t^307?87bi3=970?j9;50?87b03=97p}=3083>1}Y:;9012>8015`g=?916=h75709>5`>=?91v?9=4=0gb>5<4sW8?=63>e`8;<>;6m00j?6s|25:94?5|V;>;70?j9;c1?87b03k97p}=4683>6}Y::l015`>=1:1v?:::180[44l27:i4478:?2a=50;;x94b528hj70?lf;31`>;6kl0:>i521bf957b<58ih6<2e9>5f?=9;n012c9>5fe=9;h01c8826g=:9j21=?l4}r3f0?6=;r7:i84>b59>5`g=9;:01{t9j=1<74d334;n57?=1:p5a`=838p1{t9mk1<744b34;n57?>2:p5ab=838p1d683>7}:9jh1=?k4=0g:>46a3ty:i?4?:3y>5fg=9;i01m;|q2a4<72;q6=n7513a894c?28:;7p}>d483>7}:9j31=?k4=0g:>4673ty:i=4?:3y>5f>=9;i01v3>c9826`=:9l31j85r}r00e?6=:rT9?l5279817d=#9lh1=5m4}r047?6=:rT9;>52798136=#9lh1=494}r0:6?6=:rT95=527981=5=#9lh1=l;4}r020?6=:rT9<:52798142=#9lh1=lk4}r026?6=:rT9<;52798143=#9lh1=lh4}r025?6=:rT9<852798140=#9lh1=o>4}r02`?6=:rT952798146=#9lh1=5l4}r07`?6=:rT98952798101=#9lh1=5j4}r07f?6=:rT98>52798106=#9lh1=5k4}r07e?6=:rT98?52798107=#9lh1=5h4}r07=?6=:rT98<52798104=#9lh1=4>4}r07?52798167=#9lh1=464}r01`?6=:rT9><52798164=#9lh1=474}r01g?6=:rT9>=52798165=#9lh1=4o4}r00l5279816d=#9lh1=4l4}r003?6=:rT9>45279816<=#9lh1=4m4}r002?6=:rT9>55279816==#9lh1=4j4}r001?6=:rT9>:52798162=#9lh1=4k4}r000?6=:rT9>;52798163=#9lh1=4h4}r007?6=:rT9>852798160=#9lh1=l>4}r006?6=:rT9>952798161=#9lh1=l?4}r005?6=:rT9>>52798166=#9lh1=l<4}r004?6=:rT9=k5279815c=#9lh1=l=4}r01f?6=:rT9=h5279815`=#9lh1=l:4}r045?6=:rT9:55279812==#9lh1=l84}r05b?6=:rT9::52798122=#9lh1=l94}r05a?6=:rT9:;52798123=#9lh1=l64}r05`?6=:rT9:852798120=#9lh1=l74}r05g?6=:rT9:952798121=#9lh1=lo4}r05f?6=:rT9:>52798126=#9lh1=ll4}r05e?6=:rT9:?52798127=#9lh1=lm4}r05=?6=:rT9:<52798124=#9lh1=lj4}|l01<<72;qC=hm4}o16e?6=:rB:in5rn27a>5<5sA;no6sa34a94?4|@8oh7p`<5e83>7}O9li0qc=:e;296~N6mj1vb>;i:181M7bk2we?;>50;0xL4cd3td8:<4?:3yK5`e7>52zJ2af=zf:<86=4={I3fg>{i;?>1<7<0;6?uG1da8yk51>3:1>vF>eb9~j6002909wE?jc:m73>=838pD5<5sA;no6sa37a94?4|@8oh7p`<6e83>7}O9li0qc=9e;296~N6mj1vb>8i:181M7bk2we?:>50;0xL4cd3td8;<4?:3yK5`e7>52zJ2af=zf:=86=4={I3fg>{i;>>1<73:1>vF>eb9~j6102909wE?jc:m72>=838pD5<5sA;no6sa36a94?4|@8oh7p`<7e83>7}O9li0qc=8e;296~N6mj1vb>9i:181M7bk2we?5>50;0xL4cd3td84<4?:3yK5`e7>52zJ2af=zf:286=4={I3fg>{i;1>1<73:1>vF>eb9~j6>02909wE?jc:m745=83;pD5<6sA;no6sa35494?7|@8oh7p`<4683>4}O9li0qc=;8;295~N6mj1vb>:6:182M7bk2we?9o50;3xL4cd3td88o4?:0yK5`e51zJ2af=zf:>o6=4>{I3fg>{i;=o1<7?tH0g`?xh4eb9~j636290:wE?jc:m704=83;pD5<6sA;no6sa34494?7|@8oh7p`<5683>4}O9li0qc=:8;295~N6mj1vqpsO@By0g`<4?olmio
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.ngc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ngc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ngc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ngc (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$33e40<,[o}e~g`n;"2*726&;$:,)74012345678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;<=>IF124G4D1>82;<=>>892F44>00OL;O;0:23456789:;<=?7193;455B?O<==5>=813;3C5A;J:MJK>?FG23555?99?0GA3456789:;<=>?00GE456789:;<=>789:;<=>?0123416<89:;<=>?3123456789:;<=>?0123456789:;<==?0123456789:;<=>?01234567<91;<=>?0120<56789:;<=>800:3456789:;<=>L012345678OI;?0123456D89:?<6>?0123450D89:;<=>?31F;5=@DNJLH<=ML3143451?ML93?=?7615;BF0DK9I;;;:?;12345E7>::=:<6L6GG5GF060?<=:NK90B4@2F01>?1<=O=89C7FF05=789::4;M?C12343E0>:::4<07A5G66CK?<;;7=>?0624<5@789:84=><00:3A4>48::;<:6<814344E40:2MO:8?012EG56789:;<9>4012EB5@ANO;3<=?737155=67899==5>?01154551;?:;?;>?373;5=6791;3<=>?419345@A8OLMJ67999HO==LFD45G3E1K?I=;H=LFB5;BF1DK?I=J=K91D1@G35DNLLNJ5=LFB1;3F2739::4>8?6140250609:8:<6>80:FADGDK:9HO<6KBEGEACC18:28IHMI8BA4<667K=:0<=?737154551;?:;<==9193;5=10IHIHON=<19A5G36789:;:N8L01D;5F7D89:;8=5?00:026078:<8:=>?0242<4>60MH>9>=?0122><82AEG56789:84?0163?56409I=<>>L674@2F0D>J<LO=<;8?C0:@2301:?8=O;8967A@216<899N<=>?6B234567LJ9;=5?7012345@AKJ<;;N9LCB4@2F1?91=HONML6BA3B=BD<91;<>K<07A3G5678?IOO=>>80@545EAK9:HON>8C6A2>2:4;88F725GFEDK>?3D432F6D89;3?5>?FB3;5=57919;JKMLF9F5@31DK?LNON?767A@750DK9L3HN:?;120A2>1KLI:4<6?064344>60828<>>?0BA@4A0C>JI=ON8LC0:52FE18?IHL19A5G50?>1<=O=89C7FF05=78><<<<6?E123456789:;<<>?0123456789:;<>6J0142<@678:I;<L19135=5D;1N=O;M9193;3FE1?JI=HHHJ615;4F7?NLI;;59767A33F@BLL>;7=>I824E2CC4>O<6G:2<4>CJ:<49>40B2@457?91;3ONML0BA3B=0D8J:H?=MJ19234567919H?4193AC>DK:2:789:<4>K?00A0I=:>>L66A346648JI80:2<56789:;<=>?0223456789:;<=>?00:545678?:;<;8?01A34367882:86?>:HLSQQ50?37?47=AGZ^X7okds`?55<76830=<4@UURVP?tcimnyinm31183:42<9=0BB][[:@FGVD:6;3:5=95>4;KMTPR=IMNYN1?<:1<74>7?89:;<==937155=51;?;3=5?776CBGFED;:;3:K8907A3@=1D?LNHONMLCB13GF27382;<=>?024026060:<8:<6>80:GF034;9:;<<6LFBA3456089:;0M=:0=5>?01237351;?;3?;=9193;5=CBIHIH<=>?01204C6789:;<=>?0123456789:;8=5>8144A66789:8G:3GFE40:28>?0642<6E1K9::4=>;0:3;4F6791:;<=>LCB13GF6DNL:H:==?F743456789;3?N>L3123@F578?LN<=?70163?4>489:;:<6>8624<6600>2:I:6ICGA4G=6609::4=>?0223G560>J<:4;M?00:3416<91I=?=8?C1A@23EDKJIHONMLCBA@3C1?NLLN=5?719DFB@@BK9=H;N9L7BDFGFE1<91:4NM88764GFE4K9:;<=>?01234FE0NOII80:4A=06J?<8O=<70E@F0C64KJIN;<6IF122446688:MJ5??00285?OIX\^1{ho30;2=55=62@D[YY4xec>3>58382;IONML6B33457?KJO;ONK?CBA@2F6789<5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ14190<566082:4:KL6B4@2FEDK>IBK82=:=8<87A42360K>I881A74>5D?L8?JH=?191@5=5D?L9H=O>?7D2FA55D>>=;=5MLDC07A27??JN=?5=7E6;:45273:IOB742<6CA0L==?>>?IHONML795;3=@B8J<;O;M9393;5=E1K?I=O=>L0B2@4FE1KJI;=<5;:HLSQQ11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=05=1K9:;I<6805A@23EC>JIHO>>L064@G30DKJIMO<69C142<20DKO2H:NML66A3G56382=;O:=?01334567NJ:;<=>?0123456789:;<=>?012345678993<=>?01234567?1>;7:M<012325678>2<4KM<8GA2G2>00::82;<9>47D1@23E7>9:H=59J7D237FBE>?=N?HH73B5E7C018ONI>9K8195@7=1DNLNIM8>?4194A6EANJ:MIKK>80:2<561>>H=:<6IEGGF33548?<>=AGZ^X7jfn`>4>5869221EC^ZT;fjjg:0294:?665OTVSQQ0184>58?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI5>80:2<640M?IMI:6LC2432360K>IHONMK60:5G3060?<L0BA@230DK9:?<6M919234F7?91IHONML61A37FEDKJ=;O;>L0123457?>?;3=5?76BA57@0D>?9H<=?=;BJFGNYKAJOE_HQ[YQG7?FJLI=1H@FL6;BMNILRSMM;?7NA]E^EFJ@TF\@EESD@IO69@V@GSMM>;7I6L622EG460082;O:K8E6:4<2>DM>LMON8L62A0G6E0K>I<;On5KAUC\SLBS@VKh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o6CnjnpUawunggi0Ad``rWgqwlii:2D:?6@>029M545H5;2D9:>5A2618J7>43G82?6@<029M745H?:2D2j6@M_CWPTLHXX[E[_:5AEUULVN26AD1:R7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD;8VDKE9?H\I<=4SHO\GJJKAZCDBRMGEBI5?VIRZJO<7^\YAM]B3>UU^HFTN95\SSC7?VUUJ=1_U]K;c:W3+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR:V"ob.s-p7Zoia}$ym`!kpscn*av>p0$ym`o4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595n6Y]IUG\BLTT\j1\^DZJ_LMGAZGd3^XBXHQBOEG\F4b^c`VZye`Xjrrklj46gcl{k#?$64aefqe-2.02koho'5(:8eabui!<"46okdsc+3,>gcl{k7==4?>89b`atf48:546okdsc?5;>gcl{k79364aefqe90902koho37?:8eabui52546okdsc?=;>&8:cg`wd/9 30mij}b)33-==flmxi$?'7;`fgvg.4!11jhi|m(5+;?dbczk">%55ndepa,3/?3hno~o&8)99b`ate 1#37ljkrc*:-g=flmxi0<>50?0`?gjlWdofSjka_w6\5)&_aecet-M@RD"Dakcui}ey,<1oecl'0(58`lhe 8#<7igab)0*3>bnfk"8%:5kio`+0,1bh}}k#8$64dnwwe-3.02ndyyo'6(:8`jssi!="46j`uuc?4;>bh}}k78364dnwwe93902ndyyo36?c8`jssi5=1<364dnwwe91902ndyyl'0(:8`jssj!;"46j`uu`+6,>bh}}h#:$64dnwwf-1.02ndyyl30?c8`jssj5=1<364eeke6kac;2oem>5jnc58bjhszfg9>6h`nupli)dkcVgnaRijn^t7[4*IGGO'BB@J3`58bjhszfg'naePmdo\c`hX~=U: vmPh`q\v`admk7; nQgar]qabebi4:'oRcjm^vzt`;4$jUyy|nm^`>4)eXzz~ym`Qn=1.`[wusW}s{i0]VNB.`[mgtW`dainzjrs?3(fYwz`gTxt~j=0.`[lhn|kU}ma3?,b]qadbX`ndRl28-a\v`gcW~coxeQn=9.`[aotikfnSzo}n^`krZehde7; nQfnhv\bljbWgkfi0h`nupli+knn%iT{g{e^ol``Ye5^XBXHQIISQW(fYpz`~nS`ake^c>SWOSMVLB^^Z#c^ov|ZvnxlfbbhQ|t`efw86+kVbjRcjm^mvpussW{olRl20-a\hjgcW`dbxRhfld?2(fYoizUfi`Q`uurvpZtbozUj1="l_vpjp`Ycmy~cSo3=05:/gZqua}oThh~{h^c>652?$jUcm~Q}su`>4)eX`hyT~~zn=1.`[lhn|hU}ma3?,b]kevYj}qUdyy~zt^pfcvYe59&hSeo|_lw{[jssx|~T~hi|_`?3(fYflmxjSzgkti?25)eXimnynRyfduj>54*dWmommxb{_ecwe86+kVxiRklc<2/gZgkofxbxec21-a\`lufjeoT{l|a_cju[wgiol7; nQxrhvf[roc|aUi15"l_vpjp`Ypam~cSl37,b]qadbXllzdRl2216;(fYeq}oTdtj=8.`[wcflVnn|yfPa<030=*dWzcfSnaclhqjkkYd`li`1LBC,b]`khkhfVigg0>#c^ufeZqnl}b6=!mPh`q\akd:8%iTdl}Peoc>4)eXlhT{dj{h<3/gjkwggoexR`nmd?ekkrugd&hSx}j_c{waZqbj4:'oR{|e^`zp`Ypmh7; nQ}su]svliua}sTn0MJ,b]qwqYwz`eyeywPatcimnyinm'0(a8vagcl{oho%?&d:pgeabumji#=='l;sfb`atbkj"9%n5}d`fgv`ed :#h7jndepfgf.3!j1yhljkrda`,0/d3{njhi|jcb*5-f=ulhno~hml(6+`?wbflmxnon&7)b9q`dbczlih$4'i;sfb`atbkj6:<7>16:pfcfcf>2xnknkm4:pppd20:zb7v278hlk?;9-5CDu70:2JKt??6:G87>4}T?=0:jh4=0;306ad><3;9=?jtn0c;>4=i9h31:6*>a782e4=z[>81=kk5218277be1=0:><71=??=e:f144<7280:w^9;:0df>76=9:8on4:51331`>pS9131<7?51;35V1328ln6?>5120gf<2=9;;9h6*>928222=Q9h=1>v{>6982?p7113:0q)?74;02a>d5880;6<:53;37M7>92P=n7=?4$0c6>4ec3`;jo7>5$0:5>4d13g;397>4;h3a0?6=,82=65$0:5>4d13g;397<4;h3a6?6=,82=65$0:5>4d13g;397:4;h3a4?6=,82=65$0:5>4d13g;39784;h3ba?6=,82=65$0:5>4d13g;39764;h3bf?6=,82=65$0:5>4d13g;397o4;n3fe?6=,82=65$0:5>4`53g;397?4;n3f5$0:5>4`53g;397=4;n3f2?6=,82=65$0:5>4`53g;397;4;n3f0?6=,82=65$0:5>4`53g;39794;h3`a?6=3k;2>7>51;294~N6181/=5k51808k4>42900qo?>a;295?6=8rB:5<5+19g954g5<3n391=;uG1838^3d=9;q987=>:20967<5;3>269o5468774=::0?;7:7:|&2<`<58=1/:k4=039'36<58:1/=:h51908m7612900c?>n:18'5=0=:890b<6::198k775290/=5852018j4>22810c??>:18'5=0=:890b<6::398k777290/=5852018j4>22:10c?>i:18'5=0=:890b<6::598k76b290/=5852018j4>22<10c?>k:18'5=0=:890b<6::798k76d290/=5852018j4>22>10c?>m:18'5=0=:890b<6::998k76>290/=5852018j4>22010c?>7:18'5=0=:890b<6::`98m4`a2900e?>?:188m7732900e??::188m77f290/=58520`8j4>22910e??l:18'5=0=:8n0b<6::198m4gd290/=5851c48j4>22910e22;10e22=10e22?10e22110e22h10e22810e290/=5851ba8j4>22:10e22<10e22>10e22010e:18'5=0=9ji0b<6::`98m7712900e??8:188k77?2900c290/=5851g08j4>22810c22:10c22<10c22>10c22810c22:10c22<10c22>10e22810e22:10e22<10e22>10e:18'5=0=9l80b<6::198m4c7290/=5851d08j4>22810e22:10e22<10e22>10e?>::188k4b72900n5<7s-;3i7?>a:J2e7=O90;0c50z&2<`<61;1C=l<4H0;2?j7?;3:17pl>8g83>gg=83:p(<6j:0:4?M7f:2B:5<5U6c8`73=:?0887=::2a97a<4m39m6>8536874?262t.::>4>;%350?7<,8;i6?5+10a96>"69m097)?>e;08 47a2;1/=?>52:l43??<,8<>6<6>;%317?2<,88?69:4$006>46<,88=64`<,8836<=4$00:>4723-;9m7j4$00a>47?3-;9o78j;%31`?723-;9i7<>;%31b?543-;8<7?=;%305?76=2.:??4m;%307?223-;887?j;%301?7f3-;8:7=7;%303?4b3-;847?k;%30=?76?2.:?l4>7:&27g<3>2.:?n4=a:&27a<6k2.:?h4;2:&27c<4j2.:8=4>1:&204<69?1/=9<5199'515=;h1/=9:52c9'513=i2.:8;49c:&202<5l2.:854>139'51?=n2.:8l4>9:&20g<412.:8n4>4:&20a<>3-;?i78k;%37b?4a3-;><7<8;%365?c<,8?96<84$070>66<,8??6?m4$076>f=#9<<1=<=4$074>23<,8?36?64$07:>`=#95c80?!72k3<0(<;k:037?!72m3?0(<;i:518 4072;30(<8>:d9'534=?2.:45499:&264<53-;9>7<4$0;e>4?b3-;j<7?6e:l42??<,82j6<5+19`95>o083:17d9>:188m4772900e:188m4>d2900e<6k:188m06=83.:4;4;f:l2<0<732c?i7>5$0:5>1`6<54i5f94?"60?0?j6`>8481?>o3k3:1(<69:5d8j4>22:10e8750;&2<3<3n2d:484;;:k60=8787b>h60<0=76g:6;29 4>12=l0b<6::698m03=83.:4;4;f:l2<087>5$0:5>1`6454i4194?"60?0?j6`>848b?>o2:3:1(<69:5d8j4>22k10e8?50;&2<3<3n2d:484l;:k7f?6=,82=69h4n0:6>a=8786a>h60<0;76g:d;29 4>12n7>5$0:5>0c6>54i7:94?"60?0>i6`>8487?>o1?3:1(<69:4g8j4>22<10e;850;&2<3<2m2d:4849;:k51?6=,82=68k4n0:6>2=1<7*>8786a>h60<0376g93;29 4>125$0:5>0c6o54i7294?"60?0>i6`>848`?>o2i3:1(<69:4g8j4>22m10e4?50;&2<3<>82d:484?;:k;b?6=,82=64>4n0:6>4=878:4>h60<0976g7c;29 4>120:0b<6::298m=d=83.:4;460:l2<0<332c3m7>5$0:5><66854i9;94?"60?02<6`>8485?>o?03:1(<69:828j4>22>10e5950;&2<3<>82d:4847;:k;2?6=,82=64>4n0:6><=878:4>h60<0j76g74;29 4>120:0b<6::c98m=4=83.:4;460:l2<05$0:5><66i54i9294?"60?02<6`>848f?>o0n3:1(<69:828j4>22o10e:k50;&2<3<>82d:484>0:9j3a<72-;3:77?;o3;1?7632c5$0:5><66<<4;h5a>5<#91<15=5a197956=k1<7*>878:4>h60<0:865f7883>!7?>33;7c?75;36?>o>03:1(<69:828j4>228<07d78:18'5=0=191e=5;51698m<0=83.:4;460:l2<0<6021b584?:%3;2??73g;397?6;:k:0?6=,82=64>4n0:6>4g<3`386=4+1949=5=i91?1=o54i8094?"60?02<6`>8482g>=n0l0;6)?76;;3?k7?=3;o76g73;29 4>120:0b<6::0g8?l1?290/=585919m5=3=9o10eo<50;&2<34=878a5>h60<0976gnd;29 4>12k;0b<6::298mde=83.:4;4m1:l2<0<332cjn7>5$0:5>g76854i`c94?"60?0i=6`>8485?>of13:1(<69:c38j4>22>10el650;&2<3<=878a5>h60<0j76gn5;29 4>12k;0b<6::c98md5=83.:4;4m1:l2<07>5$0:5>g76i54i`394?"60?0i=6`>848f?>of83:1(<69:c38j4>22o10e4h50;&2<30:9j=`<72-;3:7l>;o3;1?7632c2h7>5$0:5>g76<<4;h;`>5<#91<1n<5a197956=878a5>h60<0:865f9`83>!7?>3h:7c?75;36?>oe13:1(<69:c38j4>228<07dl7:18'5=0=j81e=5;51698mg1=83.:4;4m1:l2<0<6021bn;4?:%3;2?d63g;397?6;:ka1?6=,82=6o?4n0:6>4g<3`h?6=4+1949f4=i91?1=o54ic194?"60?0i=6`>8482g>=nio0;6)?76;`2?k7?=3;o76gn4;29 4>12k;0b<6::0g8?l?>290/=585b09m5=3=9o10eok50;&2<34=878a`>h60<0976gma;29 4>12kn0b<6::298mf5=83.:4;4l2:l2<0<732ch=7>5$0:5>f46<54ib294?"60?0h>6`>8481?>oen3:1(<69:b08j4>22:10e<77:18'5=0=90=0b<6::198m4?1290/=5851858j4>22810e<7::18'5=0=90=0b<6::398m4?3290/=5851858j4>22:10e<7k:18'5=0=90i0b<6::198m4?e290/=58518a8j4>22810e<7n:18'5=0=90i0b<6::398m4?>290/=58518a8j4>22:10cij50;&2<34=878gg>h60<0976ak8;29 4>12mi0b<6::298ka1=83.:4;4kc:l2<0<332eo:7>5$0:5>ae6854oe794?"60?0oo6`>8485?>ic<3:1(<69:ea8j4>22>10ci=50;&2<3<=878gg>h60<0j76ak0;29 4>12mi0b<6::c98kfc=83.:4;4kc:l2<05$0:5>ae6i54oba94?"60?0oo6`>848f?>idj3:1(<69:ea8j4>22o10cno50;&2<30:9lg<<72-;3:7jl;o3;1?7632eh47>5$0:5>ae6<<4;na4>5<#91<1hn5a197956=878gg>h60<0:865`c483>!7?>3nh7c?75;36?>ib<3:1(<69:ea8j4>228<07bk<:18'5=0=lj1e=5;51698k`4=83.:4;4kc:l2<0<6021di<4?:%3;2?bd3g;397?6;:mf4?6=,82=6im4n0:6>4g<3fnm6=4+1949`f=i91?1=o54oeg94?"60?0oo6`>8482g>=hlh0;6)?76;f`?k7?=3;o76alf;29 4>12mi0b<6::0g8?je3290/=585db9m5=3=9o10ckk50;&2<34=878e`>h60<0976ai9;29 4>12on0b<6::298kc>=83.:4;4id:l2<0<332em;7>5$0:5>cb6854og494?"60?0mh6`>8485?>ia=3:1(<69:gf8j4>22>10ck:50;&2<3<=878e`>h60<0j76ai1;29 4>12on0b<6::c98k``=83.:4;4id:l2<05$0:5>cb6i54odf94?"60?0mh6`>848f?>ibk3:1(<69:gf8j4>22o10chl50;&2<30:9lad<72-;3:7hk;o3;1?7632en57>5$0:5>cb6<<4;ng;>5<#91<1ji5a197956=878e`>h60<0:865`e783>!7?>3lo7c?75;36?>i68<0;6)?76;dg?k7?=3;=76a>0583>!7?>3lo7c?75;34?>i68:0;6)?76;dg?k7?=3;376a>0383>!7?>3lo7c?75;3:?>i6880;6)?76;dg?k7?=3;j76a>0183>!7?>3lo7c?75;3a?>ian3:1(<69:gf8j4>228i07bhm:18'5=0=nm1e=5;51e98kc6=83.:4;4id:l2<0<6m21di84?:%3;2?`c3g;397?i;:m24d<72-;3:7??9:l2<0<732e:<54?:%3;2?7712d:484>;:m242<72-;3:7??9:l2<0<532e:<;4?:%3;2?7712d:484<;:m24c<72-;3:7??e:l2<0<732e:;:m24f<72-;3:7??e:l2<0<532e:X5991U>=h4^32f?[47l2T93W8;46P>fc9]5cg;6i:0:=45219d934=:91l1=4>d34;3j7?7d:?227:4k495:?2=27:4k464:?2;27:4k462:?227:4k4m5:?2127:4k4ma:?2999>5=`=90<01<6i:0;6?87?n3;2863>8g82=a=:91l1=4l4=0:e>4?f34;3j7?69:p5fc=838pR4?:3y]5`g<582m6h<4}r3e5?6=:rT:i45219d9a4=z{8l;6=4={_3f<>;60o0n<6s|1dd94?4|V8o<70?7f;fe?xu6ml0;6?uQ1d4894>a2mo0q~?jd;296~X6m<16=5h5d`9~w4cd2909wS?j4:?20652z\2f1=:91l18h5rs0`e>5<5sW;i?63>8g87`>{t9ko1<7h7p}>be83>7}Y9k;01<6i:4;8yv7ek3:1>vP>b19>5=`==11v6=4={_3be>;60o0>?6s|17c94?4|58k?6<6<;<3;b?7682wvk1vj1vm1vl1vo1v:181[7b127=m7?j9:&2=5<6?<1v1v27=m7?j6:&2=5<6?11v92we=9o50;0xL4?63td:8o4?:3yK5<752zJ2=4=zf8>o6=4={I3:5>{i9=o1<7vF>909~j4362909wE?61:m504=838pD<7>;|l216<72;qC=4?4}o360?6=:rB:5<5rn076>5<5sA;2=6sa14494?4|@83:7p`>5683>7}O90;0qc?:8;296~N6181vb<;6:181M7>92we=8o50;0xL4?63td:9o4?:3yK5<77>51zJ2=4=zf8><6=4>{I3:5>{zutJKOv?82;d`6a13k0wKLOu?}ABSxFG \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.ngc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.mif =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.mif (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.mif (revision 2) @@ -0,0 +1,2048 @@ +00000000 +01111110 +01111110 +01101100 +00010000 +00111000 +00010000 +00000000 +11111111 +00000000 +11111111 +00001111 +00111100 +00111111 +01111111 +00011000 +10000000 +00000010 +00011000 +01100110 +01111111 +00111110 +00000000 +00011000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +01100110 +01101100 +00011000 +00000000 +00111000 +00011000 +00001100 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000110 +01111100 +00110000 +01111000 +01111000 +00011100 +11111100 +00111000 +11111100 +01111000 +01111000 +00000000 +00000000 +00000110 +00000000 +00110000 +01111100 +01111100 +00111000 +11111100 +00111100 +11111000 +11111110 +11111110 +00111100 +11000110 +00111100 +00011110 +11100110 +11110000 +11000110 +11000110 +01111100 +11111100 +01111000 +11111100 +00111100 +01111110 +11000110 +11000110 +11000110 +11000110 +01100110 +11111110 +00111100 +11000000 +00111100 +00010000 +00000000 +00110000 +00000000 +11100000 +00000000 +00011100 +00000000 +00111100 +00000000 +11100000 +00011000 +00000110 +11100000 +00111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001110 +00011000 +01110000 +01110110 +00000000 +01111100 +11001100 +00001100 +01111100 +11001100 +00110000 +00110000 +00000000 +01111100 +11000110 +00110000 +01100110 +01111100 +00110000 +11000110 +00111000 +00011100 +00000000 +00111110 +01111000 +00000000 +00000000 +01111000 +00000000 +00000000 +11000011 +11001100 +00010000 +00111000 +11001100 +11111000 +00001110 +00011100 +00111000 +00000000 +00000000 +00000000 +11111100 +00111100 +00111000 +00110000 +00000000 +00000000 +11000011 +11000011 +00011000 +00000000 +00000000 +00110011 +01010101 +11011011 +00011000 +00011000 +00011000 +00110110 +00000000 +00000000 +00110110 +00110110 +00000000 +00110110 +00110110 +00011000 +00000000 +00011000 +00011000 +00000000 +00011000 +00000000 +00011000 +00011000 +00110110 +00110110 +00000000 +00110110 +00000000 +00110110 +00000000 +00110110 +00011000 +00110110 +00000000 +00000000 +00110110 +00011000 +00000000 +00000000 +00110110 +00011000 +00011000 +00000000 +11111111 +00000000 +11110000 +00001111 +11111111 +00000000 +00000000 +00000000 +00000000 +11111100 +00000000 +00000000 +01110110 +11111100 +00111000 +00111000 +00011100 +00000000 +00000110 +00111000 +01111000 +00000000 +00110000 +00110000 +00011000 +00001110 +00011000 +00110000 +00000000 +00111000 +00000000 +00000000 +00001111 +01111000 +01110000 +00000000 +00000000 +00000000 +10000001 +11111111 +11111110 +00111000 +01111100 +00111000 +00000000 +11111111 +00011000 +11100111 +00000111 +01100110 +00110011 +01100011 +11011011 +11100000 +00001110 +00111100 +01100110 +11011011 +01100001 +00000000 +00111100 +00111100 +00011000 +00011000 +00110000 +00000000 +00100100 +00011000 +11111111 +00000000 +00111100 +01100110 +01101100 +00111110 +11000110 +01101100 +00011000 +00011000 +00011000 +01100110 +00011000 +00000000 +00000000 +00000000 +00001100 +11000110 +01110000 +11001100 +11001100 +00111100 +11000000 +01100000 +11001100 +11001100 +11001100 +00011000 +00011000 +00001100 +00000000 +00011000 +11000110 +11000110 +01101100 +01100110 +01100110 +01101100 +01100010 +01100010 +01100110 +11000110 +00011000 +00001100 +01100110 +01100000 +11101110 +11100110 +11000110 +01100110 +11000110 +01100110 +01100110 +01111110 +11000110 +11000110 +11000110 +11000110 +01100110 +11000110 +00110000 +01100000 +00001100 +00111000 +00000000 +00011000 +00000000 +01100000 +00000000 +00001100 +00000000 +01100110 +00000000 +01100000 +00000000 +00000000 +01100000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00011000 +11011100 +00010000 +11000110 +00000000 +00011000 +10000010 +00000000 +00011000 +00110000 +00000000 +10000010 +00000000 +00011000 +00000000 +10000010 +00011000 +00111000 +01101100 +00000000 +00000000 +01101100 +11001100 +11001100 +11100000 +11001100 +11100000 +11001100 +00011000 +00000000 +00010000 +01101100 +11001100 +11001100 +00011011 +00000000 +00000000 +00011100 +00011100 +11111000 +00000000 +01101100 +01101100 +00000000 +00000000 +00000000 +11000110 +11000110 +00011000 +00110011 +11001100 +11001100 +10101010 +01110111 +00011000 +00011000 +00011000 +00110110 +00000000 +00000000 +00110110 +00110110 +00000000 +00110110 +00110110 +00011000 +00000000 +00011000 +00011000 +00000000 +00011000 +00000000 +00011000 +00011000 +00110110 +00110110 +00000000 +00110110 +00000000 +00110110 +00000000 +00110110 +00011000 +00110110 +00000000 +00000000 +00110110 +00011000 +00000000 +00000000 +00110110 +00011000 +00011000 +00000000 +11111111 +00000000 +11110000 +00001111 +11111111 +00000000 +01111000 +11111100 +11111110 +11001100 +00000000 +01100110 +11011100 +00110000 +01101100 +01101100 +00110000 +00000000 +00001100 +01100000 +11001100 +11111111 +00110000 +00011000 +00110000 +00011011 +00011000 +00110000 +01110110 +01101100 +00000000 +00000000 +00001100 +01101100 +00011000 +00000000 +00000000 +00000000 +10100101 +11011011 +11111110 +01111100 +00111000 +01111100 +00011000 +11100111 +00100100 +11011011 +00001111 +01100110 +00111111 +01111111 +00111100 +11111000 +00111110 +01111110 +01100110 +11011011 +00111100 +00000000 +01111110 +01111110 +00011000 +00001100 +01100000 +11000000 +01100110 +00111100 +01111110 +00000000 +00111100 +00100100 +11111110 +01100000 +11001100 +00111000 +00110000 +00110000 +00001100 +00111100 +00011000 +00000000 +00000000 +00000000 +00011000 +11001110 +00110000 +00001100 +00001100 +01101100 +11111000 +11000000 +00001100 +11001100 +11001100 +00011000 +00011000 +00011000 +00000000 +00001100 +00001100 +11011110 +11000110 +01100110 +11000000 +01100110 +01101000 +01101000 +11000000 +11000110 +00011000 +00001100 +01101100 +01100000 +11111110 +11110110 +11000110 +01100110 +11000110 +01100110 +00110000 +01011010 +11000110 +11000110 +11000110 +01101100 +01100110 +10001100 +00110000 +00110000 +00001100 +01101100 +00000000 +00001100 +01111000 +01100000 +01111100 +01111100 +01111100 +01100000 +01110110 +01101100 +00111000 +00000110 +01100110 +00011000 +11101100 +11111000 +01111000 +11011100 +01110110 +11011100 +01111110 +01111100 +11001100 +11001100 +11000110 +11000110 +11000110 +01111110 +00011000 +00011000 +00011000 +00000000 +00111000 +11000000 +11001100 +01111100 +01111000 +01111000 +01111000 +01111000 +01111110 +01111100 +01111100 +01111100 +00111000 +00111000 +00000000 +01101100 +01111100 +11111100 +01111111 +11001100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +11001100 +01111100 +01100100 +01111000 +11001100 +00011000 +01111000 +01110000 +00000000 +00000000 +00000000 +11001100 +01101100 +01101100 +00110000 +00000000 +00000000 +11001100 +11001100 +00000000 +01100110 +01100110 +00110011 +01010101 +11011011 +00011000 +00011000 +11111000 +00110110 +00000000 +11111000 +11110110 +00110110 +11111110 +11110110 +00110110 +11111000 +00000000 +00011000 +00011000 +00000000 +00011000 +00000000 +00011000 +00011111 +00110110 +00110111 +00111111 +11110111 +11111111 +00110111 +11111111 +11110111 +11111111 +00110110 +11111111 +00000000 +00110110 +00011111 +00011111 +00000000 +00110110 +11111111 +00011000 +00000000 +11111111 +00000000 +11110000 +00001111 +11111111 +01110110 +11001100 +11001100 +01101100 +01100000 +01111111 +01100110 +00011000 +01111000 +11000110 +11000110 +00011000 +01111100 +01111100 +11000000 +11001100 +00000000 +11111100 +00001100 +01100000 +00011011 +00011000 +00000000 +11011100 +01101100 +00000000 +00000000 +00001100 +01101100 +00110000 +00111110 +00000000 +00000000 +10000001 +11111111 +11111110 +11111110 +11111110 +11111110 +00111100 +11000011 +01000010 +10111101 +01111101 +01100110 +00110000 +01100011 +11100111 +11111110 +11111110 +00011000 +01100110 +01111011 +01100110 +00000000 +00011000 +00011000 +00011000 +11111110 +11111110 +11000000 +11111111 +00111100 +01111110 +00000000 +00011000 +00000000 +01101100 +00111100 +00011000 +01110110 +00000000 +00110000 +00001100 +11111111 +01111110 +00000000 +01111110 +00000000 +00110000 +11011110 +00110000 +00111000 +00111000 +11001100 +00001100 +11111000 +00011000 +01111000 +01111100 +00000000 +00000000 +00110000 +01111110 +00000110 +00011000 +11011110 +11111110 +01111100 +11000000 +01100110 +01111000 +01111000 +11000000 +11111110 +00011000 +00001100 +01111000 +01100000 +11111110 +11011110 +11000110 +01111100 +11000110 +01111100 +00011000 +00011000 +11000110 +11000110 +11010110 +00111000 +00111100 +00011000 +00110000 +00011000 +00001100 +11000110 +00000000 +00000000 +00001100 +01111100 +11000110 +11001100 +11000110 +11111000 +11001100 +01110110 +00011000 +00000110 +01101100 +00011000 +11111100 +11001100 +11001100 +01100110 +11001100 +01110110 +11000000 +00110000 +11001100 +11001100 +11010110 +01101100 +11000110 +01001100 +01110000 +00011000 +00001110 +00000000 +01101100 +11000000 +11001100 +11000110 +00001100 +00001100 +00001100 +00001100 +11000000 +11000110 +11000110 +11000110 +00011000 +00011000 +00111000 +11000110 +11000110 +01100000 +00001100 +11111110 +01111000 +01111000 +01111000 +11001100 +11001100 +11001100 +01100110 +11001100 +11000000 +11110000 +11111100 +11111010 +00111100 +00001100 +00110000 +01111000 +11001100 +11111000 +11101100 +00111110 +00111000 +01100000 +11111110 +11111110 +11011110 +11011011 +00011000 +11001100 +00110011 +11001100 +10101010 +11101110 +00011000 +00011000 +00011000 +00110110 +00000000 +00011000 +00000110 +00110110 +00000110 +00000110 +00110110 +00011000 +00000000 +00011000 +00011000 +00000000 +00011000 +00000000 +00011000 +00011000 +00110110 +00110000 +00110000 +00000000 +00000000 +00110000 +00000000 +00000000 +00000000 +00110110 +00000000 +00000000 +00110110 +00011000 +00011000 +00000000 +00110110 +00011000 +00011000 +00000000 +11111111 +00000000 +11110000 +00001111 +11111111 +11011100 +11111000 +11000000 +01101100 +00110000 +11001100 +01100110 +00011000 +11001100 +11111110 +11000110 +01111100 +11010110 +11010110 +11111000 +11001100 +11111111 +00110000 +00011000 +00110000 +00011000 +00011000 +11111100 +00000000 +00111000 +00011000 +00000000 +00001100 +01101100 +01100000 +00111110 +00000000 +00000000 +10111101 +11000011 +01111100 +01111100 +11111110 +11111110 +00111100 +11000011 +01000010 +10111101 +11001100 +00111100 +00110000 +01100011 +11100111 +11111000 +00111110 +00011000 +01100110 +00011011 +01100110 +01111110 +01111110 +00011000 +01111110 +00001100 +01100000 +11000000 +01100110 +01111110 +00111100 +00000000 +00011000 +00000000 +11111110 +00000110 +00110000 +11011100 +00000000 +00110000 +00001100 +00111100 +00011000 +00000000 +00000000 +00000000 +01100000 +11110110 +00110000 +01100000 +00001100 +11111110 +00001100 +11001100 +00110000 +11001100 +00001100 +00000000 +00000000 +00011000 +00000000 +00001100 +00011000 +11011110 +11000110 +01100110 +11000000 +01100110 +01101000 +01101000 +11001110 +11000110 +00011000 +11001100 +01101100 +01100010 +11010110 +11001110 +11000110 +01100000 +11000110 +01101100 +00001100 +00011000 +11000110 +11000110 +11010110 +01101100 +00011000 +00110010 +00110000 +00001100 +00001100 +00000000 +00000000 +00000000 +01111100 +01100110 +11000000 +11001100 +11111110 +01100000 +11001100 +01100110 +00011000 +00000110 +01111000 +00011000 +11010110 +11001100 +11001100 +01100110 +11001100 +01100110 +01111100 +00110000 +11001100 +11001100 +11010110 +00111000 +11000110 +00011000 +00011000 +00011000 +00011000 +00000000 +11000110 +11000110 +11001100 +11111110 +01111100 +01111100 +01111100 +01111100 +11000000 +11111110 +11111110 +11111110 +00011000 +00011000 +00011000 +11111110 +11111110 +01111000 +01111111 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +01100110 +11001100 +11000000 +01100000 +00110000 +11000110 +00011000 +01111100 +00110000 +11001100 +11001100 +11001100 +11111100 +00000000 +00000000 +11000000 +11000000 +00000110 +00110011 +00110111 +00011000 +01100110 +01100110 +00110011 +01010101 +11011011 +00011000 +11111000 +11111000 +11110110 +11111110 +11111000 +11110110 +00110110 +11110110 +11111110 +11111110 +11111000 +11111000 +00011111 +11111111 +11111111 +00011111 +11111111 +11111111 +00011111 +00110111 +00111111 +00110111 +11111111 +11110111 +00110111 +11111111 +11110111 +11111111 +11111111 +11111111 +11111111 +00111111 +00011111 +00011111 +00111111 +11111111 +11111111 +11111000 +00011111 +11111111 +11111111 +11110000 +00001111 +00000000 +11011000 +11001100 +11000000 +01101100 +01100000 +11001100 +01100110 +00011000 +11001100 +11000110 +01101100 +11001100 +11010110 +11010110 +11000000 +11001100 +00000000 +00110000 +00110000 +00011000 +00011000 +00011000 +00000000 +01110110 +00000000 +00011000 +00011000 +11101100 +01101100 +01111000 +00111110 +00000000 +00000000 +10011001 +11100111 +00111000 +00111000 +11010110 +01111100 +00011000 +11100111 +00100100 +11011011 +11001100 +00011000 +01110000 +01100111 +00111100 +11100000 +00001110 +01111110 +00000000 +00011011 +00111100 +01111110 +00111100 +00011000 +00111100 +00011000 +00110000 +11111110 +00100100 +01111110 +00111100 +00000000 +00000000 +00000000 +01101100 +01111100 +01100110 +11001100 +00000000 +00011000 +00011000 +01100110 +00011000 +00011000 +00000000 +00011000 +11000000 +11100110 +00110000 +11001100 +11001100 +00001100 +11001100 +11001100 +00110000 +11001100 +00011000 +00011000 +00011000 +00001100 +01111110 +00011000 +00000000 +11000000 +11000110 +01100110 +01100110 +01101100 +01100010 +01100000 +01100110 +11000110 +00011000 +11001100 +01100110 +01100110 +11000110 +11000110 +11000110 +01100000 +11001110 +01100110 +01100110 +00011000 +11000110 +01101100 +11111110 +11000110 +00011000 +01100110 +00110000 +00000110 +00001100 +00000000 +00000000 +00000000 +11001100 +01100110 +11000110 +11001100 +11000000 +01100000 +01111100 +01100110 +00011000 +01100110 +01101100 +00011000 +11010110 +11001100 +11001100 +01111100 +01111100 +01100000 +00000110 +00110110 +11001100 +01111000 +11111110 +01101100 +01111110 +00110010 +00011000 +00011000 +00011000 +00000000 +11000110 +01111100 +11001100 +11000000 +11001100 +11001100 +11001100 +11001100 +01111110 +11000000 +11000000 +11000000 +00011000 +00011000 +00011000 +11000110 +11000110 +01100000 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +11001100 +01111100 +00111100 +11001100 +01111100 +11100110 +11111100 +11001111 +00011000 +11001100 +00110000 +11001100 +11001100 +11001100 +11011100 +01111110 +01111100 +11011000 +11000000 +00000110 +01100110 +01101111 +00011000 +00110011 +11001100 +11001100 +10101010 +01110111 +00011000 +00011000 +00011000 +00110110 +00110110 +00011000 +00110110 +00110110 +00110110 +00000000 +00000000 +00000000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00011000 +00011000 +00110110 +00000000 +00110110 +00000000 +00110110 +00110110 +00000000 +00110110 +00000000 +00000000 +00011000 +00110110 +00000000 +00000000 +00011000 +00110110 +00110110 +00011000 +00000000 +00011000 +11111111 +11111111 +11110000 +00001111 +00000000 +11011100 +11111000 +11000000 +01101100 +11001100 +11001100 +01111100 +00011000 +01111000 +01101100 +01101100 +11001100 +01111100 +01111100 +01100000 +11001100 +11111111 +00000000 +00000000 +00000000 +00011000 +00011000 +00110000 +11011100 +00000000 +00000000 +00000000 +01101100 +00000000 +00000000 +00111110 +00000000 +00000000 +10000001 +11111111 +00010000 +00010000 +00010000 +00010000 +00000000 +11111111 +00011000 +11100111 +11001100 +00111100 +11110000 +11100100 +11011011 +10000000 +00000010 +00111100 +01100110 +00011011 +10000110 +01111110 +00011000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +11111111 +00011000 +00000000 +00011000 +00000000 +01101100 +00011000 +11000110 +01110110 +00000000 +00001100 +00110000 +00000000 +00000000 +00011000 +00000000 +00011000 +10000000 +01111100 +11111100 +11111100 +01111000 +00011110 +01111000 +01111000 +00110000 +01111000 +01110000 +00011000 +00011000 +00000110 +00000000 +00110000 +00011000 +01111100 +11000110 +11111100 +00111100 +11111000 +11111110 +11110000 +00111010 +11000110 +00111100 +01111000 +11100110 +11111110 +11000110 +11000110 +01111100 +11110000 +01111100 +11100110 +00111100 +00111100 +01111100 +00111000 +01101100 +11000110 +00111100 +11111110 +00111100 +00000010 +00111100 +00000000 +00000000 +00000000 +01110110 +11011100 +01111100 +01110110 +01111100 +11110000 +00001100 +11100110 +00111100 +01100110 +11100110 +00111100 +11010110 +11001100 +01111000 +01100000 +00001100 +11110000 +11111100 +00011100 +01110110 +00110000 +01101100 +11000110 +00000110 +01111110 +00001110 +00011000 +01110000 +00000000 +11111110 +00001100 +01110110 +01111100 +01110110 +01110110 +01110110 +01110110 +00001100 +01111100 +01111100 +01111100 +00111100 +00111100 +00111100 +11000110 +11000110 +11111100 +01111111 +11001110 +01111000 +01111000 +01111000 +01111110 +01111110 +00001100 +00011000 +01111000 +00010000 +11111100 +00110000 +11000110 +11011000 +01111110 +01111000 +01111000 +01111110 +11001100 +11001100 +00000000 +00000000 +01110000 +00000000 +00000000 +11001100 +11001111 +00011000 +00000000 +00000000 +00110011 +01010101 +11011011 +00011000 +00011000 +00011000 +00110110 +00110110 +00011000 +00110110 +00110110 +00110110 +00000000 +00000000 +00000000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00011000 +00011000 +00110110 +00000000 +00110110 +00000000 +00110110 +00110110 +00000000 +00110110 +00000000 +00000000 +00011000 +00110110 +00000000 +00000000 +00011000 +00110110 +00110110 +00011000 +00000000 +00011000 +11111111 +11111111 +11110000 +00001111 +00000000 +01110110 +11000000 +11000000 +01101100 +11111100 +01111000 +01100000 +00011000 +00110000 +00111000 +11101110 +01111000 +00000000 +01100000 +00110000 +11001100 +00000000 +11111100 +11111100 +11111100 +00011000 +11011000 +00110000 +00000000 +00000000 +00000000 +00000000 +01101100 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +01111110 +00000000 +00000000 +00111000 +00111000 +00000000 +11111111 +00000000 +11111111 +01111000 +00011000 +11100000 +10000000 +00011000 +00000000 +00000000 +00011000 +01100110 +00011011 +01111100 +00000000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111000 +00000000 +00000000 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +11110000 +00011110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +11111100 +00000000 +00000000 +00010000 +00000000 +00110000 +11000111 +01110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001111 +00000011 +00000000 +00000000 +00000000 +11001100 +10101010 +11101110 +00011000 +00011000 +00011000 +00110110 +00110110 +00011000 +00110110 +00110110 +00110110 +00000000 +00000000 +00000000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00011000 +00011000 +00110110 +00000000 +00110110 +00000000 +00110110 +00110110 +00000000 +00110110 +00000000 +00000000 +00011000 +00110110 +00000000 +00000000 +00011000 +00110110 +00110110 +00011000 +00000000 +00011000 +11111111 +11111111 +11110000 +00001111 +00000000 +00000000 +11000000 +00000000 +00000000 +00000000 +00000000 +11000000 +00000000 +11111100 +00000000 +00000000 +00000000 +00000000 +11000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +01110000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111000 +00000000 +00000000 +00000000 +00000000
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.mif Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.mif =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.mif (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.mif (revision 2) @@ -0,0 +1,3600 @@ +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +01011111 +01011111 +01011111 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +01011100 +00100000 +01011100 +00100000 +00100000 +00100000 +00101111 +00100000 +00101000 +01011111 +00101001 +01011111 +00100000 +01011111 +01011111 +01111100 +00100000 +01111100 +01011111 +00100000 +01011111 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +01011111 +01011111 +00100000 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +01011111 +00100000 +00100000 +00100000 +01011111 +01111100 +01011111 +01011111 +00100000 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +01011111 +01011111 +00100000 +00101000 +01011111 +00101001 +01011111 +00100000 +01011111 +01011111 +00100000 +00100000 +00100000 +01011111 +01011111 +00100000 +01011111 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +01011100 +00100000 +01011100 +00100000 +00101111 +00100000 +00101111 +01111100 +00100000 +01111100 +00100000 +00100111 +01011111 +01011111 +01111100 +00100000 +01011111 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00101111 +00100000 +01011111 +01100000 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +00101111 +00100000 +01011111 +00100000 +01011100 +00100000 +00100111 +01011111 +01011111 +01111100 +00100000 +00100111 +01011111 +00100000 +01100000 +00100000 +01011111 +00100000 +01011100 +01111100 +00100000 +01111100 +00100000 +00100111 +01011111 +00100000 +01011100 +00100000 +00101111 +00100000 +01011111 +01100000 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011100 +00100000 +01010110 +00100000 +00101111 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +01111100 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +00100000 +00101000 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +01011111 +01011111 +00101111 +00100000 +01111100 +00100000 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00101000 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011100 +01011111 +00101111 +00100000 +00100000 +01111100 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +00100000 +01011100 +01011111 +01011111 +01111100 +01011100 +01011111 +01011111 +00101100 +01011111 +01111100 +01011100 +01011111 +01011111 +00101100 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +00100000 +01111100 +01011111 +01111100 +01011100 +01011111 +01011111 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +01011111 +01111100 +01011111 +01111100 +01011111 +01111100 +00100000 +01111100 +01011111 +01111100 +01011100 +01011111 +01011111 +00101100 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +01011111 +01011111 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100111 +01011111 +00100000 +01011100 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +01011111 +00101001 +00100000 +01111100 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +01011111 +00101110 +01011111 +01011111 +00101111 +00100000 +01011100 +01011111 +01011111 +00101100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +01011111 +01011111 +01011111 +00101111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +01011111 +01011111 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01011111 +01011111 +01011111 +01011111 +01111100 +01011111 +00100000 +01011111 +01011111 +00100000 +00100000 +00100000 +01011111 +01011111 +01011111 +00100000 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +00100000 +01011111 +00100000 +00100000 +00100000 +01011111 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +01011111 +01011111 +00100000 +01011111 +01111100 +00100000 +01111100 +01011111 +01011111 +00100000 +00100000 +01011111 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +01011111 +01111100 +00100000 +01111100 +00100000 +00100111 +01011111 +00100000 +01011100 +00100000 +00101111 +00100000 +01011111 +00100000 +01011100 +00100000 +00100111 +01011111 +01011111 +00101111 +00100000 +01011111 +01100000 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00101111 +00100000 +01011111 +01100000 +00100000 +01111100 +00100000 +00100111 +01011111 +00100000 +01011100 +00101111 +00100000 +01011111 +01011111 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +01011111 +01011111 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +01011111 +01011111 +00101111 +00100000 +01111100 +00100000 +01111100 +00100000 +00101000 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +01011111 +01011111 +01111100 +00100000 +00101000 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +01011111 +00101001 +00100000 +01011100 +01011111 +01011111 +00100000 +01011100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +01011111 +01011111 +01011111 +01011111 +01011111 +01111100 +01011111 +01111100 +00100000 +01111100 +01011111 +01111100 +01011100 +01011111 +01011111 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +01011100 +01011111 +01011111 +00101100 +00100000 +01111100 +01011100 +01011111 +01011111 +00101100 +00100000 +01111100 +01011111 +01011111 +01011111 +01011111 +01011111 +01011100 +01011111 +01011111 +00101100 +01011111 +01111100 +01011111 +00101110 +01011111 +01011111 +00101111 +01111100 +01011111 +01011111 +01011111 +00101111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +01011111 +01011111 +01011111 +00101111 +00100000 +01111100 +01011111 +01011111 +01011111 +00101111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +01011111 +01011111 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01011111 +00100000 +01011111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01011111 +01011111 +00100000 +00101001 +00100000 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +01011111 +00100000 +01011111 +00100000 +01011111 +01011111 +01011111 +00101000 +01011111 +00101001 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +01011111 +00100000 +01011100 +01111100 +00100000 +00100111 +01011111 +01011111 +00101111 +00100000 +01011111 +01100000 +00100000 +00101111 +00100000 +01011111 +01011111 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +01011111 +00101001 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00101000 +01011111 +01111100 +00100000 +01011100 +01011111 +01011111 +00100000 +01011100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +01011111 +01011111 +01011111 +01011111 +00101111 +01111100 +01011111 +01111100 +00100000 +00100000 +01011100 +01011111 +01011111 +00101100 +01011111 +01111100 +01011111 +01011111 +01011111 +00101111 +01011111 +01111100 +01011111 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00101110 +00100000 +00100000 +00100000 +00100000 +00100000 +00101110 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00101110 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00101100 +00101101 +00101110 +00100000 +00111011 +00101101 +00101110 +00100000 +00101100 +00101101 +00101110 +00100000 +00111011 +00101101 +00101110 +00100000 +00101100 +00101101 +00111010 +00100000 +00101110 +00100000 +00101110 +00100000 +01111100 +00100000 +00101100 +00101101 +00111010 +00100000 +01111100 +00101101 +00101110 +00100000 +00101100 +00101101 +00101110 +00100000 +00100000 +00100000 +00101100 +00101101 +00101110 +00100000 +00101100 +00101101 +00101110 +00100000 +00111011 +00101101 +00101110 +00101101 +00101110 +00100000 +00100000 +00100000 +01111100 +00101101 +00101110 +00100000 +00111011 +00101101 +00101110 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01111100 +00101101 +00100111 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00101101 +00100111 +00100000 +01111100 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01100000 +00101101 +00101110 +00100000 +00100000 +00100000 +01111100 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +01111100 +00100000 +01111100 +00100000 +01111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01100000 +00101101 +00100111 +00100000 +00100111 +00100000 +00100111 +00100000 +01100000 +00101101 +00100111 +00100000 +00100111 +00100000 +00100000 +00100000 +01100000 +00101101 +01111100 +00100000 +01100000 +00101101 +01111100 +00100000 +00100111 +00100000 +01100000 +00101101 +01100000 +00100000 +01100000 +00101101 +00100111 +00100000 +01100000 +00101101 +00100111 +00100000 +01101111 +00100000 +01100000 +00101101 +00100111 +00100000 +01100000 +00101101 +00100111 +00100000 +00100111 +00100000 +00100111 +00100000 +00100111 +00100000 +01101111 +00100000 +01100000 +00101101 +00100111 +00100000 +00100111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +01100000 +00101101 +00100111 +00100000 +01100000 +00101101 +00100111 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.mif Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vhd =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vhd (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vhd (revision 2) @@ -0,0 +1,131 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file LogoROM.vhd when simulating +-- the core, LogoROM. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY LogoROM IS + port ( + clka: IN std_logic; + ena: IN std_logic; + addra: IN std_logic_VECTOR(11 downto 0); + douta: OUT std_logic_VECTOR(17 downto 0)); +END LogoROM; + +ARCHITECTURE LogoROM_a OF LogoROM IS +-- synthesis translate_off +component wrapped_LogoROM + port ( + clka: IN std_logic; + ena: IN std_logic; + addra: IN std_logic_VECTOR(11 downto 0); + douta: OUT std_logic_VECTOR(17 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_LogoROM use entity XilinxCoreLib.blk_mem_gen_v4_1(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_rstram_b => 0, + c_rstram_a => 0, + c_has_injecterr => 0, + c_rst_type => "SYNC", + c_prim_type => 1, + c_read_width_b => 18, + c_initb_val => "0", + c_family => "spartan3", + c_read_width_a => 18, + c_disable_warn_bhv_coll => 0, + c_use_softecc => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "LogoROM.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_softecc_output_regs_b => 0, + c_has_softecc_output_regs_a => 0, + c_has_mem_output_regs_b => 0, + c_has_mem_output_regs_a => 0, + c_load_init_file => 1, + c_xdevicefamily => "aspartan3a", + c_write_depth_b => 4096, + c_write_depth_a => 4096, + c_has_rstb => 0, + c_has_rsta => 0, + c_has_mux_output_regs_b => 0, + c_inita_val => "0", + c_has_mux_output_regs_a => 0, + c_addra_width => 12, + c_has_softecc_input_regs_b => 0, + c_has_softecc_input_regs_a => 0, + c_addrb_width => 12, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 18, + c_write_width_a => 18, + c_read_depth_b => 4096, + c_read_depth_a => 4096, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 1, + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_rst_priority_b => "CE", + c_rst_priority_a => "CE", + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_LogoROM + port map ( + clka => clka, + ena => ena, + addra => addra, + douta => douta); +-- synthesis translate_on + +END LogoROM_a; +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.gise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.gise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.gise (revision 2) @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.gise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vho =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vho (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vho (revision 2) @@ -0,0 +1,62 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component LogoROM + port ( + clka: IN std_logic; + ena: IN std_logic; + addra: IN std_logic_VECTOR(11 downto 0); + douta: OUT std_logic_VECTOR(17 downto 0)); +end component; + +-- Synplicity black box declaration +attribute syn_black_box : boolean; +attribute syn_black_box of LogoROM: component is true; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : LogoROM + port map ( + clka => clka, + ena => ena, + addra => addra, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file LogoROM.vhd when simulating +-- the core, LogoROM. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.vho Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.cgc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.cgc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.cgc (revision 2) @@ -0,0 +1,284 @@ + + + xilinx.com + project + LogoROM + 1.0 + + + LogoROM + + Generated by ISE Project Navigator + + + false + 0 + false + false + true + 0 + false + false + false + 8kx2 + 0 + 0 + Single_Port_ROM + 100 + 18 + false + 18 + 9 + false + false + false + false + false + false + false + Always_Enabled + Always_Enabled + 100 + 40000 + Minimum_Area + 0 + 0 + Single_Bit_Error_Injection + 0 + 18 + 18 + CE + CE + WRITE_FIRST + false + WRITE_FIRST + SYNC + false + false + 0 + D:\Dados\Energy Labs\FPGA\TFTLCD\ellogo.coe + true + ALL + + + + + LogoROM + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Advanced + true + Other + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + + + apply_current_project_options_generator + + + customization_generator + + ./LogoROM.mif + mif + Wed Feb 16 21:46:51 GMT 2011 + 0xB11C45DD + + + + ip_xco_generator + + ./LogoROM.xco + xco + Wed Feb 16 21:46:52 GMT 2011 + 0x4B837A29 + + + + implementation_netlist_generator + + ./LogoROM.asy + asy + Wed Feb 16 21:47:28 GMT 2011 + 0x49E9D8FE + + + ./LogoROM.mif + mif + Wed Feb 16 21:47:59 GMT 2011 + 0xB11C45DD + + + ./LogoROM.ngc + ngc + Wed Feb 16 21:49:13 GMT 2011 + 0x720F88A5 + + + ./LogoROM.v + verilog + Wed Feb 16 21:48:57 GMT 2011 + 0xDA87C263 + + + ./LogoROM.veo + veo + Wed Feb 16 21:48:57 GMT 2011 + 0xAD018D07 + + + ./LogoROM.vhd + vhdl + Wed Feb 16 21:48:57 GMT 2011 + 0x6E25731C + + + ./LogoROM.vho + vho + Wed Feb 16 21:48:57 GMT 2011 + 0x9686F33D + + + ./blk_mem_gen_ds512.pdf + pdf + Wed Feb 16 21:48:57 GMT 2011 + 0xDCFF7B3C + + + + instantiation_template_generator + + ./LogoROM.veo + veo + Wed Feb 16 21:49:13 GMT 2011 + 0xAD018D07 + + + ./LogoROM.vho + vho + Wed Feb 16 21:49:13 GMT 2011 + 0x9686F33D + + + + xco_generator + + ./LogoROM.xco + xco + Wed Feb 16 21:49:19 GMT 2011 + 0xC898900C + + + + xmdf_generator + + ./LogoROM_xmdf.tcl + tclXmdf + tcl + Wed Feb 16 21:49:19 GMT 2011 + 0xBEE7E589 + + + + ise_generator + + ./LogoROM.gise + ignore + gise + Wed Feb 16 21:49:23 GMT 2011 + 0x44296F52 + + + ./LogoROM.xise + ignore + xise + Wed Feb 16 21:49:23 GMT 2011 + 0x6E9B0A48 + + + ./_xmsgs/pn_parser.xmsgs + ignore + unknown + Wed Feb 16 21:49:23 GMT 2011 + 0x85B3C8C6 + + + + deliver_readme_generator + + ./LogoROM_readme.txt + ignore + txtReadme + txt + Wed Feb 16 21:49:23 GMT 2011 + 0x693B181B + + + + flist_generator + + ./LogoROM_flist.txt + ignore + txtFlist + txt + Wed Feb 16 21:49:23 GMT 2011 + 0x302B3877 + + + + + + + + + + + LogoROM + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Advanced + true + Other + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.cgc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.veo =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.veo (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.veo (revision 2) @@ -0,0 +1,45 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +fontrom YourInstanceName ( + .clka(clka), + .addra(addra), // Bus [10 : 0] + .douta(douta)); // Bus [7 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file fontrom.v when simulating +// the core, fontrom. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.veo Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.veo =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.veo (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.veo (revision 2) @@ -0,0 +1,51 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +textram YourInstanceName ( + .clka(clka), + .ena(ena), + .wea(wea), // Bus [0 : 0] + .addra(addra), // Bus [12 : 0] + .dina(dina), // Bus [7 : 0] + .clkb(clkb), + .enb(enb), + .addrb(addrb), // Bus [12 : 0] + .doutb(doutb)); // Bus [7 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file textram.v when simulating +// the core, textram. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.veo Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xco =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xco (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xco (revision 2) @@ -0,0 +1,89 @@ +############################################################## +# +# Xilinx Core Generator version 12.1 +# Date: Mon Feb 28 07:43:59 2011 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xa3s400a +SET devicefamily = aspartan3a +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ftg256 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = true +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 4.1 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file="D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\preram.coe" +CSET collision_warnings=ALL +CSET component_name=textram +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Use_ENB_Pin +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=true +CSET load_init_file=true +CSET memory_type=Simple_Dual_Port_RAM +CSET operating_mode_a=READ_FIRST +CSET operating_mode_b=READ_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=50 +CSET port_b_clock=100 +CSET port_b_enable_rate=100 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=4800 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: 7f88e438
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xco Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xco =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xco (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xco (revision 2) @@ -0,0 +1,89 @@ +############################################################## +# +# Xilinx Core Generator version 12.1 +# Date: Mon Feb 28 07:40:36 2011 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xa3s400a +SET devicefamily = aspartan3a +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ftg256 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = true +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 4.1 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file="D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\fontrom.coe" +CSET collision_warnings=ALL +CSET component_name=fontrom +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Always_Enabled +CSET enable_b=Always_Enabled +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=true +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=0 +CSET port_b_clock=0 +CSET port_b_enable_rate=0 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=2048 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: eb504da6
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xco Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM_xmdf.tcl =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM_xmdf.tcl (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM_xmdf.tcl (revision 2) @@ -0,0 +1,88 @@ +# The package naming convention is _xmdf +package provide LogoROM_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::LogoROM_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::LogoROM_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name LogoROM +} +# ::LogoROM_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::LogoROM_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path LogoROM_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_ds512.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module LogoROM +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM_xmdf.tcl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.asy =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.asy (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.asy (revision 2) @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 fontrom +RECTANGLE Normal 32 32 544 672 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[10:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName douta[7:0] +PINATTR Polarity OUT +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.asy Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.asy =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.asy (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.asy (revision 2) @@ -0,0 +1,41 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 textram +RECTANGLE Normal 32 32 544 672 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[12:0] +PINATTR Polarity IN +LINE Wide 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName dina[7:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName ena +PINATTR Polarity IN +LINE Wide 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wea[0:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName addrb[12:0] +PINATTR Polarity IN +LINE Normal 0 496 32 496 +PIN 0 496 LEFT 36 +PINATTR PinName enb +PINATTR Polarity IN +LINE Normal 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName clkb +PINATTR Polarity IN +LINE Wide 576 368 544 368 +PIN 576 368 RIGHT 36 +PINATTR PinName doutb[7:0] +PINATTR Polarity OUT +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.asy Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ncf =================================================================== Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ncf =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ncf (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ncf (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ncf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ngc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ngc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ngc (revision 2) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$26e40<,[o}e~g`n;"2*726&;$:,)?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;0:23456789:;<=>?0123456799:;<=>?0123456789:;<=>?0123456789:;<===?31137557;99;?==?31127456;89:?<=>37@3<517?9=;;=9?71533517?9=?<6>=0C2A4G648::8<>><022046648K:IM0C20266?8>:<<:>8062442608>:<<::?;1533517?9=;;=>=04;0=76789=;;=9?71533517?9=;;=9?71533517?<39<8?;952842608>:<<:>80624426789:;<5;6480;6=4?91:3<=>?0123456789:;=5:6584205=7?9=;;=9?71533517?9=;;=9?0537=0?31=;?=>7MCEAGGAECKMIO8K;NB22551?382:<<:>8062442608>:<<:>80624454?>89:84;>202146648::8<>><22412426:0>;7=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?715335641:3>=9>4062442608>:<<:>8062442608>:<<:>8062442608>:<<:>80624426089?:848>41933517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;<879A5;74>608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>801627<2739=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?715340?29=:0<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>80624426089;;8=5?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;<9?;0:2442608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>80163?517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?7153316<8>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>806245515<91;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?715335150=3?<6>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>?2==:?;1533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;49?;952842608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>7481205=7?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71:7=17382:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>80624555><8>;7=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?715335160:3?=9>4062442608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>8;?4:>41933517?9=;;=9?71533517?9=;;=9?71533517?9=;;=9?71533517?9=;;87=06C74>608>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>8580;372739=;;=9?71533517?9=;;=9?71533517?9=;;=9IB1:3E456;89:?=L=B910=6?29=:0<:>8062442608>:<<:>8062442608>:<<:>806DA556F9::I>>8=19036=5>>8>:8=5?71533517?9=;;=9?71533517?9=;;=9?71533CD689K:?<=>300241721=;?=8?;0:2442608>:<<:>8062442608>:<<:>806244260NK;;2?4=64863?517?9=;;=9?71533517?9=;;=9?71533517?9=MN<>?A0127456;89:N8<;1535E16<8>:<<:>8062442608>:<<:>8062442608>:<<:HM112B56749K?994>72962142><91;;=9?71533517?9=;;=9?71533517?9=;;=9IB1:245G6;89:?<=?B3@;73G29=3?<6>8062442608>:<<:>8062442608>:<<:>8587:5=6>9:;8=>?<122A6G1F<0?2?<:?;1533517?9=;;=9?71533517?9=;;=9?74;347>519H:?<=>301275D5J>K>=97=952842608>:<<:>8062442608>:<<:>8067:4556=09:8062442608>:<<:>8090;0<36=0?:?=>709137557;99;?==?390;742>=0>;7=9?71533517?9=;;=9?74;2<1721?;85?>?84;6=517?9=;;=9?715337639=34062442608>:<<:>806241<3>=0?294;6062442@E81:J=>>M2C;A5=47:19;94;641933517?9=;;=9?715335>7I9H;N=L?321;G=E?K1I>N<=>301275D7;>>JN?6;12374>608>:<<:>80624426081:J=>?<123056749:;8=>?<123056749::I>O9=48726<2739=;;=9?71533517?9=;41032547698;:=1032547698;:=12;5E2G41=:0<:>8062442608>:<<:>7133056749::I<>><02204G749:;8=>?<0C002D36<0838=5?71533517?9=;;=9?71:26456;89:?<=>30127456;89:?<=>3013F7D0:=;?5?>;0:2442608>:<<:>806244=759:;8=>?<123056749:;8=>?<1230566E:K2894:>2863?517?9=;;=9?715335170;8?N9L;B51GGD4?I1K3M;9H:?>85;5616<8>:<<:>8062442608>:34LMMC2A0GGEE=0LIJOHM092B56749:N?IO=?190;0<3><91;;=9?71533517?9=;;87>82;1<6?41:3:487?71533517?9=;;=9?715346631=;?<6>8062442608>:<<:>858031<2>=0?2=5>?582442608>:<<:>806244277<0>284:?;1533517?9=;;=9?7022<5621<3;;=9?71533517?9=;;=9?71533517?9:==97:152842608>:<<:>806245526;09:<=;6582442608>:<<:>8062442608>:<<5:>386205=7?9=;;=9?71533512182?597:15;2<567?9=;;=9?71533517?9=;;=9?02;7=17382:<<:>8062442608>?2=5;>40626=67=0?2<:>8062442608>:<<:>806337<56<8>;7=9?71533517?9=>5<6;1530=4>789=;;=9?71533517?9=;;=9?715335731=3?=9>4062442608>:<<5:<<:>8062442608>:<<:>8062442608>838<;>4193<07?N<=N9L:N4@6B0D2FJ8L:N4@617=4D8J:HL0B2@4F6D8J:HL0B274>6?0H:3OOM?C1A3G5E7K9I;O=M?C1A3G5E70;2IOOMM92:A04E7K9I;O=M?C1A3G527393;5=7?91;3=5?7193;5=7?91C27456;89:?<=9B1:33517?9=;;=9?71533517?=:0?<123056749:;8=>?<0C4A4=608>:<<:>8062442608>:<8=5?A0127456;89:?<=>30127456;89:?<=>301273D709=;;=9?71533517?9=;;=9;0:2@4=E389:;<=>?0123456789>:4;M786:5036?K>:;O=M?C1A3G5E7K9I;O=M?C163?5E68M:>;H8N4@6B0D2FJ9L:N4@6573>>;JHHL0B2@4F6D8J:HL0B2@416<8J2MOO;8E4C7E1G3I=K?M9O;A5C7E1G3>=29O=M?C1A3G5E7K9I;O=M?C1A3G5E7<91;H=>L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:?<6>K40A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O==41/274>778>:<<:>8062442608>:<<:>8062442608>:<<:>8062442608>:<<:>80624422738:O?4=;595;2=0?>1<3:5876947113>:L0B2@4F6D8=:0=1032547698;:=1032547>98;:=100245608>:<<:>8062442608>:<8=5>186:0<2C<0>O84:64E6:0A22LH>J8L:N4@6B0D639J:HL0B2@4F6D8J:H>4:31>LHW]]0jhi|n<0394;733881EC^ZT;cg`wd;980;2<74138LQQVR\3xomij}eba?54<768>0=94FNQWW>DBCZH6:?7>115920?OIX\^1MIJ]B=30>586;2;36D@_UU8gmkg;9>0;2<=4198JJUSS2mcen1?8:1<21>7?2F__\XZ5dnwwe970294:96?7:NWWTPR=lfn1?8:1<24>7=AGZ^X7yja=294;77380BB][[:vga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e3HNO^L2>3;2==>GCL[K7=>07;@FGVD:6611JHI\N<3<;?DBCZH68255NDEPB818?3HNO^L2:>99B@ATF4?437LJKR@>4:==FLMXJ0507;@FGVD:>611JHI\M<1<:?DBCZK6:<374AEFQF976601JHI\M<00=f>GCL[H7=>4?>89B@ATE489546OKDS@?5;>GCL[H79364AEFQF90902KOH_L37?:8EABUJ52546OKDS@?=;54C1A3G5E7K9I;<=:98D2;0067K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7KK>>L419@4F6D8J:H;1543156DJJ:HL0B2@4F6D8J:HL0B2@4F6D8J:H<587A1A74>E7K9I;O=M?C1A3G5>7KK>>4KMM4D:FG167KKI;O=M?C1A3G5E7K9I;O=M<0BA@4F273J:HL0B2@4F6D8J:;<=>?0907AFD3=1<3:=:;1123456789:;<=>?016@G=CDN=:0O=M?C1A3G5E7K9I;O=:?CCA7AKO>28;6=CCAAG5E7K9I;O=M?C1A3002FKJ388=5L0B2@4F6D8J:HL05362AECKMIOO4LLBEDGBA@?:03N9;:N4@@6@F17=1=;O5K;0:A3G5E7K9I;O=M?C1A3<76D0O2NOKMICGAEGCEAKO2N4H6J8D:F<@>BKO>M==7<8C63?F6D8J:HL0B2@4F6?:8:<8:>8<62C7E1G3I=K?:><9592@<91HL0B2@4F6D8J:H<5<>7D7B0D2FJ8L:N4@6B0D2FJ8L:93342G1B?I93?<6M?C1A3G5E7K9I;O=M?8334A0G3I=K?M9O;A5C7E1G3I=K?M9O;A547223><02JO5:?;B2@4F6D8J:HL0B2;641B=H>J8L:N4@6B0D2FJ8L:N4@6B0D21?03HN9J?A528G5E7K9I;O=M?C1A3G5>5L0O3M:O866GA5035=;?99>7IA5C726C3MM8JOK::CC3305=D8J:HL0B2@4F6D8J:H<9?76BA7@=C3LJ=?=9?7694;2=0?>1<3:N9;51:3G=C382I;O=M?C1A3G5E7K9I;O=M?C123;7N>L0B2@4F6D8J:HL0B2@4F678J=HJ=6?C1A7FD6F4C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E789:3<4:K419@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:;<=MLBBD74>E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=>?8G72GG273J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B234=D71=:0O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5670L>O8=5L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D89>?M5O;0:A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;8L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:H;55;;F16L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4=4DK1H?<6M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9:;8L:?;B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:H<=>L3528G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?87A@05=D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@456382I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9>;7N>L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J9>>9>4C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;OOM<419@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HE7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?CC66=6273J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@FF12:=:0O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1FE<6?>M<<8>;?8C266<32>KO2J8=5L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4A@?;03N9;:J50;@F=4D?1K;H=M;0:A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7LO2854K:A5C71A21<02NOO6M0E63?F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:OJ5=69D7B0D2F<881C2;0<2C<91HL0B2@4F6D8J:HL0B2@4F6D8J:HL0ED;7J8L:N5@1:1=G?I8;?<6M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1FE04E3L0O>M9O;A540637D99>OON:?;B2@4F6D8J:HL0B2@4F6D8J:HL0B2@FFD?0M>9>L:N4@6B0355>MKHM5K7B528G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3GG670?>I>:8=5L>LCB2B05=D8J:HL0B2@4F6D8J:HL0B2@4FD3992?HNJJ0@6B0D2F=??8KB43G71@382I;O=M?C1A3G5E7K9I;O=M?C1A3G5EE<F8M>;7N>L0B2@4F6D8J:HL0B@@FFDDJJHHNN>L0B2GB=5>1L?=??8KF1172F1D01O3I9>4C1A3G5E7K9I;O=M?C1AA402>K>>284:605AAG5E7K9I;O=M?C1A3G5E789IL0B2@75278JHHNN>L0B2@4F6D8J:HL0B2@4F6D8J:?=NHLB5F74>E7K9I;O=M?C1A3G5E4KK>2<5:>CCAAG5E7K9I;O=M?C1A3G5E7K9I;O=:>8@2:L0B2@4F6D8JH;8=MLB5F@G5278J:HL0B2@4F6D8J:HL0127=5E?I=:0O=M?C1A3G5E7K9I;OO>;0E:B0<8IIOOM?C1A3G5E7K9I;O=M?C1A3<72>812I8=5L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6389I;ONL;0:A4G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C163?FD7K18HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@416:<8:>8;:=OOM?C1A3G5E7K9I;O=M?C1A3G5E7<91HN4=L3B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J:?<6ML86A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=:?;BA;E=0DJJ:HL0B2@4F6D8J:HL0B2@4F6D;1K?H977A53@4F6D8J:HL0528GFE089I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=MM832645E7K9I;O=M?C1A36>EB<91HJ5L0B2@4F6D8J:HL0B2@4F6D8J:HL0B2@4F6D8J::>6MGEBI\HLEBFZOTXT^J4:AOOD2;7I>7E12@4F6D8J:HL0B2@4F6D8J:HL0B234F5?>JH?5=>L0B2@4F6D8J:H<9>4D2:4GGE7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?C1A3G5E7K9I;O=M?c:FBPDYPAM^CSLm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za\lduXzlmhio3?,b]kevYumninm0>#c^ofiZr~xl78 nQ}supbiZd:8%iT~~z}al]b95*dW{ySywe5=*dW{ojhRyfduj\e87?$jUoe~omld]tewhXja|Tobbc=1.`[lhn|Vlb`hQaalg>HjahZFG%adh#c^uqmqcXefnnSo3XRHVF[COU[]&hSz|ftd]nkacXi4]YEYKPFHPPP)eXe|rT|d~jlhlf[vrfoly6>%iT{g{e^fftqnXi4>;5;"l_icp[wusj4:'oRfns^pppd;7$jUbbdzn_wco95*dWakxS`{w_nwwtprXzlmxSo3?,b]kevYj}qUdyy~zt^pfcvYf59&hSljkr`]tmaro588'oRokds`\slbs`4;9 nQkegcvhqYci}k6;5;"l_rkn[fikd`ybccQlhdah9DJK$jUhc`c`n^aoo86+kV}nmRyfduj>5)eX`hyTicl20-a\lduXmgk6=!mPwd`\slbs`4;'obcoogmpZhfel7Gcja]OL.`[pubWksiRyjb<2/gZstmVhrxhQxe`?3(fYu{}U{~da}iu{\f8EB$jUyyQrhmqmqXi4IN nQzsd]gacgrd}Uomyo21-2a>JhofXDARlck^ofiZabfV|?SKfbfx]i}fooa8Ilhhz_oydaa3:L246=I9890B<<<;O307>H6<:1E=8=4N040?K70;2D:4?5A229M725H38:1E8<=4N500?K24;2D?8>5A4418J1043G>3:L666=I=:90B8:=;O51?K>53G3m7CLPBTQSMKYWZFZX;6@JTVMQO1=IGGO:7B<4OJ38T1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF5>W>3[KFN<8MWD30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF02=R8&hggRcjm^efj`tf|fx$zlbfd/appw)eagnnoRo!bhlgafYnfz~Tm"KAOTV\4Zkrp9:;<<:8;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/ckm``eXi'hbbikl_hlppZg(MGE^XR?Pmtz34566:j1^<"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8585k2_;#obd_lgn[bcim{kc!yamkg*fusz&oemRo}r`{?5;5?3\:$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_`,B+air|Vg~t1>112;8Q5)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTm#O dnww[hs48:5=>74U1-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xi'K$hb{{_lw{84799:30Y=!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\e+G(lfS`{w<00=56?<]9%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPa/C,`jssWds0<=112;8Q5)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTm#O dnww[hs48>5=>74U1-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xi'K$hb{{_lw{84399:30Y=!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\e+G(lfS`{w<04=56?<]9%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPa/C,`jssWds0<9112:8Q5)edbUfi`Qheogqeqiu'kgei lsup,lduXe|rTm#O dnww[hs484:?55Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!gar]nq}Yf&H%ocxzPmtz?6;7402_;#obd_lgn[bcim{kc!yamkg*fusz&bjRczx^c-E*bh}}Ufyu2<>01;?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWdsSl N/emvpZkrp5>5=>64U1-ahnYjmdUlick}aumq+sgkam$hy| h`q\ip~Xi'K$hb{{_lw{8086;11^<"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]b*D)cg|~Taxv36?30<>S7'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRo!A.flqqYj}q6<2<=7;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWh$J#iazt^ov|9>99:20Y=!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\e+G(lfS`{w<8<272=R8&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQn.@-paiYwayo7<3=9;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hsWh$J#~kc_qksaZ65l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38585l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38485l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38785l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38685l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38185l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38085l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38385l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38285l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc38=85l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28585l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28485l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28785l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28685l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28185l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28085l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28385l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28285l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc28=85l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18585l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18485l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18785l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18685l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18185l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18085l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18385l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18285l2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc18=85k2_;#obd_lgn[bcim{kc!yamkg*fusz&xjaRj`uuc?4;4d3\:$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiazt`>2:7e<]9%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{a=0=6f=R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzn<2<1g>S7'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyo34?0`?P6(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xl2:>3a8Q5)edbUfi`Qheogqeqiu'kgei lsup,vdkXlfm1812b9V4*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~j0:0=c:W3+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}k743:l;T2,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS9W%~lc!r.q0[lhn|'xja"jr`o-`u?1'xja9m4U1-ahnYjmdUlick}aumq+sgkam$hy| r`ookjv\9T$ym` }/r1\mkos&{kf#i~}al,gt<~>&{kf8n5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]5U'xja#| s2]jjlr)zhg$h}|nm/fs=}?)zhg?o6[?/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^5Z&{kf"!|3^kmmq(uid%o|ob.er:|<(uidk0Y^K]_@NJEVe<]ZOYS[G\ICNF7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959j2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPB0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI79[WQJNJ>1S_YQHNE`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc64aefqe-6.02koho'1(;8eabui!;;%45ndepb,47.02koho'2(:8eabui!9"46okdsc+0,> 20mij}a)5*<>gcl{k#4$64aefqe-?.02koho30?;8eabui5;;2o5ndepb847=8730mij}a=32:==flmxj0<07;`fgvd:5611jhi|n<2<;?dbczh6?255ndepb808?3hno~l29>99b`atf4>437ljkr`>;:==flmxj0407;`fgvg.7!11jhi|m(0+:?dbczk":<$74aefqf-76!11jhi|m(3+;?dbczk"8%55ndepa,1/?3hno~o&:)99b`ate ?#37ljkrc*4-==flmxi$5'7;`fgvg.>!k1jhi|m<0394;4d3kf`S`kb_fgm[s2X9%*Seagax!ALV@&@mgoymya} 00-51=ddbk?7nbdb69gflrbz{=0hd`n(1+4?aoii!;"46jfn`*24,>bnfh":8$64dhlb,43.02nbbl&>6(:8`lhf 8=";6jfn`*1-2=cagk#?$94dhlb,1/03mcem%;&7:fjjd.1!>1oeco'7(58`lhf 1#<7igaa);*e>bnfh6:;7>17:fjjg.7!>1oecl'1(:8`lhe 8:"46jfnc*25,>bnfk":9$64dhla,40.02nbbo&>7(58`lhe ;#<7igab)1*3>bnfk"?%:5kio`+1,1&8:flqqg/9 30hb{{a)33-<=cg|~j$3me~xl&>7(:8`jssi!8"46j`uuc+7,>bh}}k#;$64dnwwe->.02ndyyo'9(:8`jssi5:556j`uuc?558>3me~xl2>1?;8`jssi5;9245kotvb845912ndyyo315<:?air|h6:9374dnwwe9716k1ocxzn<0594;?99gkprf48437iazt`>1:==cg|~j0>07;emvpd:3611ocxzn<4<;?air|h6=255kotvb828?3me~xl27>99gkprf40437iaztc*3-==cg|~i$<'6;emvpg.68 30hb{{b)32-<=cg|~i$<<&9:flqqd/9:#27iaztc*20,?c9gkpre48=1<364eeke6kac;2oem>5jncf8mklbk}nieyk}re9jjocd|zhbxh|}8:ldggsndmi0~iokdsg`g-6.k2xomij}eba+5,btcimnyinm'2(a8vagcl{oho%=&c:pgeabumji#8$m4recg`wcdk!?"o6|kaefqafe/> i0~iokdsg`g-1.k2xomij}eba+<,etcimnyinm31083:3=umninm;5}efaff1=u{}k?7}{b69pflrbz{;87yc/^ad+Ii`g[EF"ci`6:ufe-6.02}nm1>50?48s`d/8 20{hl30;2=55=i:y?<=oitf062(2zHIz?mk5O@y17=?@=<3;p_1?=9:8on4:5132a<~h5i?0:7c0d8074<313;8>il64;314g><[;;86>=9:18277be1=0:>=l7;R33a?54>3:1=>5<6280?4v]>118074<313;8>il64;314g><,;396??;;W0b1?4|};;i6<5z20a94>{#:=91?9k4b334>5<58391>=uG2828^fg=:r>n68;5}%07a?46?2.9m>4=cd9j764=831b>ll50;&100<5j?1e>9:50:9j6dg=83.9884=b79m612=921b>o=50;&100<5j?1e>9:52:9j6g4=83.9884=b79m612=;21b>o?50;&100<5j?1e>9:54:9j6g6=83.9884=b79m612==21b>lh50;&100<5j?1e>9:56:9j6dc=83.9884=b79m612=?21b>lj50;&100<5j?1e>9:58:9j6de=83.9884=b79m612=121b>l750;&100<5j?1e>9:5a:9j6d>=83.9884=b79m612=j21d?=?50;&100<49;1e>9:50:9l756=83.9884<139m612=921d>kh50;&100<49;1e>9:52:9l6cc=83.9884<139m612=;21d>kj50;&100<49;1e>9:54:9l6ce=83.9884<139m612==21d>kl50;&100<49;1e>9:56:9l6cg=83.9884<139m612=?21d?=750;&100<49;1e>9:58:9l75>=83.9884<139m612=121d?=950;&100<49;1e>9:5a:9l750=83.9884<139m612=j21d?=;50;&100<49;1e>9:5c:9l752=83.9884<139m612=l21d?==50;&100<49;1e>9:5e:9l754=83.9884<139m612=n21d>k750;&100<49;1e>9:51198k7`?290/>9;53008j72328;07d93:1=7>50zJ1=5=#:=o1>4?4o361>5<51;294~N5191/>9k51b18k4e52900qom6:184e?7f2=2pD?7?;[ab>44|=90>;7;7:5g90c<2=3?=68=555864?322<<19:4:8;6f>1`==:0>87s+25g964g<,8:i6??7;%33b?4612.9?i4=409j763=831d?>o50;&100<4<:1e>9:50:9l76?=83.9884<429m612=921d?9<50;&100<4<:1e>9:52:9l717=83.9884<429m612=;21d?9>50;&100<4<:1e>9:54:9l76`=83.9884<429m612==21d?>k50;&100<4<:1e>9:56:9l76b=83.9884<429m612=?21d?>m50;&100<4<:1e>9:58:9l76d=83.9884<429m612=121d?>650;&100<4<:1e>9:5a:9l761=83.9884<429m612=j21b?><50;9j765=831b?9:50;9j713=831b?9o50;&100<49:50:9j71e=83.9884<4e9m612=821b>ll50;&100<5j?1e>9:50:9j6dg=83.9884=b79m612=921b>o=50;&100<5j?1e>9:52:9j6g4=83.9884=b79m612=;21b>o?50;&100<5j?1e>9:54:9j6g6=83.9884=b79m612==21b>lh50;&100<5j?1e>9:56:9j6dc=83.9884=b79m612=?21b>lj50;&100<5j?1e>9:58:9j6de=83.9884=b79m612=121b>l750;&100<5j?1e>9:5a:9j6d>=83.9884=b79m612=j21b>n:50;&100<5km1e>9:50:9j6f5=83.9884=ce9m612=921b>nm50;&100<5km1e>9:52:9j6fd=83.9884=ce9m612=;21b>no50;&100<5km1e>9:54:9j6f?=83.9884=ce9m612==21b>n650;&100<5km1e>9:56:9j6f1=83.9884=ce9m612=?21b>n850;&100<5km1e>9:58:9j6f3=83.9884=ce9m612=121b>n<50;&100<5km1e>9:5a:9j6f7=83.9884=ce9m612=j21b?9850;9j711=831d?9650;9l757=83.9884<139m612=821d?=>50;&100<49;1e>9:51:9l6c`=83.9884<139m612=:21d>kk50;&100<49;1e>9:53:9l6cb=83.9884<139m612=<21d>km50;&100<49;1e>9:55:9l6cd=83.9884<139m612=>21d>ko50;&100<49;1e>9:57:9l75?=83.9884<139m612=021d?=650;&100<49;1e>9:59:9l751=83.9884<139m612=i21d?=850;&100<49;1e>9:5b:9l753=83.9884<139m612=k21d?=:50;&100<49;1e>9:5d:9l755=83.9884<139m612=m21d?=<50;&100<49;1e>9:5f:9l6c?=83.9884<139m612=9910c?h7:18'613=;880b?:;:038?j55>3:1(?:::213?k43<3:07b==5;29 7222:9;7c<;4;38?j55<3:1(?:::213?k43<3807b==3;29 7222:9;7c<;4;18?j55:3:1(?:::213?k43<3>07b==1;29 7222:9;7c<;4;78?j5583:1(?:::213?k43<3<07b=>f;29 7222:9;7c<;4;58?j55m3:1(?:::213?k43<3207b==d;29 7222:9;7c<;4;;8?j55k3:1(?:::213?k43<3k07b==b;29 7222:9;7c<;4;`8?j55i3:1(?:::213?k43<3i07b==9;29 7222:9;7c<;4;f8?j5503:1(?:::213?k43<3o07b==7;29 7222:9;7c<;4;d8?j56m3:1(?:::213?k43<3;;76a<1e83>!43=398<6`=45825>=n:jl1<75f2e294?=n:mh1<7*=4481a1=i:=>1<65f2ec94?"5<<09i95a25695>=n:m31<7*=4481a1=i:=>1>65f2e:94?"5<<09i95a25697>=n:m=1<7*=4481a1=i:=>1865f2e494?"5<<09i95a25691>=n:m?1<7*=4481a1=i:=>1:65f2e694?"5<<09i95a25693>=n:l91<7*=4481a1=i:=>1465f2d094?"5<<09i95a2569=>=n:l;1<7*=4481a1=i:=>1m65f2d294?"5<<09i95a2569f>=n:ml1<7*=4481a1=i:=>1o65f2eg94?"5<<09i95a2569`>=n:mn1<7*=4481a1=i:=>1i65f2ea94?"5<<09i95a2569b>=n:m91<7*=4481a1=i:=>1==54i3f1>5<#:=?1>h:4n367>47<3`8ni7>5$366>7`03g8?87>4;h0f`?6=,;>>6?h8;o070?7<3`8no7>5$366>7`03g8?87<4;h0ff?6=,;>>6?h8;o070?5<3`8nm7>5$366>7`03g8?87:4;h0f=?6=,;>>6?h8;o070?3<3`8n47>5$366>7`03g8?8784;h0f3?6=,;>>6?h8;o070?1<3`8m:7>5$366>7`03g8?8764;h0e1?6=,;>>6?h8;o070??<3`8m87>5$366>7`03g8?87o4;h0e7?6=,;>>6?h8;o070?d<3`8m>7>5$366>7`03g8?87m4;h0e5?6=,;>>6?h8;o070?b<3`8m<7>5$366>7`03g8?87k4;h0fb?6=,;>>6?h8;o070?`<3`8n:7>5$366>7`03g8?87??;:k1a0<72-8?97:50;9l6a7=831i>l<50;394?6|,;>n6N5191d=n<50;9~f701290?6=4?{%07a?7e:2B9m<5G2828 4ea281boo4?::k24<<722c:=<4?::m103<722wi>8>50;194?6|,;>n6??:;I0b5>N5191/=nh59b9j5f2=831b=n;50;9l616=831vn?:i:180>5<7s-8?i7<>5:J1e4=O:0:0(o6k=0;66g>c483>>o6k?0;66a=4183>>{e:5<6=44i0a5>5<;6=44}c050?6=<3:1;<50;694?6|,;>n6??9;I0b5>N5191/=nh51`g8m4e32900e50z&10`<59?1C>l?4H3;3?!7dn3;ji6g>c583>>o6k<0;66g>c783>>i5<90;66sm27294?2=83:p(?:j:335?M4f92B95=5+1bd95dc5<5<j7>54;294~"51<7>t$36f>7713A8j=6F=919'5f`=9ho0eo6k=0;66g>c483>>o6k?0;66a=4183>>{e:5<6=44i0a5>5<;6=44}c06e?6=<3:18750;694?6|,;>n6??9;I0b5>N5191/=nh51`g8m4e32900e50z&10`<59?1C>l?4H3;3?!7dn3;ji6g>c583>>o6k<0;66g>c783>>i5<90;66sm24594?2=83:p(?:j:335?M4f92B95=5+1bd95dc5<5<:7>54;294~"51<7>t$36f>7713A8j=6F=919'5f`=9ho0eo6k=0;66g>c483>>o6k?0;66a=4183>>{e:<91<7:50;2x 72b2;;=7E5<6=44i0a5>5<;6=44}c0;a?6=jh0;6=u+25g9611<@;k:7E<60:X`e?e|=80>>78;:7793=<013=j6:l567853?1d2>n1q)<>0;08 7762;1/=n954:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?k76=330(??=:31e?!7c833k37)?k7;0e?!7c03=0(:238 4c521k0(=0m1/=h753b9'5`g=1>1/=hl53`9'5`e=?81/=hj5389'5`c=>11/=hh5f:&2b5<0:2.:j<4j;%3e6???3-;m?7j4$0d7><0<,8l>6=0<,8l<6=0<,8l26<74$0db>34<,8li6<>4$0d`>1g<,8lo6<94$0df>43<,8lm6<<4$323>43<,;::6<;4$321>43<,;:86<;4$327>43<,;:>6<;4$325>43<,;:<6<;4$32;>43<,;:26<;4$32b>43<,;:i6<;4$32`>43<,;:o6<;4$32f>43<,;:m6<;4$36;>f><,8io6>5+1bg97>"51l095i5+28d96"59:50:9jea<72-8?97oj;o070?7<3`kh6=4+2579e`=i:=>1>65fac83>!43=3kn7c<;4;18?ld?290/>9;5ad9m612=<21bn:4?:%071?gb3g8?87;4;h`5>5<#:=?1mh5a25692>=nj<0;6)<;5;cf?k43<3=07dl;:18'613=il1e>9:58:9jf6<72-8?97oj;o070??<3`h96=4+2579e`=i:=>1m65fb083>!43=3kn7c<;4;`8?ld7290/>9;5ad9m612=k21bml4?:%071?gb3g8?87j4;h`f>5<#:=?1ni5a25694>=njj0;6)<;5;`g?k43<3;07dlm:18'613=jm1e>9:52:9jfd<72-8?97lk;o070?5<3`i<6=4+2579fa=i:=>1865fc783>!43=3ho7c<;4;78?le2290/>9;5be9m612=>21bo94?:%071?dc3g8?8794;ha0>5<#:=?1ni5a2569<>=nk;0;6)<;5;`g?k43<3307dm>:18'613=jm1e>9:5a:9jg5<72-8?97lk;o070?d<3`hm6=4+2579fa=i:=>1o65fb883>!43=3ho7c<;4;f8?l75n3:1(?:::00f?k43<3:07d?=d;29 722288n7c<;4;38?l75j3:1(?:::00f?k43<3807d?=a;29 722288n7c<;4;18?l7513:1(?:::00f?k43<3>07d?=8;29 722288n7c<;4;78?l75?3:1(?:::00f?k43<3<07d?=6;29 722288n7c<;4;58?l75=3:1(?:::00f?k43<3207d?=4;29 722288n7c<;4;;8?l75;3:1(?:::00f?k43<3k07d?=2;29 722288n7c<;4;`8?l7583:1(?:::00f?k43<3i07d?>f;29 722288n7c<;4;f8?l76m3:1(?:::00f?k43<3o07d?>d;29 722288n7c<;4;d8?l76k3:1(?:::00f?k43<3;;76g>1c83>!43=3;9i6`=45825>=n98k1<7*=44826`=i:=>1=?54i03:>5<#:=?1=?k4n367>45<3`;:47>5$366>44b3g8?87?;;:k252<72-8?97?=e:l101<6=21b=>850;&100<6:l1e>9:51798m452290/>9;513g8j72328=07d?<4;29 722288n7c<;4;3;?>o6;:0;6)<;5;31a>h5<=0:565f12094?"5<<0:>h5a25695d=?6>6<n4?:%071?75m2d9894>d:9j577=83.9884>2d9m612=9l10em7c<;4;38?l73k3:1(?:::06e?k43<3807d?;b;29 72228>m7c<;4;18?l73i3:1(?:::06e?k43<3>07d?;9;29 72228>m7c<;4;78?l7303:1(?:::06e?k43<3<07d?;7;29 72228>m7c<;4;58?l73>3:1(?:::06e?k43<3207d?;5;29 72228>m7c<;4;;8?l73<3:1(?:::06e?k43<3k07d?;3;29 72228>m7c<;4;`8?l7393:1(?:::06e?k43<3i07d?;0;29 72228>m7c<;4;f8?l74n3:1(?:::06e?k43<3o07d?m7c<;4;d8?l74l3:1(?:::06e?k43<3;;76g>3b83>!43=3;?j6`=45825>=n9:h1<7*=44820c=i:=>1=?54i01b>5<#:=?1=9h4n367>45<3`;857>5$366>42a3g8?87?;;:k27=<72-8?97?;f:l101<6=21b=8950;&100<69:51798m431290/>9;515d8j72328=07d?:5;29 72228>m7c<;4;3;?>o6==0;6)<;5;37b>h5<=0:565f14194?"5<<0:8k5a25695d=?6>6<:i;o070?7d32c:8i4?:%071?73n2d9894>d:9j514=83.9884>4g9m612=9l10e<=8:18'613=9=l0b?:;:0d8?l72k3:1(?:::07a?k43<3:07d?:a;29 72228?i7c<;4;38?l7213:1(?:::07a?k43<3807d?:8;29 72228?i7c<;4;18?l7193:1(?:::043?k43<3:07d?:f;29 72228<;7c<;4;38?l72m3:1(?:::043?k43<3807d?:d;29 72228<;7c<;4;18?l4>?3:1(?:::3;5?k43<3:07d<65;29 7222;3=7c<;4;38?l4><3:1(?:::3;5?k43<3807d<63;29 7222;3=7c<;4;18?l4>k3:1(?:::3;a?k43<3:07d<6a;29 7222;3i7c<;4;38?l4>13:1(?:::3;a?k43<3807d<68;29 7222;3i7c<;4;18?j70j3:1(?:::05b?k43<3:07b?89;29 72228=j7c<;4;38?j70?3:1(?:::05b?k43<3807b?86;29 72228=j7c<;4;18?j70=3:1(?:::05b?k43<3>07b?84;29 72228=j7c<;4;78?j70;3:1(?:::05b?k43<3<07b?82;29 72228=j7c<;4;58?j7093:1(?:::05b?k43<3207b?80;29 72228=j7c<;4;;8?j71n3:1(?:::05b?k43<3k07b?9e;29 72228=j7c<;4;`8?j71k3:1(?:::05b?k43<3i07b?9b;29 72228=j7c<;4;f8?j71i3:1(?:::05b?k43<3o07b?99;29 72228=j7c<;4;d8?j7103:1(?:::05b?k43<3;;76a>6683>!43=3;=h9?<1<7*=44823d=i:=>1=?54o046>5<#:=?1=:o4n367>45<3f;=87>5$366>41f3g8?87?;;:m226<72-8?97?8a:l101<6=21d=5<50;&100<6?h1e>9:51798k4>6290/>9;516c8j72328=07b?70;29 72228=j7c<;4;3;?>i6?o0;6)<;5;34e>h5<=0:565`16g94?"5<<0:;l5a25695d=?6>6<9n;o070?7d32e:;54?:%071?70i2d9894>d:9l53b=83.9884>7`9m612=9l10c<8=:18'613=9>k0b?:;:0d8?j7>k3:1(?:::0;a?k43<3:07b?6a;29 722283i7c<;4;38?j7>03:1(?:::0;a?k43<3807b?67;29 722283i7c<;4;18?j7>>3:1(?:::0;a?k43<3>07b?65;29 722283i7c<;4;78?j7><3:1(?:::0;a?k43<3<07b?63;29 722283i7c<;4;58?j7>:3:1(?:::0;a?k43<3207b?61;29 722283i7c<;4;;8?j7>83:1(?:::0;a?k43<3k07b?7f;29 722283i7c<;4;`8?j7?l3:1(?:::0;a?k43<3i07b?7c;29 722283i7c<;4;f8?j7?j3:1(?:::0;a?k43<3o07b?7a;29 722283i7c<;4;d8?j7?13:1(?:::0;a?k43<3;;76a>8983>!43=3;2n6`=45825>=h91=1<7*=4482=g=i:=>1=?54o0:5>5<#:=?1=4l4n367>45<3f;397>5$366>4?e3g8?87?;;:m2<1<72-8?97?6b:l101<6=21d=l=50;&100<61k1e>9:51798k4g5290/>9;518`8j72328=07b?n1;29 722283i7c<;4;3;?>i6i90;6)<;5;3:f>h5<=0:565`18d94?"5<<0:5o5a25695d=?6>6<7m;o070?7d32e:544?:%071?7>j2d9894>d:9l5=c=83.9884>9c9m612=9l10c<6<:18'613=90h0b?:;:0d8?j7f03:1(?:::0c4?k43<3:07b?n6;29 72228k<7c<;4;38?j7f=3:1(?:::0c4?k43<3807b?n4;29 72228k<7c<;4;18?j7fl3:1(?:::0c`?k43<3:07b?nb;29 72228kh7c<;4;38?j7fi3:1(?:::0c`?k43<3807b?n9;29 72228kh7c<;4;18?xd50m0;6oo50;2x 72b2;><7E21;448a;5a>30=>>06=#9j21?6*>c880?!7di390(5:&2`4<6=2.:h?4>5:&2`6<6=2.:h94>5:&2`0<6=2.:h;4:;%3g3?733-;o47;i;%3g=?7?3-;om767;%3gf?743-;oo766;%3g`?713-;oi77n;%3gb?7a3-;n<776;%3f5?473-;n>76l;%3f7?763-;n8799;%3f1?7f3-;n:78l;%3f3?7e3-;n477;;%3f=?7d3-;nm77<;%3ff?5b3-;no78m;%3f`??<,8on6:=4$0ge>6b<,8l;6;=4$0d2>6d<,8l96;?4$0d0>61<,8l?64l4$0d6>7e<,8l=6l74$0d4>g=#9o2146*>f88`?!7ai3>87)?ib;0a?!7ak3>87)?id;0b?!7am3>87)?if;0:?!4783>:7)32m7)7)4k528f8 7?a2;3o7c?>4;;8 72f2;1/>9l52:k24f<722c:>6lk4n367>5=h5<=0:76gnc;29 7222ho0b?:;:398mdd=83.9884ne:l101<432ci47>5$366>dc?6954ic594?"5<<0ji6`=4586?>oe>3:1(?:::`g8j7232?10eo;50;&100>6lk4n367>==h5<=0276gm2;29 7222ho0b?:;:`98mg7=83.9884ne:l1015$366>dc?6n54i`c94?"5<<0ji6`=458g?>oem3:1(?:::cf8j7232910eom50;&100;:kaf?6=,;>>6oj4n367>7=h5<=0876gl7;29 7222kn0b?:;:598mf0=83.9884md:l101<232ch97>5$366>gb?6;54ib694?"5<<0ih6`=4584?>od;3:1(?:::cf8j7232110en<50;&100>6oj4n367>d=h5<=0i76gmf;29 7222kn0b?:;:b98mg?=83.9884md:l101k4?:%071?75m2d9894?;:k26a<72-8?97?=e:l101<632c:>o4?:%071?75m2d9894=;:k26d<72-8?97?=e:l101<432c:>44?:%071?75m2d9894;;:k26=<72-8?97?=e:l101<232c:>:4?:%071?75m2d98949;:k263<72-8?97?=e:l101<032c:>84?:%071?75m2d98947;:k261<72-8?97?=e:l101<>32c:>>4?:%071?75m2d9894n;:k267<72-8?97?=e:l101=4?:%071?75m2d9894l;:k25c<72-8?97?=e:l1010:9j54d=83.9884>2d9m612=9810e1983>!43=3;9i6`=45820>=n98=1<7*=44826`=i:=>1=854i015>5<#:=?1=?k4n367>40<3`;897>5$366>44b3g8?87?8;:k271<72-8?97?=e:l101<6021b=>=50;&100<6:l1e>9:51898m455290/>9;513g8j72328k07d?<1;29 722288n7c<;4;3a?>o6;90;6)<;5;31a>h5<=0:o65f13a94?"5<<0:>h5a25695a=?6>6<32c:894?:%071?73n2d9894n;:k206<72-8?97?;f:l1010:9j56e=83.9884>4g9m612=9810e<=m:18'613=9=l0b?:;:008?l74i3:1(?:::06e?k43<3;876g>3883>!43=3;?j6`=45820>=n9:21<7*=44820c=i:=>1=854i074>5<#:=?1=9h4n367>40<3`;>:7>5$366>42a3g8?87?8;:k210<72-8?97?;f:l101<6021b=8:50;&100<69:51898m434290/>9;515d8j72328k07d?:2;29 72228>m7c<;4;3a?>o6=80;6)<;5;37b>h5<=0:o65f15f94?"5<<0:8k5a25695a=96=4+257951`?6>6<:i;o070?7a32c:9n4?:%071?72j2d9894?;:k21d<72-8?97?:b:l101<632c:944?:%071?72j2d9894=;:k21=<72-8?97?:b:l101<432c::<4?:%071?7182d9894?;:k21c<72-8?97?90:l101<632c:9h4?:%071?7182d9894=;:k21a<72-8?97?90:l101<432c95:4?:%071?4>>2d9894?;:k1=0<72-8?97<66:l101<632c9594?:%071?4>>2d9894=;:k1=6<72-8?97<66:l101<432c95n4?:%071?4>j2d9894?;:k1=d<72-8?97<6b:l101<632c9544?:%071?4>j2d9894=;:k1==<72-8?97<6b:l101<432e:;o4?:%071?70i2d9894?;:m23<<72-8?97?8a:l101<632e:;:4?:%071?70i2d9894=;:m233<72-8?97?8a:l101<432e:;84?:%071?70i2d9894;;:m231<72-8?97?8a:l101<232e:;>4?:%071?70i2d98949;:m237<72-8?97?8a:l101<032e:;<4?:%071?70i2d98947;:m235<72-8?97?8a:l101<>32e::k4?:%071?70i2d9894n;:m22`<72-8?97?8a:l1010:9l531=83.9884>7`9m612=9810c<89:18'613=9>k0b?:;:008?j71=3:1(?:::05b?k43<3;876a>6583>!43=3;=h9?91<7*=44823d=i:=>1=854o0:1>5<#:=?1=:o4n367>40<3f;3=7>5$366>41f3g8?87?8;:m2<5<72-8?97?8a:l101<6021d=:h50;&100<6?h1e>9:51898k41b290/>9;516c8j72328k07b?8d;29 72228=j7c<;4;3a?>i6?j0;6)<;5;34e>h5<=0:o65`16:94?"5<<0:;l5a25695a=?6>6<9n;o070?7a32e:5n4?:%071?7>j2d9894?;:m2=d<72-8?97?6b:l101<632e:554?:%071?7>j2d9894=;:m2=2<72-8?97?6b:l101<432e:5;4?:%071?7>j2d9894;;:m2=0<72-8?97?6b:l101<232e:594?:%071?7>j2d98949;:m2=6<72-8?97?6b:l101<032e:5?4?:%071?7>j2d98947;:m2=4<72-8?97?6b:l101<>32e:5=4?:%071?7>j2d9894n;:m2j2d9894l;:m2j2d9894j;:m2j2d9894>0:9l5=>=83.9884>9c9m612=9810c<68:18'613=90h0b?:;:008?j7?>3:1(?:::0;a?k43<3;876a>8483>!43=3;2n6`=45820>=h91>1<7*=4482=g=i:=>1=854o0c0>5<#:=?1=4l4n367>40<3f;j>7>5$366>4?e3g8?87?8;:m2e4<72-8?97?6b:l101<6021d=l>50;&100<61k1e>9:51898k4?a290/>9;518`8j72328k07b?6e;29 722283i7c<;4;3a?>i61m0;6)<;5;3:f>h5<=0:o65`18;94?"5<<0:5o5a25695a=?6>6<7m;o070?7a32e:m54?:%071?7f?2d9894?;:m2e3<72-8?97?n7:l101<632e:m84?:%071?7f?2d9894=;:m2e1<72-8?97?n7:l101<432e:mi4?:%071?7fk2d9894?;:m2eg<72-8?97?nc:l101<632e:ml4?:%071?7fk2d9894=;:m2e<<72-8?97?nc:l101<432wi>5m50;`b>5<7s-8?i7<;7:J1e4=O:0:0Vno5cz72>04=>=0=9797:6;93d<0j3<=6;957b84`?{#:8:1>6*=1081?!7d?3;i96*>c982f1=#9j31=o84$0ab>4de3-;hn7?me:&2gf<6jo1e=<;59:&157<5;o1/=i>5a39'5a7=nk1/=i<5a19'5a5=l81/=i:5a69'5a3=l11/=i851`d8 4b02m90(2m>0(28:;7)?ja;326>"6mk0mi6*>eb824==#9ln1ji5+1dg932=#9ll1hh5+1g29550<,8l:6ij4$0d1>=1<,8l86im4$0d7>46f3-;m97k<;%3e2?>43-;m;7k>;%3em2.:ji4j8:&2b`4ja:&141c09'5fc=9j;0(?7j:3;g?!4>n382h6`>158:?!43i380(?:m:39j55e=831b==j50;9j5g6=831b=o?50;9j61e=831b>9j50;9jec<72-8?97oj;o070?6<3`ko6=4+2579e`=i:=>1=65fab83>!43=3kn7c<;4;08?lge290/>9;5ad9m612=;21bn54?:%071?gb3g8?87:4;h`4>5<#:=?1mh5a25691>=nj?0;6)<;5;cf?k43<3<07dl::18'613=il1e>9:57:9jf1<72-8?97oj;o070?><3`h86=4+2579e`=i:=>1565fb383>!43=3kn7c<;4;c8?ld6290/>9;5ad9m612=j21bn=4?:%071?gb3g8?87m4;hcb>5<#:=?1mh5a2569`>=njl0;6)<;5;`g?k43<3:07dll:18'613=jm1e>9:51:9jfg<72-8?97lk;o070?4<3`hj6=4+2579fa=i:=>1?65fc683>!43=3ho7c<;4;68?le1290/>9;5be9m612==21bo84?:%071?dc3g8?8784;ha7>5<#:=?1ni5a25693>=nk:0;6)<;5;`g?k43<3207dm=:18'613=jm1e>9:59:9jg4<72-8?97lk;o070?g<3`i;6=4+2579fa=i:=>1n65fbg83>!43=3ho7c<;4;a8?ld>290/>9;5be9m612=l21b=?h50;&100<6:l1e>9:50:9j57b=83.9884>2d9m612=921b=?l50;&100<6:l1e>9:52:9j57g=83.9884>2d9m612=;21b=?750;&100<6:l1e>9:54:9j57>=83.9884>2d9m612==21b=?950;&100<6:l1e>9:56:9j570=83.9884>2d9m612=?21b=?;50;&100<6:l1e>9:58:9j572=83.9884>2d9m612=121b=?=50;&100<6:l1e>9:5a:9j574=83.9884>2d9m612=j21b=?>50;&100<6:l1e>9:5c:9j54`=83.9884>2d9m612=l21b=9:5e:9j54b=83.9884>2d9m612=n21b=9:51198m47e290/>9;513g8j72328;07d?>a;29 722288n7c<;4;31?>o6900;6)<;5;31a>h5<=0:?65f10:94?"5<<0:>h5a256951=?6<;4;h302?6=,;>>6<7:9j562=83.9884>2d9m612=9110e<=<:18'613=9;o0b?:;:0;8?l74:3:1(?:::00f?k43<3;j76g>3083>!43=3;9i6`=4582f>=n9::1<7*=44826`=i:=>1=n54i00`>5<#:=?1=?k4n367>4b<3`;9=7>5$366>44b3g8?87?j;:k253<72-8?97?=e:l101<6n21b=8>50;&100<69:50:9j51c=83.9884>4g9m612=921b=9m50;&100<69:52:9j51d=83.9884>4g9m612=;21b=9o50;&100<69:54:9j51?=83.9884>4g9m612==21b=9650;&100<69:56:9j511=83.9884>4g9m612=?21b=9850;&100<69:58:9j513=83.9884>4g9m612=121b=9:50;&100<69:5a:9j515=83.9884>4g9m612=j21b=9?50;&100<69:5c:9j516=83.9884>4g9m612=l21b=>h50;&100<69:5e:9j56c=83.9884>4g9m612=n21b=>j50;&100<69:51198m45d290/>9;515d8j72328;07d?m7c<;4;31?>o6;h0;6)<;5;37b>h5<=0:?65f12;94?"5<<0:8k5a256951=?6<;4;h363?6=,;>>6<:i;o070?7132c:9;4?:%071?73n2d9894>7:9j503=83.9884>4g9m612=9110e<;;:18'613=9=l0b?:;:0;8?l72;3:1(?:::06e?k43<3;j76g>5383>!43=3;?j6`=4582f>=n9<;1<7*=44820c=i:=>1=n54i06g>5<#:=?1=9h4n367>4b<3`;?>7>5$366>42a3g8?87?j;:k272<72-8?97?;f:l101<6n21b=8m50;&100<6=k1e>9:50:9j50g=83.9884>5c9m612=921b=8750;&100<6=k1e>9:52:9j50>=83.9884>5c9m612=;21b=;?50;&100<6>91e>9:50:9j50`=83.9884>619m612=921b=8k50;&100<6>91e>9:52:9j50b=83.9884>619m612=;21b>4950;&100<51?1e>9:50:9j6<3=83.9884=979m612=921b>4:50;&100<51?1e>9:52:9j6<5=83.9884=979m612=;21b>4m50;&100<51k1e>9:50:9j64750;&100<51k1e>9:52:9j6<>=83.9884=9c9m612=;21d=:l50;&100<6?h1e>9:50:9l52?=83.9884>7`9m612=921d=:950;&100<6?h1e>9:52:9l520=83.9884>7`9m612=;21d=:;50;&100<6?h1e>9:54:9l522=83.9884>7`9m612==21d=:=50;&100<6?h1e>9:56:9l524=83.9884>7`9m612=?21d=:?50;&100<6?h1e>9:58:9l526=83.9884>7`9m612=121d=;h50;&100<6?h1e>9:5a:9l53c=83.9884>7`9m612=j21d=;m50;&100<6?h1e>9:5c:9l53d=83.9884>7`9m612=l21d=;o50;&100<6?h1e>9:5e:9l53?=83.9884>7`9m612=n21d=;650;&100<6?h1e>9:51198k400290/>9;516c8j72328;07b?96;29 72228=j7c<;4;31?>i6><0;6)<;5;34e>h5<=0:?65`17694?"5<<0:;l5a256951=?6<;4;n3;6?6=,;>>6<9n;o070?7132e:4<4?:%071?70i2d9894>7:9l5=6=83.9884>7`9m612=9110c<9i:18'613=9>k0b?:;:0;8?j70m3:1(?:::05b?k43<3;j76a>7e83>!43=3;=h9>i1<7*=44823d=i:=>1=n54o05;>5<#:=?1=:o4n367>4b<3f;=h7>5$366>41f3g8?87?j;:m227<72-8?97?8a:l101<6n21d=4m50;&100<61k1e>9:50:9l59c9m612=921d=4650;&100<61k1e>9:52:9l5<1=83.9884>9c9m612=;21d=4850;&100<61k1e>9:54:9l5<3=83.9884>9c9m612==21d=4:50;&100<61k1e>9:56:9l5<5=83.9884>9c9m612=?21d=4<50;&100<61k1e>9:58:9l5<7=83.9884>9c9m612=121d=4>50;&100<61k1e>9:5a:9l5=`=83.9884>9c9m612=j21d=5j50;&100<61k1e>9:5c:9l5=e=83.9884>9c9m612=l21d=5l50;&100<61k1e>9:5e:9l5=g=83.9884>9c9m612=n21d=5750;&100<61k1e>9:51198k4>?290/>9;518`8j72328;07b?77;29 722283i7c<;4;31?>i60?0;6)<;5;3:f>h5<=0:?65`19794?"5<<0:5o5a256951=?6<;4;n3b7?6=,;>>6<7m;o070?7132e:m?4?:%071?7>j2d9894>7:9l5d7=83.9884>9c9m612=9110cn3:1(?:::0;a?k43<3;j76a>9d83>!43=3;2n6`=4582f>=h90n1<7*=4482=g=i:=>1=n54o0;:>5<#:=?1=4l4n367>4b<3f;3i7>5$366>4?e3g8?87?j;:m2<6<72-8?97?6b:l101<6n21d=l650;&100<6i>1e>9:50:9l5d0=83.9884>a69m612=921d=l;50;&100<6i>1e>9:52:9l5d2=83.9884>a69m612=;21d=lj50;&100<6ij1e>9:50:9l5dd=83.9884>ab9m612=921d=lo50;&100<6ij1e>9:52:9l5d?=83.9884>ab9m612=;21vn?6m:18ae?6=8r.98h4=469K6d7<@;3;7Wmn:by65?352?>1:8488;5:>2g=?k0=:788:6a93a"6k>0:o=5+1b:95gb<,8i26b69'5fe=9k20b"6m>0n96*>e98b2>"6m00nh6*>e`8b7>"6mk0hh6*>eb8b5>"6mm0ho6*>ed8242=#9ll1==?4$0d3>16<,8l:6kh4$0d1><`<,8l86km4$0d7>1d<,8l>6i74$0d5>36<,8l<6io4$0d;>0d<,8l26il4$0db>0e<,8li6ko4$0d`>0?<,8lo6k74$0df><3<,8lm6k64$323>1b<,;::6k94$321>=3<,;:86k84$327>2`<,;:>6k:4$325>=4<,;:<6k;4$32;>4633-8;57h<;%03e?5a3-8;n7h=;%03g?213-8;h7h>;%03a?223-8;j7h?;%074k528f8 7?a2;3o7c?>4;;8 72f2;1/>9l52:k24f<722c:>6lk4n367>5=h5<=0:76gnc;29 7222ho0b?:;:398mdd=83.9884ne:l101<432ci47>5$366>dc?6954ic594?"5<<0ji6`=4586?>oe>3:1(?:::`g8j7232?10eo;50;&100>6lk4n367>==h5<=0276gm2;29 7222ho0b?:;:`98mg7=83.9884ne:l1015$366>dc?6n54i`c94?"5<<0ji6`=458g?>oem3:1(?:::cf8j7232910eom50;&100;:kaf?6=,;>>6oj4n367>7=h5<=0876gl7;29 7222kn0b?:;:598mf0=83.9884md:l101<232ch97>5$366>gb?6;54ib694?"5<<0ih6`=4584?>od;3:1(?:::cf8j7232110en<50;&100>6oj4n367>d=h5<=0i76gmf;29 7222kn0b?:;:b98mg?=83.9884md:l101k4?:%071?75m2d9894?;:k26a<72-8?97?=e:l101<632c:>o4?:%071?75m2d9894=;:k26d<72-8?97?=e:l101<432c:>44?:%071?75m2d9894;;:k26=<72-8?97?=e:l101<232c:>:4?:%071?75m2d98949;:k263<72-8?97?=e:l101<032c:>84?:%071?75m2d98947;:k261<72-8?97?=e:l101<>32c:>>4?:%071?75m2d9894n;:k267<72-8?97?=e:l101=4?:%071?75m2d9894l;:k25c<72-8?97?=e:l1010:9j54d=83.9884>2d9m612=9810e1983>!43=3;9i6`=45820>=n98=1<7*=44826`=i:=>1=854i015>5<#:=?1=?k4n367>40<3`;897>5$366>44b3g8?87?8;:k271<72-8?97?=e:l101<6021b=>=50;&100<6:l1e>9:51898m455290/>9;513g8j72328k07d?<1;29 722288n7c<;4;3a?>o6;90;6)<;5;31a>h5<=0:o65f13a94?"5<<0:>h5a25695a=?6>6<32c:894?:%071?73n2d9894n;:k206<72-8?97?;f:l1010:9j56e=83.9884>4g9m612=9810e<=m:18'613=9=l0b?:;:008?l74i3:1(?:::06e?k43<3;876g>3883>!43=3;?j6`=45820>=n9:21<7*=44820c=i:=>1=854i074>5<#:=?1=9h4n367>40<3`;>:7>5$366>42a3g8?87?8;:k210<72-8?97?;f:l101<6021b=8:50;&100<69:51898m434290/>9;515d8j72328k07d?:2;29 72228>m7c<;4;3a?>o6=80;6)<;5;37b>h5<=0:o65f15f94?"5<<0:8k5a25695a=96=4+257951`?6>6<:i;o070?7a32c:9n4?:%071?72j2d9894?;:k21d<72-8?97?:b:l101<632c:944?:%071?72j2d9894=;:k21=<72-8?97?:b:l101<432c::<4?:%071?7182d9894?;:k21c<72-8?97?90:l101<632c:9h4?:%071?7182d9894=;:k21a<72-8?97?90:l101<432c95:4?:%071?4>>2d9894?;:k1=0<72-8?97<66:l101<632c9594?:%071?4>>2d9894=;:k1=6<72-8?97<66:l101<432c95n4?:%071?4>j2d9894?;:k1=d<72-8?97<6b:l101<632c9544?:%071?4>j2d9894=;:k1==<72-8?97<6b:l101<432e:;o4?:%071?70i2d9894?;:m23<<72-8?97?8a:l101<632e:;:4?:%071?70i2d9894=;:m233<72-8?97?8a:l101<432e:;84?:%071?70i2d9894;;:m231<72-8?97?8a:l101<232e:;>4?:%071?70i2d98949;:m237<72-8?97?8a:l101<032e:;<4?:%071?70i2d98947;:m235<72-8?97?8a:l101<>32e::k4?:%071?70i2d9894n;:m22`<72-8?97?8a:l1010:9l531=83.9884>7`9m612=9810c<89:18'613=9>k0b?:;:008?j71=3:1(?:::05b?k43<3;876a>6583>!43=3;=h9?91<7*=44823d=i:=>1=854o0:1>5<#:=?1=:o4n367>40<3f;3=7>5$366>41f3g8?87?8;:m2<5<72-8?97?8a:l101<6021d=:h50;&100<6?h1e>9:51898k41b290/>9;516c8j72328k07b?8d;29 72228=j7c<;4;3a?>i6?j0;6)<;5;34e>h5<=0:o65`16:94?"5<<0:;l5a25695a=?6>6<9n;o070?7a32e:5n4?:%071?7>j2d9894?;:m2=d<72-8?97?6b:l101<632e:554?:%071?7>j2d9894=;:m2=2<72-8?97?6b:l101<432e:5;4?:%071?7>j2d9894;;:m2=0<72-8?97?6b:l101<232e:594?:%071?7>j2d98949;:m2=6<72-8?97?6b:l101<032e:5?4?:%071?7>j2d98947;:m2=4<72-8?97?6b:l101<>32e:5=4?:%071?7>j2d9894n;:m2j2d9894l;:m2j2d9894j;:m2j2d9894>0:9l5=>=83.9884>9c9m612=9810c<68:18'613=90h0b?:;:008?j7?>3:1(?:::0;a?k43<3;876a>8483>!43=3;2n6`=45820>=h91>1<7*=4482=g=i:=>1=854o0c0>5<#:=?1=4l4n367>40<3f;j>7>5$366>4?e3g8?87?8;:m2e4<72-8?97?6b:l101<6021d=l>50;&100<61k1e>9:51898k4?a290/>9;518`8j72328k07b?6e;29 722283i7c<;4;3a?>i61m0;6)<;5;3:f>h5<=0:o65`18;94?"5<<0:5o5a25695a=?6>6<7m;o070?7a32e:m54?:%071?7f?2d9894?;:m2e3<72-8?97?n7:l101<632e:m84?:%071?7f?2d9894=;:m2e1<72-8?97?n7:l101<432e:mi4?:%071?7fk2d9894?;:m2eg<72-8?97?nc:l101<632e:ml4?:%071?7fk2d9894=;:m2e<<72-8?97?nc:l101<432wx??h50;a6[4c92T8855Q32c8Z65>3W9?>6P<409]716=m;_10<>X4;>1U??84^206?[55<2T8>>5Q3308Z6463W99<6P<1g9]77c<6;_11<>X4:>1U?63=8d824a=::1o1=o?4=3:f>72d3483i7<;d:?1<`359>6=c=9:901?6j:011?84?m3;8=63=8d8275=::1o1=?m4=3:f>4463483i7?>6:?1<`<6=<16>5k5146897>b28?870<7e;366>;50l0:9<5229g951b<5;2n6<:=;<0;a?74?2794h4>599>6=c=94=4=3:f>7?d3483i7<6a:?1<`<51016>5k528:897>c28:o70<7d;3a5>;50m098n5229f961b<5;2o6ok4=3:g>ge<5;2o6ol4=3:g>gg<5;2o6n94=3:g>f0<5;2o6n;4=3:g>f2<5;2o6n=4=3:g>f4<5;2o6n?4=3:g>4533483h7?<3:?15j5123897>c289;70<7d;31g>;50m0:><5229f9540<5;2o6<;:;<0;`?72<2794i4>529>6=b=9<801?6k:072?84?l3;?h63=8e8207=::1n1=>94=3:g>43?3483h7?:d:?116>5j5287897>c2;3?70<7d;0:7>;50m095n5229f9602794n4>0e9>6=e=9k;01?6l:36`?84?k38?h63=8b8aa>;50j0io63=8b8af>;50j0im63=8b8`3>;50j0h:63=8b8`1>;50j0h863=8b8`7>;50j0h>63=8b8`5>;50j0:?95229a9565<5;2h6<==;<0;g?7492794n4>319>6=e=9;i01?6l:002?84?k3;::63=8b8210=::1i1=8:4=3:`>4343483o7?:2:?15m515f897>d28>970<7c;303>;50j0:955229a950b<5;2h6?78;<0;g?4>=2794n4=959>6=e=:0901?6l:3;`?84?k382m63=8b81=<=::1i1>464=3:a>46c3483n7?m1:?15l525f897>e2ko01?6m:ca897>e2kh01?6m:cc897>e2j=01?6m:b4897>e2j?01?6m:b6897>e2j901?6m:b0897>e2j;01?6m:017?84?j3;8?63=8c8277=::1h1=>?4=3:a>4573483n7?=c:?15l5104897>e28?>70<7b;360>;50k0:9>5229`9504<5;2i6<;>;<0;f?73l2794o4>439>6=d=9:=01?6m:07;?84?j3;>h63=8c81=2=::1h1>4;4=3:a>7?33483n7<63:?15l528c897>e2;3270<7b;0:<>{t;:81<7:t^211?841>3;;563=5182g0=::=l1=n;4}r0`b?6=>rT9ok522749gg=::1o1==m4=3:g>46d3483o7??c:?1?<:181[5792799o4=419~w6762909wS=?0:?11d<5<91v>??:181[4an279944=419~w66a2909wS>j:181[4al2799:4=419~w66c2909wS>l:181[4aj279984=419~w66e2909wS?l:181[571279:94=419~w67e2909wS=?8:?126<5<91v>?n:181[57?279:?4=419~w67>2909wS=?6:?124<5<91v>?7:181[57=279:=4=419~w6702909wS=?4:?11c<5<91v>?9:181[57;2799h4=419~w6722909wS=?2:?11a<5<91v>?;:181[4a12799n4=419~w66f2909wS109>606=9j>01?:i:0a7?xu5j<0;68uQ2`c897>b2hl01?6k:`d897>d2hl01?6m:`d8yv4d83:19vP=b29>6=c=im16>5j5ae9>6=e=im16>5l5ae9~w7da290>wS;<0;a?ge3483h7om;<0;g?ge3483n7om;|q1fa<72o>4=3:f>g><5;2o6o64=3:`>g><5;2i6o64}r0ag?6==rT9mk5229g9f2=::1n1n:5229a9f2=::1h1n:5rs3`a>5<2sW8ji63=8d8a2>;50m0i:63=8b8a2>;50k0i:6s|2cc94?3|V;ko70<7e;`6?84?l3h>70<7c;`6?84?j3h>7p}=b883>0}Y:hi01?6j:c6897>c2k>01?6l:c6897>e2k>0q~5k5b29>6=b=j:16>5m5b29>6=d=j:1v?l;:186[4f02794h4m2:?1;;50;30841>38?:63=6282g1=::4e3348=>7?l4:?124<6k=16>;>51b68973a28i?70<:e;3`0>;5=m0:o95224a95f2<5;?j6c59>601=9j>01?;9:0a7?842=3;h863=5582g1=::<91=n:4}r066?6=;r799=4=419>6=c=9k:01?6l:0`3?xu5=80;6>u225d9616<5;2o65o50;0x970428i>70<7b;3b0>{t:?l1<74e13483o7?n4:p62>=838p1?;m:0a6?84?l3;j86s|29394?4|5;?i6:>50;0x970328i>70<7d;356>{t:>31<74e13483i7?92:p6=?=838p1?8=:0a6?84?j3;3<6s|27g94?4|5;<965650;0x970628i>70<7b;34b>{t:?n1<74e13483o7?8f:p6=1=838p1?8?:0a6?84?j3;5850;0x973a28i>70<7b;34`>{t:?h1<74e13483o7?8d:p6=3=838p1?;j:0a6?84?j3;5:50;0x973c28i>70<7b;34<>{t:?31<74e13483o7?88:p6=5=838p1?;l:0a6?84?j3;=h6s|27:94?4|5;?h6:950;0x973f28i>70<7d;3;4>{t:1:1<74e13483i7?70:p620=838p1?;6:0a6?84?l3;:;50;0x973?28i>70<7d;34a>{t:>o1<74e13483i7?8e:p622=838p1?;8:0a6?84?l3;:=50;0x973128i>70<7d;34g>{t:>i1<74e13483i7?8c:p624=838p1?;::0a6?84?l3;<46s|26`94?4|5;?>6:?50;0x973328i>70<7d;35`>{t:>k1<74e13483i7?9d:p6=4=838p1?;<:0a6?84?j3;=>6s|27594?4|5;?86==:181[54:27h57=<2:&1?<:181[57927h57=?1:&11v>?>:181[57827h57=?0:&1??:181[4an27h57>i:181[4am27h57>j:181[4al27h57>k:181[4ak27h57>l:181[4aj27h57>m:181[4ai27h57?l:181[57127h57=?9:&1?m:181[57027h57=?8:&1?n:181[57?27h57=?7:&1?6:181[57>27h57=?6:&1?7:181[57=27h57=?5:&1?8:181[57<27h57=?4:&1?9:181[57;27h57=?3:&1?::181[57:27h57=?2:&11v>?;:181[4a127h57>n:181[4a027h5782we89750;0xL7?73td?8l4?:3yK6<6?n7>52zJ1=5=zf=>h6=4={I0:4>{i<=n1<7vF=919~j1372909wE<60:m007=838pD?7?;|l717<72;qC>4>4}o667?6=:rB95=5rn577>5<5sA82<6sa44794?4|@;3;7p`;5783>7}O:0:0qc::7;296~N5191vb9;7:181M4>82we88750;0xL7?73td?9l4?:3yK6<6>n7>52zJ1=5=zf=?h6=4={I0:4>{i<vF=919~j1072909wE<60:m037=838pD?7?;|l727<72;qC>4>4}o657?6=:rB95=5rn547>5<5sA82<6sa47794?4|@;3;7p`;6783>7}O:0:0qc=if;295~N5191vb982we8??50;3xL7?73td?>?4?:0yK6<69?7>51zJ1=5=zf=8?6=4>{I0:4>{i<;?1<7?tH3;3?xh3:?0;64>4}o61f?6=9rB95=5rn50`>5<6sA82<6sa43f94?7|@;3;7p`;2d83>4}O:0:0qc:=f;295~N5191vb9=?:182M4>82we8>?50;3xL7?73td???4?:0yK6<68?7>51zJ1=5=zf=9?6=4>{I0:4>{i<:?1<7?tH3;3?xh3;?0;64>4}o60f?6=9rB95=5rn51`>5<6sA82<6sa42f94?7|@;3;7p`;3d83>4}O:0:0qc:82we89?50;3xL7?73td?8?4?:0yK6<6??7>51zJ1=5=zf=>?6=4>{I0:4>{i<=?1<7?tH3;3?xh3
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.ngc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.v (revision 2) @@ -0,0 +1,151 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file textram.v when simulating +// the core, textram. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module textram( + clka, + ena, + wea, + addra, + dina, + clkb, + enb, + addrb, + doutb); + + +input clka; +input ena; +input [0 : 0] wea; +input [12 : 0] addra; +input [7 : 0] dina; +input clkb; +input enb; +input [12 : 0] addrb; +output [7 : 0] doutb; + +// synthesis translate_off + + BLK_MEM_GEN_V4_1 #( + .C_ADDRA_WIDTH(13), + .C_ADDRB_WIDTH(13), + .C_ALGORITHM(1), + .C_BYTE_SIZE(9), + .C_COMMON_CLK(0), + .C_DEFAULT_DATA("0"), + .C_DISABLE_WARN_BHV_COLL(0), + .C_DISABLE_WARN_BHV_RANGE(0), + .C_FAMILY("spartan3"), + .C_HAS_ENA(1), + .C_HAS_ENB(1), + .C_HAS_INJECTERR(0), + .C_HAS_MEM_OUTPUT_REGS_A(0), + .C_HAS_MEM_OUTPUT_REGS_B(0), + .C_HAS_MUX_OUTPUT_REGS_A(0), + .C_HAS_MUX_OUTPUT_REGS_B(0), + .C_HAS_REGCEA(0), + .C_HAS_REGCEB(0), + .C_HAS_RSTA(0), + .C_HAS_RSTB(0), + .C_HAS_SOFTECC_INPUT_REGS_A(0), + .C_HAS_SOFTECC_INPUT_REGS_B(0), + .C_HAS_SOFTECC_OUTPUT_REGS_A(0), + .C_HAS_SOFTECC_OUTPUT_REGS_B(0), + .C_INITA_VAL("0"), + .C_INITB_VAL("0"), + .C_INIT_FILE_NAME("textram.mif"), + .C_LOAD_INIT_FILE(1), + .C_MEM_TYPE(1), + .C_MUX_PIPELINE_STAGES(0), + .C_PRIM_TYPE(1), + .C_READ_DEPTH_A(4800), + .C_READ_DEPTH_B(4800), + .C_READ_WIDTH_A(8), + .C_READ_WIDTH_B(8), + .C_RSTRAM_A(0), + .C_RSTRAM_B(0), + .C_RST_PRIORITY_A("CE"), + .C_RST_PRIORITY_B("CE"), + .C_RST_TYPE("SYNC"), + .C_SIM_COLLISION_CHECK("ALL"), + .C_USE_BYTE_WEA(0), + .C_USE_BYTE_WEB(0), + .C_USE_DEFAULT_DATA(1), + .C_USE_ECC(0), + .C_USE_SOFTECC(0), + .C_WEA_WIDTH(1), + .C_WEB_WIDTH(1), + .C_WRITE_DEPTH_A(4800), + .C_WRITE_DEPTH_B(4800), + .C_WRITE_MODE_A("READ_FIRST"), + .C_WRITE_MODE_B("READ_FIRST"), + .C_WRITE_WIDTH_A(8), + .C_WRITE_WIDTH_B(8), + .C_XDEVICEFAMILY("aspartan3a")) + inst ( + .CLKA(clka), + .ENA(ena), + .WEA(wea), + .ADDRA(addra), + .DINA(dina), + .CLKB(clkb), + .ENB(enb), + .ADDRB(addrb), + .DOUTB(doutb), + .RSTA(), + .REGCEA(), + .DOUTA(), + .RSTB(), + .REGCEB(), + .WEB(), + .DINB(), + .INJECTSBITERR(), + .INJECTDBITERR(), + .SBITERR(), + .DBITERR(), + .RDADDRECC()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of textram is "black_box" + +endmodule +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.v (revision 2) @@ -0,0 +1,139 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file fontrom.v when simulating +// the core, fontrom. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module fontrom( + clka, + addra, + douta); + + +input clka; +input [10 : 0] addra; +output [7 : 0] douta; + +// synthesis translate_off + + BLK_MEM_GEN_V4_1 #( + .C_ADDRA_WIDTH(11), + .C_ADDRB_WIDTH(11), + .C_ALGORITHM(1), + .C_BYTE_SIZE(9), + .C_COMMON_CLK(0), + .C_DEFAULT_DATA("0"), + .C_DISABLE_WARN_BHV_COLL(0), + .C_DISABLE_WARN_BHV_RANGE(0), + .C_FAMILY("spartan3"), + .C_HAS_ENA(0), + .C_HAS_ENB(0), + .C_HAS_INJECTERR(0), + .C_HAS_MEM_OUTPUT_REGS_A(0), + .C_HAS_MEM_OUTPUT_REGS_B(0), + .C_HAS_MUX_OUTPUT_REGS_A(0), + .C_HAS_MUX_OUTPUT_REGS_B(0), + .C_HAS_REGCEA(0), + .C_HAS_REGCEB(0), + .C_HAS_RSTA(0), + .C_HAS_RSTB(0), + .C_HAS_SOFTECC_INPUT_REGS_A(0), + .C_HAS_SOFTECC_INPUT_REGS_B(0), + .C_HAS_SOFTECC_OUTPUT_REGS_A(0), + .C_HAS_SOFTECC_OUTPUT_REGS_B(0), + .C_INITA_VAL("0"), + .C_INITB_VAL("0"), + .C_INIT_FILE_NAME("fontrom.mif"), + .C_LOAD_INIT_FILE(1), + .C_MEM_TYPE(3), + .C_MUX_PIPELINE_STAGES(0), + .C_PRIM_TYPE(1), + .C_READ_DEPTH_A(2048), + .C_READ_DEPTH_B(2048), + .C_READ_WIDTH_A(8), + .C_READ_WIDTH_B(8), + .C_RSTRAM_A(0), + .C_RSTRAM_B(0), + .C_RST_PRIORITY_A("CE"), + .C_RST_PRIORITY_B("CE"), + .C_RST_TYPE("SYNC"), + .C_SIM_COLLISION_CHECK("ALL"), + .C_USE_BYTE_WEA(0), + .C_USE_BYTE_WEB(0), + .C_USE_DEFAULT_DATA(1), + .C_USE_ECC(0), + .C_USE_SOFTECC(0), + .C_WEA_WIDTH(1), + .C_WEB_WIDTH(1), + .C_WRITE_DEPTH_A(2048), + .C_WRITE_DEPTH_B(2048), + .C_WRITE_MODE_A("WRITE_FIRST"), + .C_WRITE_MODE_B("WRITE_FIRST"), + .C_WRITE_WIDTH_A(8), + .C_WRITE_WIDTH_B(8), + .C_XDEVICEFAMILY("aspartan3a")) + inst ( + .CLKA(clka), + .ADDRA(addra), + .DOUTA(douta), + .RSTA(), + .ENA(), + .REGCEA(), + .WEA(), + .DINA(), + .CLKB(), + .RSTB(), + .ENB(), + .REGCEB(), + .WEB(), + .ADDRB(), + .DINB(), + .DOUTB(), + .INJECTSBITERR(), + .INJECTDBITERR(), + .SBITERR(), + .DBITERR(), + .RDADDRECC()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of fontrom is "black_box" + +endmodule +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.mif =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.mif (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.mif (revision 2) @@ -0,0 +1,4096 @@ +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000100000101000110 +000010000011000011 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001010001100001101 +001000001001001010 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000011000011000011 +001010001101001110 +001110010001010010 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000101000110000111 +001111010011010011 +001111010010010011 +000100000101000101 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111110000000 +000000000000000000 +001000001010001010 +001110010001010010 +001110010001010010 +000101000111000111 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001010001100001100 +001010001101001101 +001010001101001101 +001011001110001110 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000011000011 +001110010010010011 +001001001011001011 +000110001000001000 +001001001011001100 +000001000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000010000010 +001001001100001100 +001000001011001011 +001000001010001011 +001001001011001100 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000100000101000101 +001011001110001110 +001011001110001111 +001000001010001010 +000111001000001001 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000010000010 +000100000101000101 +000010000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000011000011 +001010001100001100 +001011001110001110 +001010001100001100 +001000001010001010 +000101000110000110 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000000000000000000 +000100000100000101 +000100000101000110 +000001000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000111001001001001 +001001001011001011 +000101000111000111 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000100000101000101 +000111001000001001 +001000001010001011 +001001001100001100 +001010001100001100 +000100000101000101 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000100000101000101 +000111001000001000 +001011001110001110 +000100000101000110 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001000001010001010 +000111001001001001 +001010001101001101 +001000001010001010 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000101000110000110 +001000001010001010 +001001001011001100 +001000001011001011 +001001001100001100 +001001001100001100 +000000001101000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000011000100000100 +000111001001001010 +001000001010001010 +001000001010001010 +000011000100000101 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001000001010001011 +001110010010010011 +001001001011001011 +001001001011001011 +001000001010001011 +000010000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000110001000001000 +001000001010001010 +000110001000001000 +001000001010001010 +001001001100001100 +000111001001001001 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000011000100000100 +001001001011001100 +001010001101001101 +001001001011001011 +001001001100001100 +000011000100000100 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +011111011111011111 +000001000001000001 +001010001100001101 +000111001001001001 +001000001011001011 +001010001101001101 +001100001111010000 +001000001011001011 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000111001001001010 +001001001011001011 +000101000110000110 +001000001010001010 +001001001011001011 +000110000111000111 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000011000100000100 +000111001001001001 +000111001001001001 +000111001001001001 +000101000111000111 +000111001001001001 +000011000100000101 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +011111011111011111 +000000000000000000 +000110000111000111 +010011010101010101 +010010010100010100 +010010010100010100 +010011010101010101 +010000010010010010 +000101000101000101 +000101000101000101 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000111 +000101000101000101 +010000010010010011 +010001010011010011 +001111010000010000 +001011001110001110 +001001001100001100 +000110001000001000 +000101000101000101 +000111000111000111 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000110000110000110 +000001000001000001 +000001000001000001 +000110000111000111 +001010001101001101 +001011001110001110 +001010001101001101 +001001001011001100 +000101000110000110 +000001000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000001000001 +011110100100100111 +011011100111101011 +011010100110101010 +011010100101101010 +011011100110101011 +011011100110101011 +011011100110101011 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101011 +011011100110101010 +011011100111101100 +010100100000100101 +001001001101001111 +001100001111001111 +000110000111001000 +010101011000011010 +011110101001101101 +011010100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101010 +011011100110101011 +010111100011101000 +000111001100001110 +001000001001001001 +001000001011001011 +001001001100001100 +001011001110001111 +001001001011001011 +001000001010001010 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001100011001100000 +000000011010100101 +000001011001100100 +000001011001100100 +000001011001100100 +000001011001100100 +000001011001100100 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000001011001100011 +000001011001100100 +000001011010100101 +000000010100011100 +000101001000001001 +001000001010001010 +001100001110001110 +001100010011010111 +000100011100100110 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011010100100 +000000010110100000 +000100001001001011 +001010001100001100 +001001001100001100 +000110001000001000 +001100001111001111 +000101000111000111 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001010100011100 +001000001100001101 +001000001010001010 +001111010010010011 +010000010111011011 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001010111100000 +000101001010001101 +001101010000010000 +000111001001001010 +000110000111001000 +000100000101000101 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001010100011011 +001001001101001111 +001111010011010011 +001110010001010001 +001111010111011011 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001010111100000 +000110001011001101 +001011001101001101 +000110001000001000 +000000000001000001 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100101 +000010011011100110 +000001010101011101 +000101001000001010 +001001001011001100 +001010001100001100 +001111010110011010 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001011000100001 +000101001001001011 +000101000110000110 +000000000000000000 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001010101011101 +000001001111010100 +000001001110010100 +000001001111010100 +000001001110010100 +000001001110010100 +000001001111010100 +000001001110010100 +000001001111010100 +000001001110010100 +000001001110010100 +000001001110010100 +000001001100010001 +000110001010001011 +001011001110001110 +001001001011001010 +001111010111011010 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011000100010 +000001001111010100 +000011000110001000 +001011001011001011 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001100011010100001 +000010011011100101 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001001011001111 +000011000011000011 +000101000111000111 +000111001001001001 +001010001100001101 +001001001011001100 +001010001100001101 +000111001001001001 +000110001000001000 +000110000111000111 +000110000111000111 +001100001111010000 +001010001101001110 +001001001011001100 +001010001101001101 +001101010000010000 +010000011000011100 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010011011001 +000010000011000100 +000001000001000001 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000100001011001111 +000001010101011101 +000010011010100101 +000010011010100100 +000010011010100100 +000010011010100100 +000001011010100100 +000011010010011000 +000101000101000110 +001010001010001010 +010010010100010100 +010101011000011000 +010101011000011000 +010010010100010100 +010010010011010011 +010001010010010010 +001111010001010001 +001101010000010000 +010001010101010110 +001010001101001101 +001010001101001101 +000111001001001001 +000111001000001000 +010000011000011011 +000101011101100111 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010010011001 +000001000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +011111011111011111 +000000000000000000 +000010000101000110 +000001010000010110 +000001011001100011 +000010011010100100 +000010011010100100 +000001011001100011 +000101011100100110 +010101100100101011 +011010100110101011 +011001100110101011 +011000100101101011 +011001100110101011 +011001100110101011 +011001100110101011 +011010100111101100 +001110011010011111 +001010001110001111 +001100001111001111 +001010001101001101 +001101010000010001 +001000001010001010 +001000001010001010 +001010010010010101 +000011011010100011 +000010011010100101 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010010011001 +000010000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000011000100000110 +000101011001100010 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011010100101 +000000010000010110 +001110010001010001 +001111010010010011 +001000001011001011 +001001001011001011 +000111001000001001 +000111001000001001 +001001001011001100 +000011001011001110 +000001010101011100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010010011001 +000010000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000010000010 +001100011100100011 +000001011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010001010111 +001100001110001111 +001110010001010001 +000111001000001000 +001010001100001101 +001000001010001011 +001000001011001011 +001011001110001110 +001000001001001001 +000010000101000110 +000010010101011101 +000010011010100100 +000010011010100100 +000010011011100101 +000001010010011001 +000010000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000010000010 +001110011101100100 +000001011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010001010111 +001001001011001011 +001011001110001111 +001001001100001100 +001001001100001100 +001010001100001101 +001000001010001010 +000101000111000111 +000001000010000010 +001011001011001011 +001010010100011001 +000011011011100101 +000010011001100100 +000010011011100101 +000001010010011001 +000010000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +010010010010010011 +010010100001101000 +000000011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100110 +000001010001010111 +001100001111010000 +001101010000010000 +001001001100001100 +001000001010001010 +001100001111001111 +000111001001001001 +000101000110000110 +000100000101000101 +000011000011000011 +001100010100010111 +000100011100100110 +000001011001100011 +000010011011100101 +000001010010011001 +000010000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +011111011111011111 +000110000110000110 +100000100001100001 +011101101000101101 +000101011011100101 +000001011001100011 +000010011010100100 +000010011010100100 +000001010100011011 +000001001100010000 +000010001100010000 +000010001100010000 +000010001100010000 +000010001100010001 +000010001100010001 +000010001100010001 +000010001100010001 +000010001001001101 +001010001101001110 +001101010000010000 +001000001010001011 +001011001110001110 +001011001110001110 +000110000111001000 +000101000111000111 +000100000101000110 +000011000100000011 +010011011011011111 +000101011100100110 +000001011001100011 +000010011011100101 +000001010010011001 +000001000010000011 +011111011111011111 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +001011001011001011 +001011001011001011 +001011001011001011 +001011001011001011 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000010 +011111100100100110 +010001100010101001 +000000011001100011 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100101 +000001001011001111 +000001000001000001 +000000000000000000 +000001000010000010 +000110000111000111 +000110001000001000 +001000001010001010 +000111001000001001 +000111001001001001 +001000001010001010 +000111001001001010 +001010001101001110 +001000001010001010 +001011001110001110 +001001001100001100 +000101000110000111 +000011000100000101 +000110000111000111 +011101011101011101 +011010100110101011 +000010011010100100 +000010011001100100 +000010011011100101 +000001010010011001 +000001000010000010 +011111011111011111 +011111011111011111 +011111011111011111 +001011001011001011 +011001011010011011 +011001011011011100 +011000011011011100 +011000011011011100 +011001011011011100 +010001010101010110 +000001000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001100011010100000 +000000011001100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001011010100100 +000011010010011001 +000111001000001001 +001000001000001000 +001110001111001111 +010010010011010011 +010001010010010010 +010011010100010100 +010010010011010011 +010001010010010011 +010001010011010011 +010101010110010111 +010110011001011001 +010000010010010011 +001100010000010000 +001001001011001011 +000011000101000101 +001110001111001111 +100101101000101010 +011000100111101101 +000011011011100100 +000001011001100011 +000010011010100100 +000010011010100100 +000001010110011111 +001100010001010011 +010001010001010010 +010001010001010010 +010001010001010010 +010100010100010101 +011011100111101011 +001000011101100111 +001001011110100111 +001001011110100111 +001001011111101000 +000100010011011001 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001100011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001011001100011 +000101011100100110 +010100100100101010 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011000100110101011 +011001100111101100 +010010011111100101 +000110001010001100 +001000001001001001 +000101000110000110 +010101011001011100 +001110100010101010 +000000011000100011 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +001011011111101000 +010001100010101001 +010001100001101001 +010001100010101001 +010001100010101001 +000110011100100101 +000000011001100011 +000001011001100011 +000001011001100011 +000001011010100100 +000001010000010110 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011010100101 +000000010100011100 +000101000111001001 +001001001010001011 +001010001100001100 +001101010101011000 +000100011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000001011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000000011001100011 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000010010000010111 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001010100011100 +000101001000001001 +001000001010001010 +001011001101001101 +010000011000011100 +000101011100100110 +000001011001100011 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000010010000010111 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011010100000 +000010011010100101 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100101 +000001010100011100 +000100000111001000 +001001001010001010 +001000001001001001 +001110010101011001 +000101011101100111 +000001011001100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000010010000010111 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000001000001 +001101011011100001 +000001011010100101 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010100011100 +000101001000001010 +001010001101001101 +001001001011001011 +001110010110011001 +000101011101100111 +000001011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011010100100 +000010011011100101 +000001010000010111 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000100001011001110 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000011001100010000 +000010001011001111 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001011001111 +000010001010001110 +000111001010001011 +001111010010010011 +001101010000010000 +000111001101001111 +000010001011001111 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001010001110 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000010001011001111 +000010001010001110 +000010001010001111 +000010001000001100 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000110000111000111 +000111001000001000 +000101000101000110 +000101000110000110 +000110000110000111 +000110000111001000 +000101000110000110 +000000000001000001 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000011000011000100 +000111001000001000 +001010001100001100 +001100001111001111 +001011001110001110 +001001001011001100 +000011000100000100 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000001000001000001 +000011000100000100 +000101000110000110 +000101000110000110 +000101000101000101 +001001001011001011 +001011001101001110 +000001000010000010 +000000000000000000 +000000000000000000 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001001001011001011 +001100001111010000 +000111001001001001 +000101000110000110 +000101000110000110 +000100000101000101 +000000000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000010000010 +001000001010001010 +001011001110001110 +001111010010010011 +001001001011001100 +001000001001001010 +000100000101000101 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +001011001011001011 +000001000010000010 +000100000101000110 +000111001001001001 +001100001111001111 +001011001110001111 +001000001010001010 +000011000011000100 +001011001011001011 +000000111101000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000110000111001000 +001101010000010000 +001100001111001111 +000100000110000110 +000011000100000100 +000001000001000001 +001011001011001011 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000001000001 +001000001010001010 +001010001101001101 +001001001100001100 +001001001011001100 +001001001100001100 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +000000000000000000 +000011000011000100 +001011001110001110 +001011001101001110 +001001001011001011 +001011001110001111 +000100000101000101 +001011001011001011 +000000111110000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000111001000001001 +001000001010001010 +001010001101001101 +000111001001001001 +000001000001000001 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001100001111001111 +001010001101001101 +001000001010001011 +000110001000001000 +000111001001001001 +000010000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +001011001011001011 +000000000001000001 +000101000110000111 +001000001010001010 +001000001010001010 +001010001100001101 +000011000100000100 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000111001001001001 +001000001011001011 +000101000110000110 +000010000011000011 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001011001110001110 +001100001111010000 +001000001010001010 +000111001000001001 +001000001010001010 +000001000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +001011001011001011 +000000000001000001 +000110001000001000 +000111001001001001 +001000001011001011 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000011000100000101 +000001000010000010 +001011001011001011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +000101000111000111 +001001001011001100 +001010001101001101 +001001001011001011 +001100001111001111 +000000000001000001 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +001011001011001011 +001011001011001011 +000000000000000000 +000011000100000100 +000010000011000011 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000101000110000110 +000111001001001001 +001001001011001011 +001101010001010001 +001011001110001111 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000101000110000111 +001001001011001100 +001100001111001111 +001001001011001011 +001010001100001100 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000011000100000100 +001101010001010001 +001010001101001101 +000111001000001001 +000111001000001000 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000001000010000010 +001100001111001111 +001000001010001011 +000111001001001001 +000100000101000101 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001010001101001110 +001000001010001010 +000111001001001010 +000011000100000100 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000000000000000 +001010001100001101 +001001001100001100 +001000001011001011 +000001000010000010 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000110000111000111 +000111001000001001 +001000001010001011 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000010000010 +001001001011001100 +000101000110000110 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000010000011000011 +000000000000000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000 +000000111111000000
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.mif Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xise (revision 2) @@ -0,0 +1,79 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.xise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.sym =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.sym (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.sym (revision 2) @@ -0,0 +1,18 @@ + + + BLOCK + 2011-2-28T7:40:4 + + + + + fontrom + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.sym Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.sym =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.sym (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.sym (revision 2) @@ -0,0 +1,36 @@ + + + BLOCK + 2011-2-28T7:43:22 + + + + + + + + + + + textram + + + + + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.sym Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xise (revision 2) @@ -0,0 +1,386 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.xise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vhd =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vhd (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vhd (revision 2) @@ -0,0 +1,128 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file fontrom.vhd when simulating +-- the core, fontrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY fontrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END fontrom; + +ARCHITECTURE fontrom_a OF fontrom IS +-- synthesis translate_off +component wrapped_fontrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_fontrom use entity XilinxCoreLib.blk_mem_gen_v4_1(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_rstram_b => 0, + c_rstram_a => 0, + c_has_injecterr => 0, + c_rst_type => "SYNC", + c_prim_type => 1, + c_read_width_b => 8, + c_initb_val => "0", + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_use_softecc => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "fontrom.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_softecc_output_regs_b => 0, + c_has_softecc_output_regs_a => 0, + c_has_mem_output_regs_b => 0, + c_has_mem_output_regs_a => 0, + c_load_init_file => 1, + c_xdevicefamily => "aspartan3a", + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_rstb => 0, + c_has_rsta => 0, + c_has_mux_output_regs_b => 0, + c_inita_val => "0", + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_has_softecc_input_regs_b => 0, + c_has_softecc_input_regs_a => 0, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 0, + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_rst_priority_b => "CE", + c_rst_priority_a => "CE", + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_fontrom + port map ( + clka => clka, + addra => addra, + douta => douta); +-- synthesis translate_on + +END fontrom_a; +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vhd =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vhd (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vhd (revision 2) @@ -0,0 +1,146 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2009 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file textram.vhd when simulating +-- the core, textram. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY textram IS + port ( + clka: IN std_logic; + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + addra: IN std_logic_VECTOR(12 downto 0); + dina: IN std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + enb: IN std_logic; + addrb: IN std_logic_VECTOR(12 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +END textram; + +ARCHITECTURE textram_a OF textram IS +-- synthesis translate_off +component wrapped_textram + port ( + clka: IN std_logic; + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + addra: IN std_logic_VECTOR(12 downto 0); + dina: IN std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + enb: IN std_logic; + addrb: IN std_logic_VECTOR(12 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_textram use entity XilinxCoreLib.blk_mem_gen_v4_1(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 1, + c_rstram_b => 0, + c_rstram_a => 0, + c_has_injecterr => 0, + c_rst_type => "SYNC", + c_prim_type => 1, + c_read_width_b => 8, + c_initb_val => "0", + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_use_softecc => 0, + c_write_mode_b => "READ_FIRST", + c_init_file_name => "textram.mif", + c_write_mode_a => "READ_FIRST", + c_mux_pipeline_stages => 0, + c_has_softecc_output_regs_b => 0, + c_has_softecc_output_regs_a => 0, + c_has_mem_output_regs_b => 0, + c_has_mem_output_regs_a => 0, + c_load_init_file => 1, + c_xdevicefamily => "aspartan3a", + c_write_depth_b => 4800, + c_write_depth_a => 4800, + c_has_rstb => 0, + c_has_rsta => 0, + c_has_mux_output_regs_b => 0, + c_inita_val => "0", + c_has_mux_output_regs_a => 0, + c_addra_width => 13, + c_has_softecc_input_regs_b => 0, + c_has_softecc_input_regs_a => 0, + c_addrb_width => 13, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 4800, + c_read_depth_a => 4800, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 1, + c_web_width => 1, + c_has_ena => 1, + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_rst_priority_b => "CE", + c_rst_priority_a => "CE", + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_textram + port map ( + clka => clka, + ena => ena, + wea => wea, + addra => addra, + dina => dina, + clkb => clkb, + enb => enb, + addrb => addrb, + doutb => doutb); +-- synthesis translate_on + +END textram_a; +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.veo =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.veo (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.veo (revision 2) @@ -0,0 +1,46 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2009 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +LogoROM YourInstanceName ( + .clka(clka), + .ena(ena), + .addra(addra), // Bus [11 : 0] + .douta(douta)); // Bus [17 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file LogoROM.v when simulating +// the core, LogoROM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.veo Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xco =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xco (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xco (revision 2) @@ -0,0 +1,89 @@ +############################################################## +# +# Xilinx Core Generator version 12.1 +# Date: Mon Feb 28 07:39:19 2011 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xa3s400a +SET devicefamily = aspartan3a +SET flowvendor = Foundation_ISE +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ftg256 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = true +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 4.1 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file="D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\ellogo.coe" +CSET collision_warnings=ALL +CSET component_name=LogoROM +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Always_Enabled +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=true +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=0 +CSET port_b_clock=0 +CSET port_b_enable_rate=0 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=18 +CSET read_width_b=18 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=4096 +CSET write_width_a=18 +CSET write_width_b=18 +# END Parameters +GENERATE +# CRC: 9b855600
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.xco Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.asy =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.asy (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.asy (revision 2) @@ -0,0 +1,21 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 LogoROM +RECTANGLE Normal 32 32 544 672 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[11:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName ena +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName douta[17:0] +PINATTR Polarity OUT +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/LogoROM.asy Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.gise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.gise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.gise (revision 2) @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/textram.gise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.gise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.gise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.gise (revision 2) @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/fontrom.gise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/coregen.cgc =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/coregen.cgc (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/coregen.cgc (revision 2) @@ -0,0 +1,470 @@ + + + xilinx.com + project + coregen + 1.0 + + + LogoROM + + + + + false + 0 + false + false + true + 0 + false + false + false + 8kx2 + 0 + 0 + Single_Port_ROM + 100 + false + 18 + 18 + 9 + false + false + false + false + false + false + false + Use_ENA_Pin + Always_Enabled + 100 + 4096 + Minimum_Area + 0 + 0 + Single_Bit_Error_Injection + 0 + 18 + 18 + CE + CE + false + WRITE_FIRST + WRITE_FIRST + SYNC + false + 0 + false + D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\ellogo.coe + true + ALL + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Verilog + true + Foundation_ISE + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + + + + fontrom + + + + + false + 0 + false + false + true + 0 + false + false + false + 8kx2 + 0 + 0 + Single_Port_ROM + 100 + false + 8 + 8 + 9 + false + false + false + false + false + false + false + Always_Enabled + Always_Enabled + 100 + 2048 + Minimum_Area + 0 + 0 + Single_Bit_Error_Injection + 0 + 8 + 8 + CE + CE + false + WRITE_FIRST + WRITE_FIRST + SYNC + false + 0 + false + D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\fontrom.coe + true + ALL + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Verilog + true + Foundation_ISE + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + + + + textram + + + + + false + 0 + false + false + true + 50 + false + false + false + 8kx2 + 100 + 0 + Simple_Dual_Port_RAM + 100 + false + 8 + 8 + 9 + false + false + false + false + false + false + false + Use_ENA_Pin + Use_ENB_Pin + 100 + 4800 + Minimum_Area + 0 + 0 + Single_Bit_Error_Injection + 0 + 8 + 8 + CE + CE + false + READ_FIRST + READ_FIRST + SYNC + false + 100 + false + D:\Dados\Energy Labs\FPGA\TFTLCD\MemoryInit\preram.coe + true + ALL + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Verilog + true + Foundation_ISE + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + + + apply_current_project_options_generator + + + customization_generator + + ./textram.mif + mif + Mon Feb 28 07:43:09 GMT 2011 + 0x943AF524 + + + + ip_xco_generator + + ./textram.xco + xco + Mon Feb 28 07:43:10 GMT 2011 + 0xAADE01A5 + + + + implementation_netlist_generator + + ./blk_mem_gen_ds512.pdf + pdf + Mon Feb 28 07:43:46 GMT 2011 + 0xDCFF7B3C + + + ./textram.asy + asy + Mon Feb 28 07:43:22 GMT 2011 + 0x5ED42EA8 + + + ./textram.mif + mif + Mon Feb 28 07:43:29 GMT 2011 + 0x943AF524 + + + ./textram.ngc + ngc + Mon Feb 28 07:43:52 GMT 2011 + 0xCEA19BE2 + + + ./textram.sym + asy + unknown + Mon Feb 28 07:43:46 GMT 2011 + 0x73835740 + + + ./textram.v + verilog + Mon Feb 28 07:43:46 GMT 2011 + 0x310778BB + + + ./textram.veo + veo + Mon Feb 28 07:43:46 GMT 2011 + 0x6AB4FB5C + + + ./textram.vhd + vhdl + Mon Feb 28 07:43:46 GMT 2011 + 0x9EFA9446 + + + ./textram.vho + vho + Mon Feb 28 07:43:46 GMT 2011 + 0x781C124B + + + + instantiation_template_generator + + ./textram.veo + veo + Mon Feb 28 07:43:53 GMT 2011 + 0x6AB4FB5C + + + ./textram.vho + vho + Mon Feb 28 07:43:53 GMT 2011 + 0x781C124B + + + + xco_generator + + ./textram.xco + xco + Mon Feb 28 07:43:59 GMT 2011 + 0x0994CA56 + + + + xmdf_generator + + ./textram_xmdf.tcl + tclXmdf + tcl + Mon Feb 28 07:43:59 GMT 2011 + 0x9ABD6823 + + + + ise_generator + + ./_xmsgs/pn_parser.xmsgs + ignore + unknown + Mon Feb 28 07:44:01 GMT 2011 + 0x0A4F015D + + + ./textram.gise + ignore + gise + Mon Feb 28 07:44:01 GMT 2011 + 0x8B8D396B + + + ./textram.xise + ignore + xise + Mon Feb 28 07:44:01 GMT 2011 + 0x4E3B3B5F + + + + deliver_readme_generator + + ./textram_readme.txt + ignore + txtReadme + txt + Mon Feb 28 07:44:01 GMT 2011 + 0x7782ECD7 + + + + flist_generator + + ./textram_flist.txt + ignore + txtFlist + txt + Mon Feb 28 07:44:01 GMT 2011 + 0xF3EF5DEA + + + + view_readme_generator + + + + + + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg + + + xa3s400a + aspartan3a + ftg256 + -4 + + + BusFormatAngleBracketNotRipped + Verilog + true + Foundation_ISE + false + false + false + Ngc + false + + + Behavioral + VHDL_and_Verilog + false + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/ipcore_dir/coregen.cgc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/lgpl3.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/lgpl3.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/lgpl3.txt (revision 2) @@ -0,0 +1,165 @@ + GNU LESSER GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + + This version of the GNU Lesser General Public License incorporates +the terms and conditions of version 3 of the GNU General Public +License, supplemented by the additional permissions listed below. + + 0. Additional Definitions. + + As used herein, "this License" refers to version 3 of the GNU Lesser +General Public License, and the "GNU GPL" refers to version 3 of the GNU +General Public License. + + "The Library" refers to a covered work governed by this License, +other than an Application or a Combined Work as defined below. + + An "Application" is any work that makes use of an interface provided +by the Library, but which is not otherwise based on the Library. +Defining a subclass of a class defined by the Library is deemed a mode +of using an interface provided by the Library. + + A "Combined Work" is a work produced by combining or linking an +Application with the Library. The particular version of the Library +with which the Combined Work was made is also called the "Linked +Version". + + The "Minimal Corresponding Source" for a Combined Work means the +Corresponding Source for the Combined Work, excluding any source code +for portions of the Combined Work that, considered in isolation, are +based on the Application, and not on the Linked Version. + + The "Corresponding Application Code" for a Combined Work means the +object code and/or source code for the Application, including any data +and utility programs needed for reproducing the Combined Work from the +Application, but excluding the System Libraries of the Combined Work. + + 1. Exception to Section 3 of the GNU GPL. + + You may convey a covered work under sections 3 and 4 of this License +without being bound by section 3 of the GNU GPL. + + 2. Conveying Modified Versions. + + If you modify a copy of the Library, and, in your modifications, a +facility refers to a function or data to be supplied by an Application +that uses the facility (other than as an argument passed when the +facility is invoked), then you may convey a copy of the modified +version: + + a) under this License, provided that you make a good faith effort to + ensure that, in the event an Application does not supply the + function or data, the facility still operates, and performs + whatever part of its purpose remains meaningful, or + + b) under the GNU GPL, with none of the additional permissions of + this License applicable to that copy. + + 3. Object Code Incorporating Material from Library Header Files. + + The object code form of an Application may incorporate material from +a header file that is part of the Library. You may convey such object +code under terms of your choice, provided that, if the incorporated +material is not limited to numerical parameters, data structure +layouts and accessors, or small macros, inline functions and templates +(ten or fewer lines in length), you do both of the following: + + a) Give prominent notice with each copy of the object code that the + Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the object code with a copy of the GNU GPL and this license + document. + + 4. Combined Works. + + You may convey a Combined Work under terms of your choice that, +taken together, effectively do not restrict modification of the +portions of the Library contained in the Combined Work and reverse +engineering for debugging such modifications, if you also do each of +the following: + + a) Give prominent notice with each copy of the Combined Work that + the Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the Combined Work with a copy of the GNU GPL and this license + document. + + c) For a Combined Work that displays copyright notices during + execution, include the copyright notice for the Library among + these notices, as well as a reference directing the user to the + copies of the GNU GPL and this license document. + + d) Do one of the following: + + 0) Convey the Minimal Corresponding Source under the terms of this + License, and the Corresponding Application Code in a form + suitable for, and under terms that permit, the user to + recombine or relink the Application with a modified version of + the Linked Version to produce a modified Combined Work, in the + manner specified by section 6 of the GNU GPL for conveying + Corresponding Source. + + 1) Use a suitable shared library mechanism for linking with the + Library. A suitable mechanism is one that (a) uses at run time + a copy of the Library already present on the user's computer + system, and (b) will operate properly with a modified version + of the Library that is interface-compatible with the Linked + Version. + + e) Provide Installation Information, but only if you would otherwise + be required to provide such information under section 6 of the + GNU GPL, and only to the extent that such information is + necessary to install and execute a modified version of the + Combined Work produced by recombining or relinking the + Application with a modified version of the Linked Version. (If + you use option 4d0, the Installation Information must accompany + the Minimal Corresponding Source and Corresponding Application + Code. If you use option 4d1, you must provide the Installation + Information in the manner specified by section 6 of the GNU GPL + for conveying Corresponding Source.) + + 5. Combined Libraries. + + You may place library facilities that are a work based on the +Library side by side in a single library together with other library +facilities that are not Applications and are not covered by this +License, and convey such a combined library under terms of your +choice, if you do both of the following: + + a) Accompany the combined library with a copy of the same work based + on the Library, uncombined with any other library facilities, + conveyed under the terms of this License. + + b) Give prominent notice with the combined library that part of it + is a work based on the Library, and explaining where to find the + accompanying uncombined form of the same work. + + 6. Revised Versions of the GNU Lesser General Public License. + + The Free Software Foundation may publish revised and/or new versions +of the GNU Lesser General Public License from time to time. Such new +versions will be similar in spirit to the present version, but may +differ in detail to address new problems or concerns. + + Each version is given a distinguishing version number. If the +Library as you received it specifies that a certain numbered version +of the GNU Lesser General Public License "or any later version" +applies to it, you have the option of following the terms and +conditions either of that published version or of any later version +published by the Free Software Foundation. If the Library as you +received it does not specify a version number of the GNU Lesser +General Public License, you may choose any version of the GNU Lesser +General Public License ever published by the Free Software Foundation. + + If the Library as you received it specifies that a proxy can decide +whether future versions of the GNU Lesser General Public License shall +apply, that proxy's public statement of acceptance of any version is +permanent authorization for you to choose that version for the +Library.
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/lgpl3.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE.txt (revision 2) @@ -0,0 +1,165 @@ + GNU LESSER GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + + This version of the GNU Lesser General Public License incorporates +the terms and conditions of version 3 of the GNU General Public +License, supplemented by the additional permissions listed below. + + 0. Additional Definitions. + + As used herein, "this License" refers to version 3 of the GNU Lesser +General Public License, and the "GNU GPL" refers to version 3 of the GNU +General Public License. + + "The Library" refers to a covered work governed by this License, +other than an Application or a Combined Work as defined below. + + An "Application" is any work that makes use of an interface provided +by the Library, but which is not otherwise based on the Library. +Defining a subclass of a class defined by the Library is deemed a mode +of using an interface provided by the Library. + + A "Combined Work" is a work produced by combining or linking an +Application with the Library. The particular version of the Library +with which the Combined Work was made is also called the "Linked +Version". + + The "Minimal Corresponding Source" for a Combined Work means the +Corresponding Source for the Combined Work, excluding any source code +for portions of the Combined Work that, considered in isolation, are +based on the Application, and not on the Linked Version. + + The "Corresponding Application Code" for a Combined Work means the +object code and/or source code for the Application, including any data +and utility programs needed for reproducing the Combined Work from the +Application, but excluding the System Libraries of the Combined Work. + + 1. Exception to Section 3 of the GNU GPL. + + You may convey a covered work under sections 3 and 4 of this License +without being bound by section 3 of the GNU GPL. + + 2. Conveying Modified Versions. + + If you modify a copy of the Library, and, in your modifications, a +facility refers to a function or data to be supplied by an Application +that uses the facility (other than as an argument passed when the +facility is invoked), then you may convey a copy of the modified +version: + + a) under this License, provided that you make a good faith effort to + ensure that, in the event an Application does not supply the + function or data, the facility still operates, and performs + whatever part of its purpose remains meaningful, or + + b) under the GNU GPL, with none of the additional permissions of + this License applicable to that copy. + + 3. Object Code Incorporating Material from Library Header Files. + + The object code form of an Application may incorporate material from +a header file that is part of the Library. You may convey such object +code under terms of your choice, provided that, if the incorporated +material is not limited to numerical parameters, data structure +layouts and accessors, or small macros, inline functions and templates +(ten or fewer lines in length), you do both of the following: + + a) Give prominent notice with each copy of the object code that the + Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the object code with a copy of the GNU GPL and this license + document. + + 4. Combined Works. + + You may convey a Combined Work under terms of your choice that, +taken together, effectively do not restrict modification of the +portions of the Library contained in the Combined Work and reverse +engineering for debugging such modifications, if you also do each of +the following: + + a) Give prominent notice with each copy of the Combined Work that + the Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the Combined Work with a copy of the GNU GPL and this license + document. + + c) For a Combined Work that displays copyright notices during + execution, include the copyright notice for the Library among + these notices, as well as a reference directing the user to the + copies of the GNU GPL and this license document. + + d) Do one of the following: + + 0) Convey the Minimal Corresponding Source under the terms of this + License, and the Corresponding Application Code in a form + suitable for, and under terms that permit, the user to + recombine or relink the Application with a modified version of + the Linked Version to produce a modified Combined Work, in the + manner specified by section 6 of the GNU GPL for conveying + Corresponding Source. + + 1) Use a suitable shared library mechanism for linking with the + Library. A suitable mechanism is one that (a) uses at run time + a copy of the Library already present on the user's computer + system, and (b) will operate properly with a modified version + of the Library that is interface-compatible with the Linked + Version. + + e) Provide Installation Information, but only if you would otherwise + be required to provide such information under section 6 of the + GNU GPL, and only to the extent that such information is + necessary to install and execute a modified version of the + Combined Work produced by recombining or relinking the + Application with a modified version of the Linked Version. (If + you use option 4d0, the Installation Information must accompany + the Minimal Corresponding Source and Corresponding Application + Code. If you use option 4d1, you must provide the Installation + Information in the manner specified by section 6 of the GNU GPL + for conveying Corresponding Source.) + + 5. Combined Libraries. + + You may place library facilities that are a work based on the +Library side by side in a single library together with other library +facilities that are not Applications and are not covered by this +License, and convey such a combined library under terms of your +choice, if you do both of the following: + + a) Accompany the combined library with a copy of the same work based + on the Library, uncombined with any other library facilities, + conveyed under the terms of this License. + + b) Give prominent notice with the combined library that part of it + is a work based on the Library, and explaining where to find the + accompanying uncombined form of the same work. + + 6. Revised Versions of the GNU Lesser General Public License. + + The Free Software Foundation may publish revised and/or new versions +of the GNU Lesser General Public License from time to time. Such new +versions will be similar in spirit to the present version, but may +differ in detail to address new problems or concerns. + + Each version is given a distinguishing version number. If the +Library as you received it specifies that a certain numbered version +of the GNU Lesser General Public License "or any later version" +applies to it, you have the option of following the terms and +conditions either of that published version or of any later version +published by the Free Software Foundation. If the Library as you +received it does not specify a version number of the GNU Lesser +General Public License, you may choose any version of the GNU Lesser +General Public License ever published by the Free Software Foundation. + + If the Library as you received it specifies that a proxy can decide +whether future versions of the GNU Lesser General Public License shall +apply, that proxy's public statement of acceptance of any version is +permanent authorization for you to choose that version for the +Library.
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.ucf =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.ucf (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.ucf (revision 2) @@ -0,0 +1,43 @@ + +NET "clk" TNM_NET = "clk"; +TIMESPEC TS_CLK_16MHZ = PERIOD "clk" 62.5 ns HIGH 50 %; +NET "clkouta1_p" IOSTANDARD = LVDS_33; +NET "dataouta_p[0]" IOSTANDARD = LVDS_33; +NET "dataouta_p[1]" IOSTANDARD = LVDS_33; +NET "dataouta_p[2]" IOSTANDARD = LVDS_33; +NET "led1" IOSTANDARD = LVCMOS33; +NET "led2" IOSTANDARD = LVCMOS33; +NET "led3" IOSTANDARD = LVCMOS33; +NET "led4" IOSTANDARD = LVCMOS33; +NET "TxD" IOSTANDARD = LVCMOS33; + +//Clock de 16MHz +NET "clk" LOC = C10; + +//Porta LVDS +//Clock +NET "clkouta1_p" LOC = D7; +NET "clkouta1_n" LOC = C6; +//Canal 0 +NET "dataouta_p[0]" LOC = A5; +NET "dataouta_n[0]" LOC = C5; +//Canal 1 +NET "dataouta_p[1]" LOC = A6; +NET "dataouta_n[1]" LOC = B6; +//Canal 2 +NET "dataouta_p[2]" LOC = A7; +NET "dataouta_n[2]" LOC = C7; + +//Leds +NET "led1" LOC = D14; +NET "led2" LOC = C16; +NET "led3" LOC = C15; +NET "led4" LOC = B15; + +//Porta Serial +NET "TxD" LOC = B3; +NET "RxD" LOC = A3; + +//Porta PS2 +NET "PS2clk" LOC = C4; +NET "PS2data" LOC = B14;
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.ucf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE (revision 2) @@ -0,0 +1,165 @@ + GNU LESSER GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + + This version of the GNU Lesser General Public License incorporates +the terms and conditions of version 3 of the GNU General Public +License, supplemented by the additional permissions listed below. + + 0. Additional Definitions. + + As used herein, "this License" refers to version 3 of the GNU Lesser +General Public License, and the "GNU GPL" refers to version 3 of the GNU +General Public License. + + "The Library" refers to a covered work governed by this License, +other than an Application or a Combined Work as defined below. + + An "Application" is any work that makes use of an interface provided +by the Library, but which is not otherwise based on the Library. +Defining a subclass of a class defined by the Library is deemed a mode +of using an interface provided by the Library. + + A "Combined Work" is a work produced by combining or linking an +Application with the Library. The particular version of the Library +with which the Combined Work was made is also called the "Linked +Version". + + The "Minimal Corresponding Source" for a Combined Work means the +Corresponding Source for the Combined Work, excluding any source code +for portions of the Combined Work that, considered in isolation, are +based on the Application, and not on the Linked Version. + + The "Corresponding Application Code" for a Combined Work means the +object code and/or source code for the Application, including any data +and utility programs needed for reproducing the Combined Work from the +Application, but excluding the System Libraries of the Combined Work. + + 1. Exception to Section 3 of the GNU GPL. + + You may convey a covered work under sections 3 and 4 of this License +without being bound by section 3 of the GNU GPL. + + 2. Conveying Modified Versions. + + If you modify a copy of the Library, and, in your modifications, a +facility refers to a function or data to be supplied by an Application +that uses the facility (other than as an argument passed when the +facility is invoked), then you may convey a copy of the modified +version: + + a) under this License, provided that you make a good faith effort to + ensure that, in the event an Application does not supply the + function or data, the facility still operates, and performs + whatever part of its purpose remains meaningful, or + + b) under the GNU GPL, with none of the additional permissions of + this License applicable to that copy. + + 3. Object Code Incorporating Material from Library Header Files. + + The object code form of an Application may incorporate material from +a header file that is part of the Library. You may convey such object +code under terms of your choice, provided that, if the incorporated +material is not limited to numerical parameters, data structure +layouts and accessors, or small macros, inline functions and templates +(ten or fewer lines in length), you do both of the following: + + a) Give prominent notice with each copy of the object code that the + Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the object code with a copy of the GNU GPL and this license + document. + + 4. Combined Works. + + You may convey a Combined Work under terms of your choice that, +taken together, effectively do not restrict modification of the +portions of the Library contained in the Combined Work and reverse +engineering for debugging such modifications, if you also do each of +the following: + + a) Give prominent notice with each copy of the Combined Work that + the Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the Combined Work with a copy of the GNU GPL and this license + document. + + c) For a Combined Work that displays copyright notices during + execution, include the copyright notice for the Library among + these notices, as well as a reference directing the user to the + copies of the GNU GPL and this license document. + + d) Do one of the following: + + 0) Convey the Minimal Corresponding Source under the terms of this + License, and the Corresponding Application Code in a form + suitable for, and under terms that permit, the user to + recombine or relink the Application with a modified version of + the Linked Version to produce a modified Combined Work, in the + manner specified by section 6 of the GNU GPL for conveying + Corresponding Source. + + 1) Use a suitable shared library mechanism for linking with the + Library. A suitable mechanism is one that (a) uses at run time + a copy of the Library already present on the user's computer + system, and (b) will operate properly with a modified version + of the Library that is interface-compatible with the Linked + Version. + + e) Provide Installation Information, but only if you would otherwise + be required to provide such information under section 6 of the + GNU GPL, and only to the extent that such information is + necessary to install and execute a modified version of the + Combined Work produced by recombining or relinking the + Application with a modified version of the Linked Version. (If + you use option 4d0, the Installation Information must accompany + the Minimal Corresponding Source and Corresponding Application + Code. If you use option 4d1, you must provide the Installation + Information in the manner specified by section 6 of the GNU GPL + for conveying Corresponding Source.) + + 5. Combined Libraries. + + You may place library facilities that are a work based on the +Library side by side in a single library together with other library +facilities that are not Applications and are not covered by this +License, and convey such a combined library under terms of your +choice, if you do both of the following: + + a) Accompany the combined library with a copy of the same work based + on the Library, uncombined with any other library facilities, + conveyed under the terms of this License. + + b) Give prominent notice with the combined library that part of it + is a work based on the Library, and explaining where to find the + accompanying uncombined form of the same work. + + 6. Revised Versions of the GNU Lesser General Public License. + + The Free Software Foundation may publish revised and/or new versions +of the GNU Lesser General Public License from time to time. Such new +versions will be similar in spirit to the present version, but may +differ in detail to address new problems or concerns. + + Each version is given a distinguishing version number. If the +Library as you received it specifies that a certain numbered version +of the GNU Lesser General Public License "or any later version" +applies to it, you have the option of following the terms and +conditions either of that published version or of any later version +published by the Free Software Foundation. If the Library as you +received it does not specify a version number of the GNU Lesser +General Public License, you may choose any version of the GNU Lesser +General Public License ever published by the Free Software Foundation. + + If the Library as you received it specifies that a proxy can decide +whether future versions of the GNU Lesser General Public License shall +apply, that proxy's public statement of acceptance of any version is +permanent authorization for you to choose that version for the +Library.
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/LICENSE Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.shifted.hex =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.shifted.hex (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.shifted.hex (revision 2) @@ -0,0 +1,128 @@ +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +09 +7E +0 +0 +0 +0 +0 +0 +51 +21 +0 +0 +0 +5A +53 +41 +57 +40 +0 +0 +43 +58 +44 +45 +24 +23 +0 +0 +20 +56 +46 +54 +52 +25 +0 +0 +4E +42 +48 +47 +59 +5E +0 +0 +0 +4D +4A +55 +26 +2A +0 +0 +3C +4B +49 +4F +29 +28 +0 +0 +2C +3F +4C +3A +50 +5F +0 +0 +0 +22 +0 +7B +2B +0 +0 +0 +0 +0A +7D +0 +7C +0 +0 +0 +0 +0 +0 +0 +0 +08 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1B +0 +0 +0 +0 +0 +0 +0 +0 +0 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.shifted.hex Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/readme.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/readme.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/readme.txt (revision 2) @@ -0,0 +1,6 @@ +These are original scancodes got from Joshua Wise, + +Visit: +http://git.joshuawise.com/vterm.git/ + +For more info. \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/readme.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.unshifted.hex =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.unshifted.hex (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.unshifted.hex (revision 2) @@ -0,0 +1,128 @@ +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +09 +60 +0 +0 +0 +0 +0 +0 +71 +31 +0 +0 +0 +7A +73 +61 +77 +32 +0 +0 +63 +78 +64 +65 +34 +33 +0 +0 +20 +76 +66 +74 +72 +35 +0 +0 +6E +62 +68 +67 +79 +36 +0 +0 +0 +6D +6A +75 +37 +38 +0 +0 +2C +6B +69 +6F +30 +39 +0 +0 +2E +2F +6C +3B +70 +2D +0 +0 +0 +27 +0 +5B +3D +0 +0 +0 +0 +0A +5D +0 +5C +0 +0 +0 +0 +0 +0 +0 +0 +08 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1B +0 +0 +0 +0 +0 +0 +0 +0 +0 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/oldscan/scancodes.unshifted.hex Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.gise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.gise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.gise (revision 2) @@ -0,0 +1,37 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.gise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.xise =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.xise (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.xise (revision 2) @@ -0,0 +1,388 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/TFTLCD.xise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.v (revision 2) @@ -0,0 +1,710 @@ +`timescale 1ns / 1ps +/////////////////////////////////////////////////////////////////////////////// +// Company: EnergyLabs Brasil +// Engineer: Lucas Teske +// +// Create Date: 16:11:50 02/04/2011 +// Design Name: LVDS LCD Virtual Terminal +// Module Name: main +// Project Name: LVDS LCD Virtual Terminal +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Revision 0.02 - Circuito Funcionando, ainda com alguns bugs. +// Revision 0.03 - Reescrito descrições, código organizado +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////// +module main( + input clk, + output [2:0] dataouta_p, + output [2:0] dataouta_n, + output clkouta1_p, + output clkouta1_n, + output led1, + output led2, + output led3, + output led4, + output TxD, + input RxD, + input PS2clk, + input PS2data + ); + + +//Multiplicador de Clock (DCM) +//Tenho um clock de 16MHz na entrada, periodo 62.5ns +//Multiplico ele por 4 para obter 64MHz, a tela pecisa de 60Mhz para fazer 60Hz + ou - + +wire clo,clk4x; +DCM_SP #( + .CLKIN_PERIOD ("62.5"), + .CLKFX_MULTIPLY (4) + ) +dcm_main ( + .CLKIN (clk), + .CLKFB (clo), + .RST (1'b0), + .CLK0 (clo), + .CLKFX (clk4x) +); +defparam dcm_main.CLKIN_PERIOD = 62.5; +defparam dcm_main.CLKFX_MULTIPLY = 4; +defparam dcm_main.CLKFX_DIVIDE = 1; + +//Parametros para o tamanho da tela + +parameter ScreenX = 1024; +parameter ScreenY = 768; + +//Registradores para a cor + +reg [5:0] DataBlue = 0; +reg [5:0] DataRed = 0; +reg [5:0] DataGreen = 0; + +//Contador de colunas e linhas +//Com esses valores você pode desenhar na tela +reg [10:0] ContadorX = 0; // Contador de colunas +reg [10:0] ContadorY = 0; // Contador de linhas + +//Sincronia Horizontal e Vertical +//São sinais que atuam na descida, então padrão deles é 1 +reg HSync = 1; +reg VSync = 1; +//Ativa a gravação de dados no LCD. +//Atente-se que o data_enable é recebido pelo LCD, +//Ele atua entre uma linha e outra ou entre uma tela e outra +reg data_enable = 1; + +//Esse registrador é usado para fazer o fundo se mover. A cada vsync ele é incrementado. +//Somo esse registrador nos valores de R, G e B para rodar o fundo. +reg [5:0] Parallax = 0; + + +//Tamanho do Console Virtual e Registradores de Controle + +//Esses registradores são usados na leitura +reg [6:0] ColunaChar = 1; +reg [5:0] LinhaChar = 1; +reg LineLock = 0; +reg CharLock = 0; + +//Esses Registradores são usados na gravação +reg [6:0] ColunaW = 0; +reg [5:0] RefLine = 59; +reg [6:0] RefChar = 0; + +//Definição do tamanho do console. +//Note que são apenas wires para a detecção do fim +//das colunas e linhas. Há outras alterações além +//daqui para se aumentar o console. + +wire MaxChars = (ColunaChar == 79); +wire MaxLines = (LinhaChar == 59); + +//Limites do console virtual +wire OutOfBondary = ((ContadorY < 144) | (ContadorY >= 624) | (ContadorX >= 835) | (ContadorX <= 192)); + +reg [2:0] PixelChar = 7; +wire [7:0] CharByte; +wire [7:0] ActualChar; +reg Point = 0; + +//Operadores da RAM + +reg charwrote = 0; +reg ReadScroll = 0; +reg ReadEnable = 1; +reg [12:0] ContadorChar = 4720; // Registrador para Gravação do caracter na RAM +reg [7:0] DataWrite; +reg WriteLocked = 0; +reg WorkLocked = 0; +reg [1:0] ScreenWork = 0; +reg CharErased = 0; +reg CharWrited = 0; +reg Scrolling = 0; +wire [17:0] LogoByte; +reg [5:0] LinhaShift = 0; +reg [5:0] LinhaRead = 0; + +//Serial Write Data +reg WE; +wire [7:0] SerialByte; +wire SerialReady; +wire SerialIdle; + + +//Wires para ligar os sub-circuitos +wire [20:0] lcddata; +wire [27:0] serializerdata; +wire serial_write, TxD_busy; +wire [7:0] serial_data; +wire mod_led; + +//Transmissor Serial +async_transmitter SerialTX( + .clk(clk4x), + .TxD(TxD), + .TxD_start(serial_write), + .TxD_data(serial_data), + .TxD_busy(TxD_busy) + ); + +//Receptor Serial +async_receiver SerialRX ( + .clk(clk4x), + .RxD(RxD), + .RxD_data_ready(SerialReady), + .RxD_data(SerialByte), + .RxD_idle(SerialIdle) + ); + +//Controlador do Teclado PS2 +PS2 Keyboard ( + .clk(clk4x), + .ps2clk(PS2clk), + .ps2data(PS2data), + .write(serial_write), + .dataout(serial_data), + .mod_led(mod_led) + ); + +//Serializador LVDS + +top4_tx serializador ( + .clkint(clk4x), + .datain(serializerdata), + .rstin(1'b1), + .dataouta_p(dataouta_p), + .dataouta_n(dataouta_n), + .clkouta1_p(clkouta1_p), + .clkouta1_n(clkouta1_n) + ); + + +//ROM com Logo da EnergyLabs Brasil +LogoROM LogoROM ( + .clka(clk4x), + .ena( (((ContadorX <= 128)|(ContadorX >= (ScreenX-128))) & (ContadorY <= 128))), + .addra({ContadorY[6:1],ContadorX[6:1]}), + .douta(LogoByte)); + +//ROM com a fonte IBM PC +fontrom FONT_ROM ( + .clka(clk4x), + .addra({ContadorY[2:0],ActualChar[7:0]}), + .douta(CharByte)); + +//RAM para Buffer dos caracteres na tela +textram CharRam ( + .clka(clk4x), + .ena(WE), + .wea(WE), + .addra(ContadorChar), + .dina(DataWrite), + .clkb(clk4x), + .enb(ReadEnable | ReadScroll), + .addrb({(LinhaRead)*80+ColunaChar}), + .doutb(ActualChar)); + +//Função da recepção de dados na Serial +always @(posedge clk4x) +begin + //Trabalhos + if(WorkLocked) + begin + case(ScreenWork) + 2'b01: //BackSpace + begin + if(~CharErased) + begin + ContadorChar <= ContadorChar -1; + if(RefChar == 0) + begin + RefChar <= 79; + if(RefLine == 0) + RefLine <= 59; + else + RefLine <= RefLine - 1; + end + else + RefChar <= RefChar - 1; + DataWrite <= 0; + WE <= 1; + WorkLocked <= 1; + CharErased <= 1; + end + else + begin + DataWrite <='h00; + WE <= 0; + WorkLocked <= 0; + CharErased <= 0; + end + end + 2'b10: //ClearScreen + begin + if(ContadorChar != 4799) + begin + DataWrite <= 'h00; + WE <= 1; + ContadorChar <= ContadorChar +1; + if(RefChar == 79) + begin + RefChar <= 0; + if(RefLine == 59) + RefLine <= 0; + else + RefLine <= RefLine + 1; + end + else + RefChar <= RefChar + 1; + end + else + begin + WE <= 0; + ContadorChar <= 4720; + WorkLocked <= 0; + RefChar <= 0; + RefLine <= 59; + LinhaShift <= 0; + end + end + 2'b11: //Scroll Screen UP + begin + if(Scrolling == 0) + begin + Scrolling <= 1; + WE <= 0; + if(LinhaShift == 59) + ContadorChar <= 0; + else + ContadorChar <= (LinhaShift+1) * 80; // Ponto de gravação + ReadScroll <= 0; + ColunaW <= 0; + if(LinhaShift == 59) + LinhaShift <= 0; + else + LinhaShift <= LinhaShift + 1; + end + else + begin + if(charwrote) + begin + //Ciclo de Posicionamento + charwrote <= 0; + WE <= 0; + if(ColunaW == 79) + begin + Scrolling <= 0; + WorkLocked <= 0; + RefLine <= 59; + RefChar <= 0; + ColunaW <= 0; + ContadorChar <= LinhaShift * 80; // Ponto de gravação + end + else + begin + ColunaW <= ColunaW + 1; + ContadorChar <= ContadorChar + 1; + end + end + else + begin + //Ciclo de Gravação + charwrote <= 1; + DataWrite <= 0; + WE <= 1; + end + end +/* + Aqui é a rotina antiga de shift do conteúdo da tela + Ele reescrevia todas as linhas no endereço anterior da atual + Muito lento, por isso refiz apenas com um registrador de deslocamento + if(Scrolling == 0) + begin + Scrolling <= 1; + LinhaW <= 1; + ColunaW <= 0; + ContadorChar <= 0; + charwrote <= 0; + end + else + begin + if(charwrote) + begin //Ciclo de seleção + charwrote <= 0; + charread <= 0; + WE <= 0; + ReadScroll <= 1; + if(ColunaW == 79) + begin + ColunaW <= 0; + if(LinhaW == 60) + begin + Scrolling <= 0; + LinhaW <= 0; + WorkLocked <=0; + RefLine <= 59; + RefChar <= 0; + ContadorChar <= 4720; // 59 * 80 = 4720 + end + else + begin + ContadorChar <= LinhaW * 80; + LinhaW <= LinhaW +1; + end + end + else + begin + ContadorChar <= ContadorChar +2; + ColunaW <= ColunaW + 1; + end + end + else + begin //Ciclo de Gravação + if(charread) + begin + WE <= 1; + charwrote <= 1; + ReadScroll <= 0; + charread <= 0; + end + else + begin + DataWrite <= ActualChar; + charread <= 1; + ContadorChar <= ContadorChar -1; + end + + + end + end + */ + end + endcase + end + if(SerialReady & ~WriteLocked & ~WorkLocked) + begin + WriteLocked <= 1; + //Checagem de BYTE recebido + //Aqui há um pequeno problema, o Windows envia \r\n e o Linux apenas \n. + //Não deveria dar problema, o maximo que iria acontecer é pular duas linhas. + //Mas não funciona. Para o Windows, comente todas as linhas no Carriage Return + + case (SerialByte) + 'h0A: // Nova linha \n + begin + WorkLocked <= 1; + ScreenWork <= 2'b11; + end + 'h08: // BackSpace + begin + WorkLocked <= 1; + ScreenWork <= 1; //BackSpace + end + 'h09: //Horizontal Tab, acertado pra 5 espaços. + begin + //Não dar Tab se a linha não tiver menos do que 5 caracteres disponíveis no caso, 75 ocupados. + if( ((RefLine * 80) - ContadorChar) < 74) + begin + ContadorChar <= ContadorChar + 5; + RefChar <= RefChar + 5; + end + + end + 'h0D: // Carriage Return, retornar para coluna 1, \r + begin + ContadorChar <= RefLine * 80; + RefChar <= 0; + end + + 'h0C: //Frame Feed - Apagar a tela e retornar ao caracter 0x0 da tela. + begin + WorkLocked <=1; + ScreenWork <= 2; //Erase Screen + ContadorChar <= 0; + end + default: //Caso não seja nenhum dos listados acima + begin + DataWrite <= SerialByte; + WE <= 1; + CharWrited <=1; + end + endcase + end + + if(CharWrited) + begin + //Caso o caractere já tenha sido gravado na RAM + //Aqui ele posicionará o gravador um caracter + //adiante. Ele também irá atualizar RefLine e RefChar + if( (ContadorChar == 4799) | (RefChar == 79) ) + begin + WorkLocked <= 1; + ScreenWork <= 2'b11; + if(RefChar == 79) + begin + RefChar <= 0; + if(RefLine == 59) + RefLine <= 0; + else + RefLine <= RefLine + 1; + end + end + else + begin + ContadorChar <= ContadorChar + 1; + RefChar <= RefChar + 1; + end + CharWrited <= 0; + WE <= 0; + end + + if(SerialIdle) + begin + WriteLocked <= 0; + if(~WorkLocked) + WE <= 0; + end +end + +//Ciclo de Imagem +always @(posedge clk4x) +begin + //Como a RAM de Buffer só escreve ou lê, mas nunca os dois ao mesmo tempo, + //Definimos aqui, que caso esteja fazendo algum trabalho, na memoria + //O ReadEnable será 0, caso não, será o valor do data_enable + if(WorkLocked != 1) + ReadEnable <= data_enable; + else + ReadEnable <= 0; + + //Detectamos aqui se estamos dentro da área do console + //Se não estamos trabalhando e apagando a tela + if(~OutOfBondary & ~((ScreenWork == 2'b11) & (WorkLocked == 1)) ) + begin + if((ContadorX[2:0] == 0) & ~CharLock) + begin + CharLock <= 1; + if(MaxChars) + ColunaChar <= 0; + else + ColunaChar <= ColunaChar +1; + end + if(ContadorX[2:0] != 0) + CharLock <= 0; + + if(ContadorY[2:0] == 0 & ~LineLock) + begin + LineLock <= 1; + if(MaxLines) + begin + LinhaChar <=0; + LinhaRead <= LinhaShift; + end + else + begin + LinhaChar <= LinhaChar +1; + if((LinhaShift+LinhaChar+1) >= 60) + LinhaRead <= (LinhaShift+LinhaChar+1)-59; + else + LinhaRead <= LinhaShift+LinhaChar+1; + end + end + + if(ContadorY[2:0] != 0) + LineLock <= 0; + + if(ContadorX[2:0] == 2) + begin + PixelChar <= 7; + Point <= CharByte[PixelChar]; + end + else + begin + PixelChar <= PixelChar -1; + Point <= CharByte[PixelChar]; + end + if(Point) + begin + DataBlue <= 6'b111111; + DataGreen <= 6'b111111; + DataRed <= 6'b111111; + end + else + begin + DataRed <= 6'b000000; + DataBlue <= 6'b000000; + DataGreen <= 6'b000000; + end + end + else + begin + if(~((ScreenWork == 2'b11) & (WorkLocked == 1))) + begin + if((ContadorX >= 835) | (ContadorX <= 190)) + begin + CharLock <= 1; + ColunaChar <= 0; + end + if((ContadorY < 144) | (ContadorY >= 624)) + begin + LinhaChar <= 0; + if(LinhaShift == 59) + LinhaRead <= 0; + else + LinhaRead <= LinhaShift+1; + LineLock <= 1; + end + DataBlue <= 0; + DataGreen <= 0; + DataRed <= 0; + end + else + begin + LinhaChar <= 0; + LinhaRead <= 0; + ColunaChar <= 0; + end + end + + if(((ContadorX < 128) | (ContadorX > (ScreenX-128))) & (ContadorY < 128) & ~( ( ( (LogoByte[17:12] <= 4) | (LogoByte[5:0] <= 4) ) ) & (LogoByte[11:6] == 63))) + begin + DataRed <= LogoByte[17:12]; + DataGreen <= LogoByte[11:6]; + DataBlue <= LogoByte[5:0]; + end + else + begin + + if((ContadorY < 140) | (ContadorX > 835) | (ContadorX < 190) | (ContadorY > 623) ) + begin + DataRed <= ( ( (ContadorY[5:0]+Parallax) ^ (ContadorX[5:0]+Parallax) ) * 2 ); + DataBlue <= ( ( (ContadorY[5:0]+Parallax) ^ (ContadorX[5:0]+Parallax) ) * 3 ); + DataGreen <= ( ( (ContadorY[5:0]+Parallax) ^ (ContadorX[5:0]+Parallax) ) * 4 ); + end + if( ( (ContadorY == 140) & (ContadorX >= 191) & (ContadorX <= 623) ) | ( (ContadorY == 623) & (ContadorX >= 191) & (ContadorX <= 623) ) | ( (ContadorX == 835) & (ContadorY >= 140) & (ContadorY <= 623) ) | ( (ContadorX == 191) & (ContadorY >= 140) & (ContadorY <= 623) ) ) + begin + DataBlue <= 6'b111111; + DataGreen <= 6'b111111; + DataRed <= 6'b111111; + end + if( (ContadorY >= 141) & (ContadorY <= 143) & (ContadorX >= 191) & (ContadorX <= 623) ) + begin + DataBlue <= 6'b000000; + DataGreen <= 6'b000000; + DataRed <= 6'b000000; + end + end + + //Sync Generator + + ContadorX <= ContadorX + 1; + + if((ContadorX == 0) & (ContadorY < ScreenY)) + data_enable <= 1; + + if(ContadorX == ScreenX) + begin + data_enable <= 0; + DataBlue <= 0; + DataRed <= 0; + DataGreen <= 0; + HSync <= 0; + end + + if(ContadorX == (ScreenX+280)) + HSync <= 1; + + if(ContadorX == (ScreenX+300)) + begin + if(ContadorY == ScreenY) + begin + VSync <= 0; + data_enable <= 0; + end + if(ContadorY == (ScreenY+35)) + begin + VSync <= 1; + Parallax <= Parallax - 1; + ContadorY <= 0; + ContadorX <= 0; + end + else + ContadorY <= ContadorY +1; + end + + if(ContadorX == (ScreenX+320)) + ContadorX <= 0; +end + + + +//Designações de pinos e leds. +assign DE = data_enable; +assign led1 = mod_led; +assign led2 = SerialReady; +assign led3 = ~PS2clk; +assign led4 = WorkLocked; + + +//Aqui fiz um pequeno jogo. O XAPP486 da Xilinx envia os dados assim: +// 0, 4, 8, 12, 16, 20, 24 - Canal 0 +// 1, 5, 9, 13, 17, 21, 25 - Canal 1 +// 2, 6, 10, 14, 18, 22, 26 - Canal 2 +// 3, 7, 11, 15, 19, 23, 27 - Canal 3 +// +//Porém, o LCD precisa deles assim: +// 6, 5, 4, 3, 2, 1, 0 - Canal 0 +// 13, 12, 12, 10, 9, 8, 7 - Canal 1 +// 20, 19, 18, 17, 16, 15, 14 - Canal 2 +// X, X, X, X, X, X, X - Canal 3 +// Nota: X <= Irrelevante +//Então fiz aqui uma associação da maneira que eu precisava. + +assign serializerdata[0] = lcddata[6]; // +assign serializerdata[4] = lcddata[5]; // +assign serializerdata[8] = lcddata[4]; // +assign serializerdata[12] = lcddata[3]; // Canal 0 +assign serializerdata[16] = lcddata[2]; // +assign serializerdata[20] = lcddata[1]; // +assign serializerdata[24] = lcddata[0]; // + +assign serializerdata[1] = lcddata[13]; // +assign serializerdata[5] = lcddata[12]; // +assign serializerdata[9] = lcddata[11]; // +assign serializerdata[13] = lcddata[10]; // Canal 1 +assign serializerdata[17] = lcddata[9]; // +assign serializerdata[21] = lcddata[8]; // +assign serializerdata[25] = lcddata[7]; // + +assign serializerdata[2] = lcddata[20]; // +assign serializerdata[6] = lcddata[19]; // +assign serializerdata[10] = lcddata[18]; // +assign serializerdata[14] = lcddata[17]; // Canal 3 +assign serializerdata[18] = lcddata[16]; // +assign serializerdata[22] = lcddata[15]; // +assign serializerdata[26] = lcddata[14]; // + +assign serializerdata[3] = 1'b0; // +assign serializerdata[7] = 1'b0; // +assign serializerdata[11] = 1'b0; // +assign serializerdata[15] = 1'b0; // Canal 4 - Porém irrelevante +assign serializerdata[19] = 1'b0; // +assign serializerdata[23] = 1'b0; // +assign serializerdata[27] = 1'b0; // + +// A ordem destes bits no LCD é: Data Enable, Sincronia Vertical, Sincronia Horizontal +assign lcddata [20:18] = { DE , VSync, HSync}; + +//A ordem de cores no LCD é: AZUL, VERDE, VERMELHO +assign lcddata [17:0] = {DataBlue, DataGreen, DataRed}; + +endmodule
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/main.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/PS2.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/PS2.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/PS2.v (revision 2) @@ -0,0 +1,132 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: Original Design by Joshua Wise +// +// http://joshuawise.com/ +// http://git.joshuawise.com/vterm.git/ +// Create Date: 21:54:03 02/16/2011 +// Design Name: +// Module Name: PS2 +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - Got Joshua Wise PS2 Controller Code +// Revision 0.02 - Modified to ABNT2 Keyboard +// Revision 0.03 - Correct a few bugs +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +module PS2( + input clk, + input ps2clk, + input ps2data, + output write, + output [7:0] dataout, + output mod_led + ); + reg [3:0] bitcount = 0; + reg [7:0] key = 0; + reg keyarrow = 0, keyup = 0, parity = 0; + reg wr = 0; + reg [7:0] data = 0; + /* Clock debouncing */ + reg lastinclk = 0; + reg [6:0] debounce = 0; + reg fixedclk = 0; + reg [11:0] resetcountdown = 0; + + reg [6:0] unshiftedrom [127:0]; initial $readmemh("MemoryInit/scancodes_abnt2mi.list", unshiftedrom); + reg [6:0] shiftedrom [127:0]; initial $readmemh("MemoryInit/scancodes_abnt2ma.list", shiftedrom); + + reg mod_lshift = 0; + reg mod_rshift = 0; + reg mod_capslock = 0; + wire mod_shifted = (mod_lshift | mod_rshift) ^ mod_capslock; + + reg nd = 0; + reg lastnd = 0; + + always @(posedge clk) begin + if (ps2clk != lastinclk) begin + lastinclk <= ps2clk; + debounce <= 1; + resetcountdown <= 12'b111111111111; + end else if (debounce == 0) begin + fixedclk <= ps2clk; + resetcountdown <= resetcountdown - 1; + end else + debounce <= debounce + 1; + + if (nd ^ lastnd) begin + lastnd <= nd; + wr <= 1; + end else + wr <= 0; + end + + always @(negedge fixedclk) begin + if (resetcountdown == 0) + bitcount <= 0; + else if (bitcount == 10) begin + bitcount <= 0; + if(parity != (^ key)) begin + if(keyarrow) begin + casex(key) + 8'hF0: keyup <= 1; + 8'hxx: keyarrow <= 0; + endcase + end + else begin + if(keyup) begin + keyup <= 0; + keyarrow <= 0; + casex (key) + 8'h12: mod_lshift <= 0; + 8'h59: mod_rshift <= 0; + endcase + // handle this? I don't fucking know + end + else begin + casex(key) + 8'hE0: keyarrow <= 1; // handle these? I don't fucking know + 8'hF0: keyup <= 1; + 8'h12: mod_lshift <= 1; + 8'h59: mod_rshift <= 1; + 8'h58: mod_capslock <= ~mod_capslock; + 8'b0xxxxxxx: begin nd <= ~nd; data <= mod_shifted ? shiftedrom[key] : unshiftedrom[key]; end + //8'b0xxxxxxx: begin nd <= ~nd; data <= key; end // Use isso para mostrar os ScanCodes + 8'b1xxxxxxx: begin /* Nada */ end + endcase + end + end + end + else begin + keyarrow <= 0; + keyup <= 0; + end + end else + bitcount <= bitcount + 1; + + case(bitcount) + 1: key[0] <= ps2data; + 2: key[1] <= ps2data; + 3: key[2] <= ps2data; + 4: key[3] <= ps2data; + 5: key[4] <= ps2data; + 6: key[5] <= ps2data; + 7: key[6] <= ps2data; + 8: key[7] <= ps2data; + 9: parity <= ps2data; + endcase + end + + assign write = wr; + assign dataout = data; + assign mod_led = mod_shifted; +endmodule
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/PS2.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_rx.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_rx.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_rx.v (revision 2) @@ -0,0 +1,92 @@ +// RS-232 RX module +// (c) fpga4fun.com KNJN LLC - 2003, 2004, 2005, 2006 + +module async_receiver(clk, RxD, RxD_data_ready, RxD_data, RxD_endofpacket, RxD_idle); +input clk, RxD; +output RxD_data_ready; // onc clock pulse when RxD_data is valid +output [7:0] RxD_data; + +parameter ClkFrequency = 64000000; // 64MHz +parameter Baud = 115200; + +// We also detect if a gap occurs in the received stream of characters +// That can be useful if multiple characters are sent in burst +// so that multiple characters can be treated as a "packet" +output RxD_endofpacket; // one clock pulse, when no more data is received (RxD_idle is going high) +output RxD_idle; // no data is being received + +// Baud generator (we use 8 times oversampling) +parameter Baud8 = Baud*8; +parameter Baud8GeneratorAccWidth = 16; +wire [Baud8GeneratorAccWidth:0] Baud8GeneratorInc = ((Baud8<<(Baud8GeneratorAccWidth-7))+(ClkFrequency>>8))/(ClkFrequency>>7); +reg [Baud8GeneratorAccWidth:0] Baud8GeneratorAcc; +always @(posedge clk) Baud8GeneratorAcc <= Baud8GeneratorAcc[Baud8GeneratorAccWidth-1:0] + Baud8GeneratorInc; +wire Baud8Tick = Baud8GeneratorAcc[Baud8GeneratorAccWidth]; + +//////////////////////////// +reg [1:0] RxD_sync_inv; +always @(posedge clk) if(Baud8Tick) RxD_sync_inv <= {RxD_sync_inv[0], ~RxD}; +// we invert RxD, so that the idle becomes "0", to prevent a phantom character to be received at startup + +reg [1:0] RxD_cnt_inv; +reg RxD_bit_inv; + +always @(posedge clk) +if(Baud8Tick) +begin + if( RxD_sync_inv[1] && RxD_cnt_inv!=2'b11) RxD_cnt_inv <= RxD_cnt_inv + 2'h1; + else + if(~RxD_sync_inv[1] && RxD_cnt_inv!=2'b00) RxD_cnt_inv <= RxD_cnt_inv - 2'h1; + + if(RxD_cnt_inv==2'b00) RxD_bit_inv <= 1'b0; + else + if(RxD_cnt_inv==2'b11) RxD_bit_inv <= 1'b1; +end + +reg [3:0] state; +reg [3:0] bit_spacing; + +// "next_bit" controls when the data sampling occurs +// depending on how noisy the RxD is, different values might work better +// with a clean connection, values from 8 to 11 work +wire next_bit = (bit_spacing==4'd10); + +always @(posedge clk) +if(state==0) + bit_spacing <= 4'b0000; +else +if(Baud8Tick) + bit_spacing <= {bit_spacing[2:0] + 4'b0001} | {bit_spacing[3], 3'b000}; + +always @(posedge clk) +if(Baud8Tick) +case(state) + 4'b0000: if(RxD_bit_inv) state <= 4'b1000; // start bit found? + 4'b1000: if(next_bit) state <= 4'b1001; // bit 0 + 4'b1001: if(next_bit) state <= 4'b1010; // bit 1 + 4'b1010: if(next_bit) state <= 4'b1011; // bit 2 + 4'b1011: if(next_bit) state <= 4'b1100; // bit 3 + 4'b1100: if(next_bit) state <= 4'b1101; // bit 4 + 4'b1101: if(next_bit) state <= 4'b1110; // bit 5 + 4'b1110: if(next_bit) state <= 4'b1111; // bit 6 + 4'b1111: if(next_bit) state <= 4'b0001; // bit 7 + 4'b0001: if(next_bit) state <= 4'b0000; // stop bit + default: state <= 4'b0000; +endcase + +reg [7:0] RxD_data; +always @(posedge clk) +if(Baud8Tick && next_bit && state[3]) RxD_data <= {~RxD_bit_inv, RxD_data[7:1]}; + +reg RxD_data_ready; +always @(posedge clk) +begin + RxD_data_ready <= (Baud8Tick && next_bit && state==4'b0001 && ~RxD_bit_inv); // ready only if the stop bit is received +end + +reg [4:0] gap_count; +always @(posedge clk) if (state!=0) gap_count<=5'h00; else if(Baud8Tick & ~gap_count[4]) gap_count <= gap_count + 5'h01; +assign RxD_idle = gap_count[4]; +reg RxD_endofpacket; always @(posedge clk) RxD_endofpacket <= Baud8Tick & (gap_count==5'h0F); + +endmodule \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_rx.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/top4_tx.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/top4_tx.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/top4_tx.v (revision 2) @@ -0,0 +1,164 @@ +////////////////////////////////////////////////////////////////////////////// +// +// Xilinx, Inc. 2006 www.xilinx.com +// +// XAPP 486 - 7:1 LVDS in Spartan3E Devices +// +////////////////////////////////////////////////////////////////////////////// +// +// File name : top4_tx.v +// +// Description : Example top level module for using a 4-bit transmitter in Spartan 3E +// +// Date - revision : October 16th 2006 - v 1.4 +// +// Version 1.4 : Brings the DDR registers to the top level and no +// longer uses 'C0' alignment +// +// Author : NJS +// +// Disclaimer: LIMITED WARRANTY AND DISCLAMER. These designs are +// provided to you "as is". Xilinx and its licensors make and you +// receive no warranties or conditions, express, implied, +// statutory or otherwise, and Xilinx specifically disclaims any +// implied warranties of merchantability, non-infringement,or +// fitness for a particular purpose. Xilinx does not warrant that +// the functions contained in these designs will meet your +// requirements, or that the operation of these designs will be +// uninterrupted or error free, or that defects in the Designs +// will be corrected. Furthermore, Xilinx does not warrantor +// make any representations regarding use or the results of the +// use of the designs in terms of correctness, accuracy, +// reliability, or otherwise. +// +// LIMITATION OF LIABILITY. In no event will Xilinx or its +// licensors be liable for any loss of data, lost profits,cost +// or procurement of substitute goods or services, or for any +// special, incidental, consequential, or indirect damages +// arising from the use or operation of the designs or +// accompanying documentation, however caused and on any theory +// of liability. This limitation will apply even if Xilinx +// has been advised of the possibility of such damage. This +// limitation shall apply not-withstanding the failure of the +// essential purpose of any limited remedies herein. +// +// Copyright © 2006 Xilinx, Inc. +// All rights reserved +// +////////////////////////////////////////////////////////////////////////////// +// +`timescale 1 ps / 1ps + +module top4_tx( +input clkint, // clock in +input [27:0] datain, // 28 bit data in +input rstin, // reset (active low) +output [3:0] dataouta_p, dataouta_n, // lvds data outputs +output clkouta1_p, clkouta1_n) ; // lvds clock output + +wire low ; // logic 1'b0 +wire high ; // logic 1'b1 +wire rst ; // reset wire +wire inclk ; // +wire inclknot ; // +wire clk ; // main clock from DCM +wire clknot ; // inverted main clock from DCM +wire clkdcm ; // clock from dcm +wire clkx3p5 ; // 3.5x clock for transmitter +wire clkx3p5dcm ; // 3.5x clock from dcm +wire clkx3p5notdcm ; // not 3.5x clock from dcm +wire [7:0] outdata ; // output data lines +wire clkoutint ; // forwarded output clock +wire [1:0] oclkinta ; // +wire clkoutaint ; // forwarded output clock from macro 3:4 or 4:3 duty cycle +wire clkoutbint ; // forwarded output clock using DCM clk0 - 50% output duty cycle +wire clkoutcint ; // forwarded output clock just using BUFG - output duty cycle = input duty cycle +wire clkoutdint ; // output clock being used to monitor CLKFX and CLKFX180 +wire clk_lckd ; // clock locked +wire not_clk_lckd ; // not clock locked +reg [27:0] txdata = 0 ; // data for transmission +wire clkx3p5not ; // inverted 3.5x clock +wire rst_clk ; // reset syncced to main clock +wire [7:0] tx_output_fix ; +wire [3:0] tx_output_reg ; + +parameter [3:0] TX_SWAP_MASK = 4'b0000 ; // pinswap mask for 4 output bits (0 = no swap (default), 1 = swap) + +assign low = 1'b0 ; +assign high = 1'b1 ; +assign rst = ~rstin ; // reset is active low +assign clknot = ~clk ; +assign inclknot = ~inclk ; + +DCM_SP #(.CLKIN_PERIOD ("15.625"), + .DESKEW_ADJUST ("0"), + .CLKFX_MULTIPLY (7), + .CLKFX_DIVIDE (2)) +dcm_clk ( + .CLKIN (clkint), + .CLKFB (clk), + .DSSEN (low), + .PSINCDEC (low), + .PSEN (low), + .PSCLK (low), + .RST (rst), + .CLK0 (clkdcm), + .CLK90 (clkdx), + .CLKFX (clkx3p5dcm), + .CLKFX180 (clkx3p5notdcm), + .LOCKED (clk_lckd), + .PSDONE (), + .STATUS ()) ; +wire clkdxnot; +assign clkdxnot = ~clkdx ; + +BUFG inclk_bufg (.I(clkint), .O(inclk) ) ; +BUFG clk_bufg (.I(clkdcm), .O(clk) ) ; +BUFG clkx3p5_bufg (.I(clkx3p5dcm), .O(clkx3p5) ) ; +BUFG clkx3p5not_bufg (.I(clkx3p5notdcm), .O(clkx3p5not) ) ; + +genvar i ; +generate +for (i = 0 ; i <= 3 ; i = i + 1) +begin : loop0 +OBUFDS #(.IOSTANDARD("LVDS_33")) +obuf_d (.I(tx_output_reg[i]), .O(dataouta_p[i]), .OB(dataouta_n[i])); +ODDR2 #(.DDR_ALIGNMENT("NONE")) fd_ioc (.C0(clkx3p5), .C1(clkx3p5not), .D0(tx_output_fix[i+4]), .D1(tx_output_fix[i]), .CE(1'b1), .R(1'b0), .S(1'b0), .Q(tx_output_reg[i])) ; +assign tx_output_fix[i] = outdata[i] ^ TX_SWAP_MASK[i] ; +assign tx_output_fix[i+4] = outdata[i+4] ^ TX_SWAP_MASK[i] ; +end +endgenerate + +ODDR2 #(.DDR_ALIGNMENT("NONE")) ca_ddr_reg (.C0(clkx3p5), .C1(clkx3p5not), .D0(oclkinta[1]), .D1(oclkinta[0]), .CE(1'b1), .R(1'b0), .S(1'b0), .Q(clkoutaint)) ; + +assign clkoutint = clkoutaint ; // use this line for 3:4 or 4:3 macro generated forwarded clock + +OBUFDS #(.IOSTANDARD("LVDS_33")) lvds_clka_obuf (.I(clkoutint), .O(clkouta1_p), .OB(clkouta1_n) ); + +serdes_4b_7to1_wrapper tx0( + .clk (clk), + .datain (txdata), + .rst (rst_clk), + .clkx3p5 (clkx3p5), + .clkx3p5not (clkx3p5not), + .dataout (outdata), + .clkout (oclkinta)); // clock output + +always @ (posedge clk or posedge rst_clk) +begin +if (rst_clk == 1'b1) begin + txdata <= 28'b0000000000000000000000000000 ; +end +else begin + txdata <= datain ; +end +end + +assign not_clk_lckd = ~clk_lckd ; + +// generate a registered reset wire for the tx clock +FDP fd_rst_clk (.D(not_clk_lckd), .C(clk), .PRE(rst), .Q(rst_clk)) ; + +endmodule + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/top4_tx.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1.v (revision 2) @@ -0,0 +1,358 @@ +////////////////////////////////////////////////////////////////////////////// +// +// Xilinx, Inc. 2006 www.xilinx.com +// +// XAPP 486 - 7:1 LVDS in Spartan3E Devices +// +////////////////////////////////////////////////////////////////////////////// +// +// File name : serdes_4b_7to1.v +// +// Description : generic 4-bit 7:1 serdes for Spartan 3E, now using ODDR2 with ALIGNMENT = NONE +// data is transmitted LSBs first +// 0, 4, 8, 12, 16, 20, 24 +// 1, 5, 9, 13, 17, 21, 25 +// 2, 6, 10, 14, 18, 22, 26 +// 3, 7, 11, 15, 19, 23, 27 +// +// Date - revision : October 16th 2006 - v 1.4 +// +// Version 1.4 : Brings the DDR registers to the top level and no +// longer uses 'C0' alignment +// +// Author : NJS +// +// Disclaimer: LIMITED WARRANTY AND DISCLAMER. These designs are +// provided to you "as is". Xilinx and its licensors make and you +// receive no warranties or conditions, express, implied, +// statutory or otherwise, and Xilinx specifically disclaims any +// implied warranties of merchantability, non-infringement,or +// fitness for a particular purpose. Xilinx does not warrant that +// the functions contained in these designs will meet your +// requirements, or that the operation of these designs will be +// uninterrupted or error free, or that defects in the Designs +// will be corrected. Furthermore, Xilinx does not warrantor +// make any representations regarding use or the results of the +// use of the designs in terms of correctness, accuracy, +// reliability, or otherwise. +// +// LIMITATION OF LIABILITY. In no event will Xilinx or its +// licensors be liable for any loss of data, lost profits,cost +// or procurement of substitute goods or services, or for any +// special, incidental, consequential, or indirect damages +// arising from the use or operation of the designs or +// accompanying documentation, however caused and on any theory +// of liability. This limitation will apply even if Xilinx +// has been advised of the possibility of such damage. This +// limitation shall apply not-withstanding the failure of the +// essential purpose of any limited remedies herein. +// +// Copyright © 2006 Xilinx, Inc. +// All rights reserved +// +////////////////////////////////////////////////////////////////////////////// +// +`timescale 1 ps / 1ps + +module serdes_4b_7to1 ( +input clk, // clock +input clkx3p5, // 3.5 times clock +input clkx3p5not, // not 3.5 times clock +input [27:0] datain, // input data +input rst, // reset +output [7:0] dataout, // output data +output [1:0] clkout) ; // output clock (1x) + +wire clkd2 ; +wire clkd2d_a ; +wire clkd2d_b ; +wire xra ; +wire xrareg ; +wire notclk3p5d2 ; +wire clk3p5d2a ; +wire clk3p5d2b ; +wire ce0 ; +wire ce1 ; +wire ce0_d ; +wire ce1_d ; +reg [2:0] phase_bna ; +reg [2:0] phase_bnb ; +wire [2:0] pba ; +wire [2:0] pbb ; +wire [2:1] pbad ; +wire [2:2] pbadd ; +wire [6:0] mux_ap_d ; +wire [5:0] mux_ap ; +wire [6:0] mux_bp_d ; +wire [5:0] mux_bp ; +wire [6:0] mux_cp_d ; +wire [5:0] mux_cp ; +wire [6:0] mux_dp_d ; +wire [5:0] mux_dp ; +wire [6:0] mux_an_d ; +wire [5:0] mux_an ; +wire [6:0] mux_bn_d ; +wire [5:0] mux_bn ; +wire [6:0] mux_cn_d ; +wire [5:0] mux_cn ; +wire [6:0] mux_dn_d ; +wire [5:0] mux_dn ; +wire [3:0] mux_p ; +wire [27:0] db ; +reg [27:0] dataint ; +wire [27:20] datainr ; +wire clkcn ; +wire clkcp_d ; +wire clkcn_d ; + +parameter xa = "12" ; // Use this set of 4 parameters for macro either side of block RAM +parameter xb = "13" ; +parameter xc = "14" ; +parameter xd = "15" ; + +//parameter xa = "4" ; // Use this set of 4 parameters for contiguous macro +//parameter xb = "5" ; +//parameter xc = "6" ; +//parameter xd = "7" ; + +always @ (datain) // this is to get around an issue that appeared + dataint <= #100 datain ; // in simulation with MTI 6.0 + +assign mux_an_d[0] = (db[0] & ~pba[0]) | (db[8] & pba[0]) ; +assign mux_an_d[1] = (db[16] & ~pba[0]) | (db[24] & pba[0]) ; +assign mux_an_d[2] = (db[4] & ~pbb[0]) | (db[12] & pbb[0]) ; +assign mux_an_d[3] = db[20] ; +assign mux_an_d[4] = (mux_an[0] & ~pbad[1]) | (mux_an[1] & pbad[1]) ; +assign mux_an_d[5] = (mux_an[2] & ~pbad[1]) | (mux_an[3] & pbad[1]) ; +assign mux_an_d[6] = (mux_an[4] & ~pbadd[2]) | (mux_an[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y0"} *) FD muxan0 (.D(mux_an_d[0]), .C(clkx3p5), .Q(mux_an[0])) ; +(* RLOC = {"x",xa,"y0"} *) FD muxan1 (.D(mux_an_d[1]), .C(clkx3p5), .Q(mux_an[1])) ; +(* RLOC = "x3y0" *) FD muxan2 (.D(mux_an_d[2]), .C(clkx3p5), .Q(mux_an[2])) ; +(* RLOC = "x3y0" *) FD muxan3 (.D(mux_an_d[3]), .C(clkx3p5), .Q(mux_an[3])) ; +(* RLOC = {"x",xc,"y1"} *) FD muxan4 (.D(mux_an_d[4]), .C(clkx3p5), .Q(mux_an[4])) ; +(* RLOC = {"x",xc,"y1"} *) FD muxan5 (.D(mux_an_d[5]), .C(clkx3p5), .Q(mux_an[5])) ; +(* RLOC = {"x",xc,"y2"} *) FD muxan6 (.D(mux_an_d[6]), .C(clkx3p5), .Q(dataout[4])) ; + +assign mux_ap_d[0] = (db[4] & ~pba[0]) | (db[12] & pba[0]) ; +assign mux_ap_d[1] = (db[20] & ~pba[0]) | (db[0] & pba[0]) ; +assign mux_ap_d[2] = (db[8] & ~pbb[0]) | (db[16] & pbb[0]) ; +assign mux_ap_d[3] = db[24] ; +assign mux_ap_d[4] = (mux_ap[0] & ~pbad[1]) | (mux_ap[1] & pbad[1]) ; +assign mux_ap_d[5] = (mux_ap[2] & ~pbad[1]) | (mux_ap[3] & pbad[1]) ; +assign mux_ap_d[6] = (mux_ap[4] & ~pbadd[2]) | (mux_ap[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y1"} *) FD muxap0 (.D(mux_ap_d[0]), .C(clkx3p5), .Q(mux_ap[0])) ; +(* RLOC = {"x",xa,"y1"} *) FD muxap1 (.D(mux_ap_d[1]), .C(clkx3p5), .Q(mux_ap[1])) ; +(* RLOC = "x3y1" *) FD muxap2 (.D(mux_ap_d[2]), .C(clkx3p5), .Q(mux_ap[2])) ; +(* RLOC = "x3y1" *) FD muxap3 (.D(mux_ap_d[3]), .C(clkx3p5), .Q(mux_ap[3])) ; +(* RLOC = {"x",xc,"y0"} *) FD muxap4 (.D(mux_ap_d[4]), .C(clkx3p5), .Q(mux_ap[4])) ; +(* RLOC = {"x",xc,"y0"} *) FD muxap5 (.D(mux_ap_d[5]), .C(clkx3p5), .Q(mux_ap[5])) ; +(* RLOC = {"x",xd,"y0"}, BEL = "FFY" *) FD muxap6 (.D(mux_ap_d[6]), .C(clkx3p5), .Q(mux_p[0])) ; + +assign mux_bn_d[0] = (db[1] & ~pbb[0]) | (db[9] & pbb[0]) ; +assign mux_bn_d[1] = (db[17] & ~pbb[0]) | (db[25] & pbb[0]) ; +assign mux_bn_d[2] = (db[5] & ~pbb[0]) | (db[13] & pbb[0]) ; +assign mux_bn_d[3] = db[21] ; +assign mux_bn_d[4] = (mux_bn[0] & ~pbad[1]) | (mux_bn[1] & pbad[1]) ; +assign mux_bn_d[5] = (mux_bn[2] & ~pbad[1]) | (mux_bn[3] & pbad[1]) ; +assign mux_bn_d[6] = (mux_bn[4] & ~pbadd[2]) | (mux_bn[5] & pbadd[2]) ; + +(* RLOC = "x2y2" *) FD muxbn0 (.D(mux_bn_d[0]), .C(clkx3p5), .Q(mux_bn[0])) ; +(* RLOC = "x2y2" *) FD muxbn1 (.D(mux_bn_d[1]), .C(clkx3p5), .Q(mux_bn[1])) ; +(* RLOC = "x3y2" *) FD muxbn2 (.D(mux_bn_d[2]), .C(clkx3p5), .Q(mux_bn[2])) ; +(* RLOC = "x3y2" *) FD muxbn3 (.D(mux_bn_d[3]), .C(clkx3p5), .Q(mux_bn[3])) ; +(* RLOC = {"x",xd,"y3"} *) FD muxbn4 (.D(mux_bn_d[4]), .C(clkx3p5), .Q(mux_bn[4])) ; +(* RLOC = {"x",xd,"y3"} *) FD muxbn5 (.D(mux_bn_d[5]), .C(clkx3p5), .Q(mux_bn[5])) ; +(* RLOC = {"x",xc,"y2"} *) FD muxbn6 (.D(mux_bn_d[6]), .C(clkx3p5), .Q(dataout[5])) ; + +assign mux_bp_d[0] = (db[5] & ~pba[0]) | (db[13] & pba[0]) ; +assign mux_bp_d[1] = (db[21] & ~pba[0]) | (db[1] & pba[0]) ; +assign mux_bp_d[2] = (db[9] & ~pbb[0]) | (db[17] & pbb[0]) ; +assign mux_bp_d[3] = db[25] ; +assign mux_bp_d[4] = (mux_bp[0] & ~pbad[1]) | (mux_bp[1] & pbad[1]) ; +assign mux_bp_d[5] = (mux_bp[2] & ~pbad[1]) | (mux_bp[3] & pbad[1]) ; +assign mux_bp_d[6] = (mux_bp[4] & ~pbadd[2]) | (mux_bp[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y3"} *) FD muxbp0 (.D(mux_bp_d[0]), .C(clkx3p5), .Q(mux_bp[0])) ; +(* RLOC = {"x",xa,"y3"} *) FD muxbp1 (.D(mux_bp_d[1]), .C(clkx3p5), .Q(mux_bp[1])) ; +(* RLOC = "x3y3" *) FD muxbp2 (.D(mux_bp_d[2]), .C(clkx3p5), .Q(mux_bp[2])) ; +(* RLOC = "x3y3" *) FD muxbp3 (.D(mux_bp_d[3]), .C(clkx3p5), .Q(mux_bp[3])) ; +(* RLOC = {"x",xd,"y2"} *) FD muxbp4 (.D(mux_bp_d[4]), .C(clkx3p5), .Q(mux_bp[4])) ; +(* RLOC = {"x",xd,"y2"} *) FD muxbp5 (.D(mux_bp_d[5]), .C(clkx3p5), .Q(mux_bp[5])) ; +(* RLOC = {"x",xb,"y0"}, BEL = "FFY" *) FD muxbp6 (.D(mux_bp_d[6]), .C(clkx3p5), .Q(mux_p[1])) ; + +assign mux_cn_d[0] = (db[2] & ~pba[0]) | (db[10] & pba[0]) ; +assign mux_cn_d[1] = (db[18] & ~pba[0]) | (db[26] & pba[0]) ; +assign mux_cn_d[2] = (db[6] & ~pbb[0]) | (db[14] & pbb[0]) ; +assign mux_cn_d[3] = db[22] ; +assign mux_cn_d[4] = (mux_cn[0] & ~pbad[1]) | (mux_cn[1] & pbad[1]) ; +assign mux_cn_d[5] = (mux_cn[2] & ~pbad[1]) | (mux_cn[3] & pbad[1]) ; +assign mux_cn_d[6] = (mux_cn[4] & ~pbadd[2]) | (mux_cn[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y2"} *) FD muxcn0 (.D(mux_cn_d[0]), .C(clkx3p5), .Q(mux_cn[0])) ; +(* RLOC = {"x",xa,"y2"} *) FD muxcn1 (.D(mux_cn_d[1]), .C(clkx3p5), .Q(mux_cn[1])) ; +(* RLOC = "x3y4" *) FD muxcn2 (.D(mux_cn_d[2]), .C(clkx3p5), .Q(mux_cn[2])) ; +(* RLOC = "x3y4" *) FD muxcn3 (.D(mux_cn_d[3]), .C(clkx3p5), .Q(mux_cn[3])) ; +(* RLOC = {"x",xd,"y5"} *) FD muxcn4 (.D(mux_cn_d[4]), .C(clkx3p5), .Q(mux_cn[4])) ; +(* RLOC = {"x",xd,"y5"} *) FD muxcn5 (.D(mux_cn_d[5]), .C(clkx3p5), .Q(mux_cn[5])) ; +(* RLOC = {"x",xc,"y5"} *) FD muxcn6 (.D(mux_cn_d[6]), .C(clkx3p5), .Q(dataout[6])) ; + +assign mux_cp_d[0] = (db[6] & ~pba[0]) | (db[14] & pba[0]) ; +assign mux_cp_d[1] = (db[22] & ~pba[0]) | (db[2] & pba[0]) ; +assign mux_cp_d[2] = (db[10] & ~pbb[0]) | (db[18] & pbb[0]) ; +assign mux_cp_d[3] = db[26] ; +assign mux_cp_d[4] = (mux_cp[0] & ~pbad[1]) | (mux_cp[1] & pbad[1]) ; +assign mux_cp_d[5] = (mux_cp[2] & ~pbad[1]) | (mux_cp[3] & pbad[1]) ; +assign mux_cp_d[6] = (mux_cp[4] & ~pbadd[2]) | (mux_cp[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y5"} *) FD muxcp0 (.D(mux_cp_d[0]), .C(clkx3p5), .Q(mux_cp[0])) ; +(* RLOC = {"x",xa,"y5"} *) FD muxcp1 (.D(mux_cp_d[1]), .C(clkx3p5), .Q(mux_cp[1])) ; +(* RLOC = "x3y5" *) FD muxcp2 (.D(mux_cp_d[2]), .C(clkx3p5), .Q(mux_cp[2])) ; +(* RLOC = "x3y5" *) FD muxcp3 (.D(mux_cp_d[3]), .C(clkx3p5), .Q(mux_cp[3])) ; +(* RLOC = {"x",xd,"y4"} *) FD muxcp4 (.D(mux_cp_d[4]), .C(clkx3p5), .Q(mux_cp[4])) ; +(* RLOC = {"x",xd,"y4"} *) FD muxcp5 (.D(mux_cp_d[5]), .C(clkx3p5), .Q(mux_cp[5])) ; +(* RLOC = {"x",xb,"y5"}, BEL = "FFY" *) FD muxcp6 (.D(mux_cp_d[6]), .C(clkx3p5), .Q(mux_p[2])) ; + +assign mux_dn_d[0] = (db[3] & ~pba[0]) | (db[11] & pba[0]) ; +assign mux_dn_d[1] = (db[19] & ~pba[0]) | (db[27] & pba[0]) ; +assign mux_dn_d[2] = (db[7] & ~pbb[0]) | (db[15] & pbb[0]) ; +assign mux_dn_d[3] = db[23] ; +assign mux_dn_d[4] = (mux_dn[0] & ~pbad[1]) | (mux_dn[1] & pbad[1]) ; +assign mux_dn_d[5] = (mux_dn[2] & ~pbad[1]) | (mux_dn[3] & pbad[1]) ; +assign mux_dn_d[6] = (mux_dn[4] & ~pbadd[2]) | (mux_dn[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y6"} *) FD muxdn0 (.D(mux_dn_d[0]), .C(clkx3p5), .Q(mux_dn[0])) ; +(* RLOC = {"x",xa,"y6"} *) FD muxdn1 (.D(mux_dn_d[1]), .C(clkx3p5), .Q(mux_dn[1])) ; +(* RLOC = "x3y6" *) FD muxdn2 (.D(mux_dn_d[2]), .C(clkx3p5), .Q(mux_dn[2])) ; +(* RLOC = "x3y6" *) FD muxdn3 (.D(mux_dn_d[3]), .C(clkx3p5), .Q(mux_dn[3])) ; +(* RLOC = {"x",xc,"y7"} *) FD muxdn4 (.D(mux_dn_d[4]), .C(clkx3p5), .Q(mux_dn[4])) ; +(* RLOC = {"x",xc,"y7"} *) FD muxdn5 (.D(mux_dn_d[5]), .C(clkx3p5), .Q(mux_dn[5])) ; +(* RLOC = {"x",xc,"y5"} *) FD muxdn6 (.D(mux_dn_d[6]), .C(clkx3p5), .Q(dataout[7])) ; + +assign mux_dp_d[0] = (db[7] & ~pba[0]) | (db[15] & pba[0]) ; +assign mux_dp_d[1] = (db[23] & ~pba[0]) | (db[3] & pba[0]) ; +assign mux_dp_d[2] = (db[11] & ~pbb[0]) | (db[19] & pbb[0]) ; +assign mux_dp_d[3] = db[27] ; +assign mux_dp_d[4] = (mux_dp[0] & ~pbad[1]) | (mux_dp[1] & pbad[1]) ; +assign mux_dp_d[5] = (mux_dp[2] & ~pbad[1]) | (mux_dp[3] & pbad[1]) ; +assign mux_dp_d[6] = (mux_dp[4] & ~pbadd[2]) | (mux_dp[5] & pbadd[2]) ; + +(* RLOC = {"x",xa,"y7"} *) FD muxdp0 (.D(mux_dp_d[0]), .C(clkx3p5), .Q(mux_dp[0])) ; +(* RLOC = {"x",xa,"y7"} *) FD muxdp1 (.D(mux_dp_d[1]), .C(clkx3p5), .Q(mux_dp[1])) ; +(* RLOC = "x3y7" *) FD muxdp2 (.D(mux_dp_d[2]), .C(clkx3p5), .Q(mux_dp[2])) ; +(* RLOC = "x3y7" *) FD muxdp3 (.D(mux_dp_d[3]), .C(clkx3p5), .Q(mux_dp[3])) ; +(* RLOC = {"x",xc,"y6"} *) FD muxdp4 (.D(mux_dp_d[4]), .C(clkx3p5), .Q(mux_dp[4])) ; +(* RLOC = {"x",xc,"y6"} *) FD muxdp5 (.D(mux_dp_d[5]), .C(clkx3p5), .Q(mux_dp[5])) ; +(* RLOC = {"x",xd,"y6"}, BEL = "FFY" *) FD muxdp6 (.D(mux_dp_d[6]), .C(clkx3p5), .Q(mux_p[3])) ; + +(* RLOC = {"x",xd,"y1"}, BEL = "FFX" *) FD fd_mnn0 (.C(clkx3p5not), .D(mux_p[0]), .Q(dataout[0])) ; +(* RLOC = {"x",xb,"y1"}, BEL = "FFX" *) FD fd_mnn1 (.C(clkx3p5not), .D(mux_p[1]), .Q(dataout[1])) ; +(* RLOC = {"x",xb,"y6"}, BEL = "FFX" *) FD fd_mnn2 (.C(clkx3p5not), .D(mux_p[2]), .Q(dataout[2])) ; +(* RLOC = {"x",xd,"y7"}, BEL = "FFX" *) FD fd_mnn3 (.C(clkx3p5not), .D(mux_p[3]), .Q(dataout[3])) ; +(* RLOC = {"x",xb,"y8"}, BEL = "FFX" *) FD fd_mnn4 (.C(clkx3p5not), .D(clkcn), .Q(clkout[0])) ; + +(* RLOC = {"x",xb,"y7"} *) FD fd_clkcp (.C(clkx3p5), .D(clkcp_d), .Q(clkout[1])) ; +(* RLOC = {"x",xb,"y7"} *) FD fd_clkcn (.C(clkx3p5), .D(clkcn_d), .Q(clkcn)) ; + +//assign clkcp_d = (~pba[2] & ~pba[1] & pba[0]) | (~pba[2] & pba[1] & ~pba[0]) | (pba[2] & ~pba[1] & pba[0]) ; // Use these two lines for 3:4 output clock +//assign clkcn_d = (~pba[2] & ~pba[1] & pba[0]) | (pba[2] & ~pba[1] & ~pba[0]) | (pba[2] & ~pba[1] & pba[0]) ; + +assign clkcp_d = (~pba[2] & ~pba[1] & pba[0]) | (~pba[2] & pba[1] & ~pba[0]) | (pba[2] & ~pba[1] & pba[0]) | (pba[2] & pba[1] & ~pba[0]) ; // Use these two lines for 4:3 output clock +assign clkcn_d = (~pba[2] & ~pba[1] & pba[0]) | (~pba[2] & pba[1] & ~pba[0]) | (pba[2] & ~pba[1] & pba[0]) | (pba[2] & ~pba[1] & ~pba[0]) ; + +assign xra = clkd2d_a ^ clkd2d_b ; + +(* RLOC = {"x",xb,"y4"} *) FDC fd_xra (.C(clkx3p5), .D(xra), .CLR(rst), .Q(xrareg)) ; + +always@(xrareg or pba) +begin + if(xrareg) begin + phase_bna <= 3'b110; + end + else if (pba == 3'b110) begin + phase_bna <= 3'b000; + end + else begin + phase_bna <= pba + 3'b001; + end +end + +always@(xrareg or pbb) +begin + if(xrareg) begin + phase_bnb <= 3'b110; + end + else if (pbb == 3'b110) begin + phase_bnb <= 3'b000; + end + else begin + phase_bnb <= pbb + 3'b001; + end +end + +assign notclk3p5d2 = ~clk3p5d2a ; // divide clk35 by 2 +(* RLOC = {"x",xc,"y3"} *) FDC fd_c35d2a(.C(clkx3p5), .D(notclk3p5d2), .CLR(rst), .Q(clk3p5d2a)) ; +(* RLOC = {"x",xc,"y3"} *) FDC fd_c35d2b(.C(clkx3p5), .D(notclk3p5d2), .CLR(rst), .Q(clk3p5d2b)) ; + +(* RLOC = {"x",xb,"y2"}, BEL = "FFX" *) FDC fd_cb (.C(clk), .D(clk3p5d2b), .CLR(rst), .Q(clkd2)) ; +(* RLOC = {"x",xb,"y3"}, BEL = "FFX" *) FDC fd_cbda(.C(clkx3p5), .D(clkd2), .CLR(rst), .Q(clkd2d_a)) ; +(* RLOC = {"x",xb,"y3"} *) FDC fd_cbdb(.C(clkx3p5), .D(clkd2d_a), .CLR(rst), .Q(clkd2d_b)) ; + +(* RLOC = {"x",xb,"y4"} *) FDC fdcpba0(.C(clkx3p5), .D(phase_bna[0]), .CLR(rst), .Q(pba[0])) /* synthesis syn_replicate = 0 */; +(* RLOC = {"x",xa,"y4"} *) FDC fdcpba1(.C(clkx3p5), .D(phase_bna[1]), .CLR(rst), .Q(pba[1])) /* synthesis syn_replicate = 0 */; +(* RLOC = {"x",xa,"y4"} *) FDC fdcpba2(.C(clkx3p5), .D(phase_bna[2]), .CLR(rst), .Q(pba[2])) /* synthesis syn_replicate = 0 */; +(* RLOC = {"x",xc,"y4"} *) FD fdcpba3(.C(clkx3p5), .D(pba[1]), .Q(pbad[1])) /* synthesis syn_replicate = 0 */; +(* RLOC = {"x",xb,"y5"} *) FD fdcpba4(.C(clkx3p5), .D(pba[2]), .Q(pbad[2])) /* synthesis syn_replicate = 0 */; +(* RLOC = {"x",xc,"y4"} *) FD fdcpba5(.C(clkx3p5), .D(pbad[2]), .Q(pbadd[2])) /* synthesis syn_replicate = 0 */; + +(* RLOC = "x2y4" *) FDC fdcpbb0(.C(clkx3p5), .D(phase_bnb[0]), .CLR(rst), .Q(pbb[0])) /* synthesis syn_replicate = 0 */; +(* RLOC = "x2y4" *) FDC fdcpbb1(.C(clkx3p5), .D(phase_bnb[1]), .CLR(rst), .Q(pbb[1])) /* synthesis syn_replicate = 0 */; +(* RLOC = "x2y3" *) FDC fdcpbb2(.C(clkx3p5), .D(phase_bnb[2]), .CLR(rst), .Q(pbb[2])) /* synthesis syn_replicate = 0 */; + +assign ce0_d = ((pbb == 3'b001) || (pbb == 3'b100)) ? 1'b1 : 1'b0 ; +assign ce1_d = ((pbb == 3'b100) || (pbb == 3'b000)) ? 1'b1 : 1'b0 ; + +(* RLOC = "x2y5" *) FD fd_ce0(.C(clkx3p5), .D(ce0_d), .Q(ce0)) ; +(* RLOC = "x2y5" *) FD fd_ce1(.C(clkx3p5), .D(ce1_d), .Q(ce1)) ; + +(* RLOC = "x1y1" *) FDE fd_db0 (.C(clkx3p5), .D(dataint[0]), .CE(ce0), .Q(db[0])) ; +(* RLOC = "x1y3" *) FDE fd_db1 (.C(clkx3p5), .D(dataint[1]), .CE(ce0), .Q(db[1])) ; +(* RLOC = "x1y6" *) FDE fd_db2 (.C(clkx3p5), .D(dataint[2]), .CE(ce0), .Q(db[2])) ; +(* RLOC = "x1y6" *) FDE fd_db3 (.C(clkx3p5), .D(dataint[3]), .CE(ce0), .Q(db[3])) ; +(* RLOC = "x0y1" *) FDE fd_db4 (.C(clkx3p5), .D(dataint[4]), .CE(ce0), .Q(db[4])) ; +(* RLOC = "x0y3" *) FDE fd_db5 (.C(clkx3p5), .D(dataint[5]), .CE(ce0), .Q(db[5])) ; +(* RLOC = "x0y5" *) FDE fd_db6 (.C(clkx3p5), .D(dataint[6]), .CE(ce0), .Q(db[6])) ; +(* RLOC = "x1y7" *) FDE fd_db7 (.C(clkx3p5), .D(dataint[7]), .CE(ce0), .Q(db[7])) ; +(* RLOC = "x0y0" *) FDE fd_db8 (.C(clkx3p5), .D(dataint[8]), .CE(ce0), .Q(db[8])) ; +(* RLOC = "x0y4" *) FDE fd_db9 (.C(clkx3p5), .D(dataint[9]), .CE(ce0), .Q(db[9])) ; +(* RLOC = "x1y3" *) FDE fd_db10(.C(clkx3p5), .D(dataint[10]), .CE(ce0), .Q(db[10])) ; +(* RLOC = "x0y6" *) FDE fd_db11(.C(clkx3p5), .D(dataint[11]), .CE(ce0), .Q(db[11])) ; +(* RLOC = "x1y1" *) FDE fd_db12(.C(clkx3p5), .D(dataint[12]), .CE(ce0), .Q(db[12])) ; +(* RLOC = "x0y6" *) FDE fd_db13(.C(clkx3p5), .D(dataint[13]), .CE(ce0), .Q(db[13])) ; +(* RLOC = "x0y5" *) FDE fd_db14(.C(clkx3p5), .D(dataint[14]), .CE(ce0), .Q(db[14])) ; +(* RLOC = "x0y7" *) FDE fd_db15(.C(clkx3p5), .D(dataint[15]), .CE(ce0), .Q(db[15])) ; +(* RLOC = "x0y0" *) FDE fd_db16(.C(clkx3p5), .D(dataint[16]), .CE(ce0), .Q(db[16])) ; +(* RLOC = "x0y4" *) FDE fd_db17(.C(clkx3p5), .D(dataint[17]), .CE(ce0), .Q(db[17])) ; +(* RLOC = "x0y3" *) FDE fd_db18(.C(clkx3p5), .D(dataint[18]), .CE(ce0), .Q(db[18])) ; +(* RLOC = "x0y7" *) FDE fd_db19(.C(clkx3p5), .D(dataint[19]), .CE(ce0), .Q(db[19])) ; +(* RLOC = "x1y0" *) FDE fd_db20(.C(clkx3p5), .D(datainr[20]), .CE(ce1), .Q(db[20])) ; +(* RLOC = "x1y0" *) FDE fd_db21(.C(clkx3p5), .D(datainr[21]), .CE(ce1), .Q(db[21])) ; +(* RLOC = "x1y5" *) FDE fd_db22(.C(clkx3p5), .D(datainr[22]), .CE(ce1), .Q(db[22])) ; +(* RLOC = "x1y5" *) FDE fd_db23(.C(clkx3p5), .D(datainr[23]), .CE(ce1), .Q(db[23])) ; +(* RLOC = "x2y0" *) FDE fd_db24(.C(clkx3p5), .D(datainr[24]), .CE(ce1), .Q(db[24])) ; +(* RLOC = "x1y4" *) FDE fd_db25(.C(clkx3p5), .D(datainr[25]), .CE(ce1), .Q(db[25])) ; +(* RLOC = "x1y4" *) FDE fd_db26(.C(clkx3p5), .D(datainr[26]), .CE(ce1), .Q(db[26])) ; +(* RLOC = "x2y7" *) FDE fd_db27(.C(clkx3p5), .D(datainr[27]), .CE(ce1), .Q(db[27])) ; + +(* RLOC = "x0y2" *) FD fd_d20(.C(clk), .D(dataint[20]), .Q(datainr[20])) ; +(* RLOC = "x0y2" *) FD fd_d21(.C(clk), .D(dataint[21]), .Q(datainr[21])) ; +(* RLOC = "x1y2" *) FD fd_d22(.C(clk), .D(dataint[22]), .Q(datainr[22])) ; +(* RLOC = "x1y2" *) FD fd_d23(.C(clk), .D(dataint[23]), .Q(datainr[23])) ; +(* RLOC = "x2y1" *) FD fd_d24(.C(clk), .D(dataint[24]), .Q(datainr[24])) ; +(* RLOC = "x2y1" *) FD fd_d25(.C(clk), .D(dataint[25]), .Q(datainr[25])) ; +(* RLOC = "x2y6" *) FD fd_d26(.C(clk), .D(dataint[26]), .Q(datainr[26])) ; +(* RLOC = "x2y6" *) FD fd_d27(.C(clk), .D(dataint[27]), .Q(datainr[27])) ; + +endmodule +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1_wrapper.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1_wrapper.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1_wrapper.v (revision 2) @@ -0,0 +1,71 @@ +////////////////////////////////////////////////////////////////////////////// +// +// Xilinx, Inc. 2005 www.xilinx.com +// +// XAPP 486 - 7:1 LVDS in Spartan3E Devices +// +////////////////////////////////////////////////////////////////////////////// +// +// File name : serdes_4b_7to1_wrapper.v +// +// Description : Wrapper for generic 4-bit serdes_4b_7to1 for Spartan 3E +// +// Date - revision : October 16th 2006 - v 1.4 +// +// Version 1.4 : Brings the DDR registers to the top level and no +// longer uses 'C0' alignment +// +// Author : NJS +// +// Disclaimer: LIMITED WARRANTY AND DISCLAMER. These designs are +// provided to you "as is". Xilinx and its licensors make and you +// receive no warranties or conditions, express, implied, +// statutory or otherwise, and Xilinx specifically disclaims any +// implied warranties of merchantability, non-infringement,or +// fitness for a particular purpose. Xilinx does not warrant that +// the functions contained in these designs will meet your +// requirements, or that the operation of these designs will be +// uninterrupted or error free, or that defects in the Designs +// will be corrected. Furthermore, Xilinx does not warrantor +// make any representations regarding use or the results of the +// use of the designs in terms of correctness, accuracy, +// reliability, or otherwise. +// +// LIMITATION OF LIABILITY. In no event will Xilinx or its +// licensors be liable for any loss of data, lost profits,cost +// or procurement of substitute goods or services, or for any +// special, incidental, consequential, or indirect damages +// arising from the use or operation of the designs or +// accompanying documentation, however caused and on any theory +// of liability. This limitation will apply even if Xilinx +// has been advised of the possibility of such damage. This +// limitation shall apply not-withstanding the failure of the +// essential purpose of any limited remedies herein. +// +// Copyright © 2005 Xilinx, Inc. +// All rights reserved +// +////////////////////////////////////////////////////////////////////////////// +`timescale 1 ps / 1ps + +module serdes_4b_7to1_wrapper ( +input clk, // clock +input clkx3p5, // 3.5 times clock +input clkx3p5not, // not 3.5 times clock +input [27:0] datain, // input data +input rst, // reset +output [7:0] dataout, // output data +output [1:0] clkout) ; // output clock (1x) + +(* RLOC = "x0y0" *) serdes_4b_7to1 tx0( + .clk (clk), + .clkx3p5 (clkx3p5), + .clkx3p5not (clkx3p5not), + .datain (datain), + .rst (rst), + .dataout (dataout), + .clkout (clkout)) ; + +endmodule + +
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/serializer/serdes_4b_7to1_wrapper.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_tx.v =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_tx.v (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_tx.v (revision 2) @@ -0,0 +1,68 @@ +// RS-232 TX module +// (c) fpga4fun.com KNJN LLC - 2003, 2004, 2005, 2006 + +module async_transmitter(clk, TxD_start, TxD_data, TxD, TxD_busy); +input clk, TxD_start; +input [7:0] TxD_data; +output TxD, TxD_busy; + +parameter ClkFrequency = 64000000; // 64MHz +parameter Baud = 115200; +parameter RegisterInputData = 1; // in RegisterInputData mode, the input doesn't have to stay valid while the character is been transmitted + +// Baud generator +parameter BaudGeneratorAccWidth = 16; +reg [BaudGeneratorAccWidth:0] BaudGeneratorAcc; + +wire [BaudGeneratorAccWidth:0] BaudGeneratorInc = ((Baud<<(BaudGeneratorAccWidth-4))+(ClkFrequency>>5))/(ClkFrequency>>4); + +wire BaudTick = BaudGeneratorAcc[BaudGeneratorAccWidth]; +wire TxD_busy; +always @(posedge clk) if(TxD_busy) BaudGeneratorAcc <= BaudGeneratorAcc[BaudGeneratorAccWidth-1:0] + BaudGeneratorInc; + +// Transmitter state machine +reg [3:0] state; +wire TxD_ready = (state==0); +assign TxD_busy = ~TxD_ready; + +reg [7:0] TxD_dataReg = 0; +always @(posedge clk) if(TxD_ready & TxD_start) TxD_dataReg <= TxD_data; +wire [7:0] TxD_dataD = RegisterInputData ? TxD_dataReg : TxD_data; + +always @(posedge clk) +case(state) + 4'b0000: if(TxD_start) state <= 4'b0001; + 4'b0001: if(BaudTick) state <= 4'b0100; + 4'b0100: if(BaudTick) state <= 4'b1000; // start + 4'b1000: if(BaudTick) state <= 4'b1001; // bit 0 + 4'b1001: if(BaudTick) state <= 4'b1010; // bit 1 + 4'b1010: if(BaudTick) state <= 4'b1011; // bit 2 + 4'b1011: if(BaudTick) state <= 4'b1100; // bit 3 + 4'b1100: if(BaudTick) state <= 4'b1101; // bit 4 + 4'b1101: if(BaudTick) state <= 4'b1110; // bit 5 + 4'b1110: if(BaudTick) state <= 4'b1111; // bit 6 + 4'b1111: if(BaudTick) state <= 4'b0010; // bit 7 + 4'b0010: if(BaudTick) state <= 4'b0011; // stop1 + 4'b0011: if(BaudTick) state <= 4'b0000; // stop2 + default: if(BaudTick) state <= 4'b0000; +endcase + +// Output mux +reg muxbit; +always @( * ) +case(state[2:0]) + 3'd0: muxbit <= TxD_dataD[0]; + 3'd1: muxbit <= TxD_dataD[1]; + 3'd2: muxbit <= TxD_dataD[2]; + 3'd3: muxbit <= TxD_dataD[3]; + 3'd4: muxbit <= TxD_dataD[4]; + 3'd5: muxbit <= TxD_dataD[5]; + 3'd6: muxbit <= TxD_dataD[6]; + 3'd7: muxbit <= TxD_dataD[7]; +endcase + +// Put together the start, data and stop bits +reg TxD; +always @(posedge clk) TxD <= (state<4) | (state[3] & muxbit); // register the output to make it glitch free + +endmodule \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/uart_tx.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/README.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/README.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/README.txt (revision 2) @@ -0,0 +1,15 @@ +This document has been provided to you by Lucas Teske from EnergyLabs Brasil +Visit: http://www.energylabs.com.br for more info + +Consult LICENSE, LICENSE.txt or lgpl3.txt for lincensing infos. + + +Have fun :D + +Esse documento foi disponíbilizado para você por Lucas Teske da EnergyLabs Brasil +Visite: http://www.energylabs.com.br para mais informações. + +Consulte LICENSE, LICENSE.txt or lgpl3.txt para informações sobre licenciamento. + + +Divirta-se :D \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/README.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2ma.list =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2ma.list (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2ma.list (revision 2) @@ -0,0 +1,128 @@ +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +9 +22 +0 +0 +0 +0 +0 +0 +51 +21 +0 +0 +0 +5A +53 +41 +57 +40 +0 +0 +43 +58 +44 +45 +24 +23 +0 +0 +20 +56 +46 +54 +52 +25 +0 +0 +4E +42 +48 +47 +59 +36 +0 +0 +0 +4D +4A +55 +26 +2A +0 +0 +3C +4B +49 +4F +29 +28 +0 +0 +3E +3A +4C +80 +50 +5F +0 +0 +3F +5E +0 +60 +2B +0 +0 +0 +0 +0A +7B +0 +7D +0 +0 +0 +7C +0 +0 +0 +0 +8 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1B +0 +0 +0 +0 +0 +0 +0 +0 +0 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2ma.list Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/ellogo.coe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/ellogo.coe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/ellogo.coe (revision 2) @@ -0,0 +1,3 @@ +memory_initialization_radix=2; + +memory_initialization_vector=000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000110,000010000011000011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001010001100001101,001000001001001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000011000011,001010001101001110,001110010001010010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000111,001111010011010011,001111010010010011,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111110000000,000000000000000000,001000001010001010,001110010001010010,001110010001010010,000101000111000111,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001100,001010001101001101,001010001101001101,001011001110001110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001110010010010011,001001001011001011,000110001000001000,001001001011001100,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001100001100,001000001011001011,001000001010001011,001001001011001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000100000101000101,001011001110001110,001011001110001111,001000001010001010,000111001000001001,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,000100000101000101,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,001010001100001100,001011001110001110,001010001100001100,001000001010001010,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000100000100000101,000100000101000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001001001011001011,000101000111000111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000100000101000101,000111001000001001,001000001010001011,001001001100001100,001010001100001100,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100000101000101,000111001000001000,001011001110001110,000100000101000110,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001010,000111001001001001,001010001101001101,001000001010001010,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000101000110000110,001000001010001010,001001001011001100,001000001011001011,001001001100001100,001001001100001100,000000001101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,000111001001001010,001000001010001010,001000001010001010,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001000001010001011,001110010010010011,001001001011001011,001001001011001011,001000001010001011,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000110001000001000,001000001010001010,000110001000001000,001000001010001010,001001001100001100,000111001001001001,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000100,001001001011001100,001010001101001101,001001001011001011,001001001100001100,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000001000001000001,001010001100001101,000111001001001001,001000001011001011,001010001101001101,001100001111010000,001000001011001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000111001001001010,001001001011001011,000101000110000110,001000001010001010,001001001011001011,000110000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000100,000111001001001001,000111001001001001,000111001001001001,000101000111000111,000111001001001001,000011000100000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000110000111000111,010011010101010101,010010010100010100,010010010100010100,010011010101010101,010000010010010010,000101000101000101,000101000101000101,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000111,000101000101000101,010000010010010011,010001010011010011,001111010000010000,001011001110001110,001001001100001100,000110001000001000,000101000101000101,000111000111000111,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000110000110000110,000001000001000001,000001000001000001,000110000111000111,001010001101001101,001011001110001110,001010001101001101,001001001011001100,000101000110000110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,011110100100100111,011011100111101011,011010100110101010,011010100101101010,011011100110101011,011011100110101011,011011100110101011,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,011011100110101010,011011100111101100,010100100000100101,001001001101001111,001100001111001111,000110000111001000,010101011000011010,011110101001101101,011010100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101010,011011100110101011,010111100011101000,000111001100001110,001000001001001001,001000001011001011,001001001100001100,001011001110001111,001001001011001011,001000001010001010,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011001100000,000000011010100101,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000001011001100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000001011001100100,000001011010100101,000000010100011100,000101001000001001,001000001010001010,001100001110001110,001100010011010111,000100011100100110,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100100,000000010110100000,000100001001001011,001010001100001100,001001001100001100,000110001000001000,001100001111001111,000101000111000111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,001000001100001101,001000001010001010,001111010010010011,010000010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000101001010001101,001101010000010000,000111001001001010,000110000111001000,000100000101000101,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011011,001001001101001111,001111010011010011,001110010001010001,001111010111011011,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010111100000,000110001011001101,001011001101001101,000110001000001000,000000000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100101,000010011011100110,000001010101011101,000101001000001010,001001001011001100,001010001100001100,001111010110011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001011000100001,000101001001001011,000101000110000110,000000000000000000,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001010101011101,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001111010100,000001001110010100,000001001111010100,000001001110010100,000001001110010100,000001001110010100,000001001100010001,000110001010001011,001011001110001110,001001001011001010,001111010111011010,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011000100010,000001001111010100,000011000110001000,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100001,000010011011100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000011000011000011,000101000111000111,000111001001001001,001010001100001101,001001001011001100,001010001100001101,000111001001001001,000110001000001000,000110000111000111,000110000111000111,001100001111010000,001010001101001110,001001001011001100,001010001101001101,001101010000010000,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010011011001,000010000011000100,000001000001000001,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001111,000001010101011101,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011000,000101000101000110,001010001010001010,010010010100010100,010101011000011000,010101011000011000,010010010100010100,010010010011010011,010001010010010010,001111010001010001,001101010000010000,010001010101010110,001010001101001101,001010001101001101,000111001001001001,000111001000001000,010000011000011011,000101011101100111,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000000000000000000,000010000101000110,000001010000010110,000001011001100011,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010101100100101011,011010100110101011,011001100110101011,011000100101101011,011001100110101011,011001100110101011,011001100110101011,011010100111101100,001110011010011111,001010001110001111,001100001111001111,001010001101001101,001101010000010001,001000001010001010,001000001010001010,001010010010010101,000011011010100011,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000011000100000110,000101011001100010,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010000010110,001110010001010001,001111010010010011,001000001011001011,001001001011001011,000111001000001001,000111001000001001,001001001011001100,000011001011001110,000001010101011100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100011100100011,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001100001110001111,001110010001010001,000111001000001000,001010001100001101,001000001010001011,001000001011001011,001011001110001110,001000001001001001,000010000101000110,000010010101011101,000010011010100100,000010011010100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001110011101100100,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010001010111,001001001011001011,001011001110001111,001001001100001100,001001001100001100,001010001100001101,001000001010001010,000101000111000111,000001000010000010,001011001011001011,001010010100011001,000011011011100101,000010011001100100,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,010010010010010011,010010100001101000,000000011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100110,000001010001010111,001100001111010000,001101010000010000,001001001100001100,001000001010001010,001100001111001111,000111001001001001,000101000110000110,000100000101000101,000011000011000011,001100010100010111,000100011100100110,000001011001100011,000010011011100101,000001010010011001,000010000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,011111011111011111,000110000110000110,100000100001100001,011101101000101101,000101011011100101,000001011001100011,000010011010100100,000010011010100100,000001010100011011,000001001100010000,000010001100010000,000010001100010000,000010001100010000,000010001100010001,000010001100010001,000010001100010001,000010001100010001,000010001001001101,001010001101001110,001101010000010000,001000001010001011,001011001110001110,001011001110001110,000110000111001000,000101000111000111,000100000101000110,000011000100000011,010011011011011111,000101011100100110,000001011001100011,000010011011100101,000001010010011001,000001000010000011,011111011111011111,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000010,011111100100100110,010001100010101001,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100101,000001001011001111,000001000001000001,000000000000000000,000001000010000010,000110000111000111,000110001000001000,001000001010001010,000111001000001001,000111001001001001,001000001010001010,000111001001001010,001010001101001110,001000001010001010,001011001110001110,001001001100001100,000101000110000111,000011000100000101,000110000111000111,011101011101011101,011010100110101011,000010011010100100,000010011001100100,000010011011100101,000001010010011001,000001000010000010,011111011111011111,011111011111011111,011111011111011111,001011001011001011,011001011010011011,011001011011011100,011000011011011100,011000011011011100,011001011011011100,010001010101010110,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000000011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011010100100,000011010010011001,000111001000001001,001000001000001000,001110001111001111,010010010011010011,010001010010010010,010011010100010100,010010010011010011,010001010010010011,010001010011010011,010101010110010111,010110011001011001,010000010010010011,001100010000010000,001001001011001011,000011000101000101,001110001111001111,100101101000101010,011000100111101101,000011011011100100,000001011001100011,000010011010100100,000010011010100100,000001010110011111,001100010001010011,010001010001010010,010001010001010010,010001010001010010,010100010100010101,011011100111101011,001000011101100111,001001011110100111,001001011110100111,001001011111101000,000100010011011001,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001100011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000101011100100110,010100100100101010,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011000100110101011,011001100111101100,010010011111100101,000110001010001100,001000001001001001,000101000110000110,010101011001011100,001110100010101010,000000011000100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,001011011111101000,010001100010101001,010001100001101001,010001100010101001,010001100010101001,000110011100100101,000000011001100011,000001011001100011,000001011001100011,000001011010100100,000001010000010110,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000000011010100101,000000010100011100,000101000111001001,001001001010001011,001010001100001100,001101010101011000,000100011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000001011001100011,000000011001100011,000000011001100011,000000011001100011,000000011001100011,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000101001000001001,001000001010001010,001011001101001101,010000011000011100,000101011100100110,000001011001100011,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011010100000,000010011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100101,000001010100011100,000100000111001000,001001001010001010,001000001001001001,001110010101011001,000101011101100111,000001011001100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000010010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000001000001,001101011011100001,000001011010100101,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010100011100,000101001000001010,001010001101001101,001001001011001011,001110010110011001,000101011101100111,000001011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011010100100,000010011011100101,000001010000010111,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000100001011001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000011001100010000,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001010001110,000111001010001011,001111010010010011,001101010000010000,000111001101001111,000010001011001111,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001010001110,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001011001111,000010001010001110,000010001010001111,000010001000001100,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111000111,000111001000001000,000101000101000110,000101000110000110,000110000110000111,000110000111001000,000101000110000110,000000000001000001,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000011000011000100,000111001000001000,001010001100001100,001100001111001111,001011001110001110,001001001011001100,000011000100000100,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000000000000000000,000001000001000001,000011000100000100,000101000110000110,000101000110000110,000101000101000101,001001001011001011,001011001101001110,000001000010000010,000000000000000000,000000000000000000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001001001011001011,001100001111010000,000111001001001001,000101000110000110,000101000110000110,000100000101000101,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001000001010001010,001011001110001110,001111010010010011,001001001011001100,001000001001001010,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000001000010000010,000100000101000110,000111001001001001,001100001111001111,001011001110001111,001000001010001010,000011000011000100,001011001011001011,000000111101000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000110000111001000,001101010000010000,001100001111001111,000100000110000110,000011000100000100,000001000001000001,001011001011001011,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000001000001,001000001010001010,001010001101001101,001001001100001100,001001001011001100,001001001100001100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,000000000000000000,000011000011000100,001011001110001110,001011001101001110,001001001011001011,001011001110001111,000100000101000101,001011001011001011,000000111110000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001000001001,001000001010001010,001010001101001101,000111001001001001,000001000001000001,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001100001111001111,001010001101001101,001000001010001011,000110001000001000,000111001001001001,000010000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000101000110000111,001000001010001010,001000001010001010,001010001100001101,000011000100000100,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000111001001001001,001000001011001011,000101000110000110,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001011001110001110,001100001111010000,001000001010001010,000111001000001001,001000001010001010,000001000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000001000001,000110001000001000,000111001001001001,001000001011001011,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000011000100000101,000001000010000010,001011001011001011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,000101000111000111,001001001011001100,001010001101001101,001001001011001011,001100001111001111,000000000001000001,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,001011001011001011,001011001011001011,000000000000000000,000011000100000100,000010000011000011,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000110,000111001001001001,001001001011001011,001101010001010001,001011001110001111,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000101000110000111,001001001011001100,001100001111001111,001001001011001011,001010001100001100,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000011000100000100,001101010001010001,001010001101001101,000111001000001001,000111001000001000,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000001000010000010,001100001111001111,001000001010001011,000111001001001001,000100000101000101,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001101001110,001000001010001010,000111001001001010,000011000100000100,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000000000000000,001010001100001101,001001001100001100,001000001011001011,000001000010000010,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000110000111000111,000111001000001001,001000001010001011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000010000010,001001001011001100,000101000110000110,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000010000011000011,000000000000000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000,000000111111000000, \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/ellogo.coe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2mi.list =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2mi.list (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2mi.list (revision 2) @@ -0,0 +1,128 @@ +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +9 +27 +0 +0 +0 +0 +0 +0 +71 +31 +0 +0 +0 +7A +73 +61 +77 +32 +0 +0 +63 +78 +64 +65 +34 +33 +0 +0 +20 +76 +66 +74 +72 +35 +0 +0 +6E +62 +68 +67 +79 +36 +0 +0 +0 +6D +6A +75 +37 +38 +0 +0 +2C +6B +69 +6F +30 +39 +0 +0 +2E +3B +6C +87 +70 +2D +0 +0 +2F +7E +0 +27 +3D +0 +0 +0 +0 +0A +5B +0 +5D +0 +0 +0 +5C +0 +0 +0 +0 +8 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +1B +0 +0 +0 +0 +0 +0 +0 +0 +0 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/scancodes_abnt2mi.list Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/fontrom.coe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/fontrom.coe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/fontrom.coe (revision 2) @@ -0,0 +1,11 @@ +memory_initialization_radix=2; + +memory_initialization_vector= +00000000,01111110,01111110,01101100,00010000,00111000,00010000,00000000,11111111,00000000,11111111,00001111,00111100,00111111,01111111,00011000,10000000,00000010,00011000,01100110,01111111,00111110,00000000,00011000,00011000,00011000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00011000,01100110,01101100,00011000,00000000,00111000,00011000,00001100,00110000,00000000,00000000,00000000,00000000,00000000,00000110,01111100,00110000,01111000,01111000,00011100,11111100,00111000,11111100,01111000,01111000,00000000,00000000,00000110,00000000,00110000,01111100,01111100,00111000,11111100,00111100,11111000,11111110,11111110,00111100,11000110,00111100,00011110,11100110,11110000,11000110,11000110,01111100,11111100,01111000,11111100,00111100,01111110,11000110,11000110,11000110,11000110,01100110,11111110,00111100,11000000,00111100,00010000,00000000,00110000,00000000,11100000,00000000,00011100,00000000,00111100,00000000,11100000,00011000,00000110,11100000,00111000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00010000,00000000,00000000,00000000,00000000,00000000,00000000,00001110,00011000,01110000,01110110,00000000,01111100,11001100,00001100,01111100,11001100,00110000,00110000,00000000,01111100,11000110,00110000,01100110,01111100,00110000,11000110,00111000,00011100,00000000,00111110,01111000,00000000,00000000,01111000,00000000,00000000,11000011,11001100,00010000,00111000,11001100,11111000,00001110,00011100,00111000,00000000,00000000,00000000,11111100,00111100,00111000,00110000,00000000,00000000,11000011,11000011,00011000,00000000,00000000,00110011,01010101,11011011,00011000,00011000,00011000,00110110,00000000,00000000,00110110,00110110,00000000,00110110,00110110,00011000,00000000,00011000,00011000,00000000,00011000,00000000,00011000,00011000,00110110,00110110,00000000,00110110,00000000,00110110,00000000,00110110,00011000,00110110,00000000,00000000,00110110,00011000,00000000,00000000,00110110,00011000,00011000,00000000,11111111,00000000,11110000,00001111,11111111,00000000,00000000,00000000,00000000,11111100,00000000,00000000,01110110,11111100,00111000,00111000,00011100,00000000,00000110,00111000,01111000,00000000,00110000,00110000,00011000,00001110,00011000,00110000,00000000,00111000,00000000,00000000,00001111,01111000,01110000,00000000,00000000, +00000000,10000001,11111111,11111110,00111000,01111100,00111000,00000000,11111111,00011000,11100111,00000111,01100110,00110011,01100011,11011011,11100000,00001110,00111100,01100110,11011011,01100001,00000000,00111100,00111100,00011000,00011000,00110000,00000000,00100100,00011000,11111111,00000000,00111100,01100110,01101100,00111110,11000110,01101100,00011000,00011000,00011000,01100110,00011000,00000000,00000000,00000000,00001100,11000110,01110000,11001100,11001100,00111100,11000000,01100000,11001100,11001100,11001100,00011000,00011000,00001100,00000000,00011000,11000110,11000110,01101100,01100110,01100110,01101100,01100010,01100010,01100110,11000110,00011000,00001100,01100110,01100000,11101110,11100110,11000110,01100110,11000110,01100110,01100110,01111110,11000110,11000110,11000110,11000110,01100110,11000110,00110000,01100000,00001100,00111000,00000000,00011000,00000000,01100000,00000000,00001100,00000000,01100110,00000000,01100000,00000000,00000000,01100000,00011000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00110000,00000000,00000000,00000000,00000000,00000000,00000000,00011000,00011000,00011000,11011100,00010000,11000110,00000000,00011000,10000010,00000000,00011000,00110000,00000000,10000010,00000000,00011000,00000000,10000010,00011000,00111000,01101100,00000000,00000000,01101100,11001100,11001100,11100000,11001100,11100000,11001100,00011000,00000000,00010000,01101100,11001100,11001100,00011011,00000000,00000000,00011100,00011100,11111000,00000000,01101100,01101100,00000000,00000000,00000000,11000110,11000110,00011000,00110011,11001100,11001100,10101010,01110111,00011000,00011000,00011000,00110110,00000000,00000000,00110110,00110110,00000000,00110110,00110110,00011000,00000000,00011000,00011000,00000000,00011000,00000000,00011000,00011000,00110110,00110110,00000000,00110110,00000000,00110110,00000000,00110110,00011000,00110110,00000000,00000000,00110110,00011000,00000000,00000000,00110110,00011000,00011000,00000000,11111111,00000000,11110000,00001111,11111111,00000000,01111000,11111100,11111110,11001100,00000000,01100110,11011100,00110000,01101100,01101100,00110000,00000000,00001100,01100000,11001100,11111111,00110000,00011000,00110000,00011011,00011000,00110000,01110110,01101100,00000000,00000000,00001100,01101100,00011000,00000000,00000000, +00000000,10100101,11011011,11111110,01111100,00111000,01111100,00011000,11100111,00100100,11011011,00001111,01100110,00111111,01111111,00111100,11111000,00111110,01111110,01100110,11011011,00111100,00000000,01111110,01111110,00011000,00001100,01100000,11000000,01100110,00111100,01111110,00000000,00111100,00100100,11111110,01100000,11001100,00111000,00110000,00110000,00001100,00111100,00011000,00000000,00000000,00000000,00011000,11001110,00110000,00001100,00001100,01101100,11111000,11000000,00001100,11001100,11001100,00011000,00011000,00011000,00000000,00001100,00001100,11011110,11000110,01100110,11000000,01100110,01101000,01101000,11000000,11000110,00011000,00001100,01101100,01100000,11111110,11110110,11000110,01100110,11000110,01100110,00110000,01011010,11000110,11000110,11000110,01101100,01100110,10001100,00110000,00110000,00001100,01101100,00000000,00001100,01111000,01100000,01111100,01111100,01111100,01100000,01110110,01101100,00111000,00000110,01100110,00011000,11101100,11111000,01111000,11011100,01110110,11011100,01111110,01111100,11001100,11001100,11000110,11000110,11000110,01111110,00011000,00011000,00011000,00000000,00111000,11000000,11001100,01111100,01111000,01111000,01111000,01111000,01111110,01111100,01111100,01111100,00111000,00111000,00000000,01101100,01111100,11111100,01111111,11001100,00000000,00000000,00000000,00000000,00000000,00000000,00111100,11001100,01111100,01100100,01111000,11001100,00011000,01111000,01110000,00000000,00000000,00000000,11001100,01101100,01101100,00110000,00000000,00000000,11001100,11001100,00000000,01100110,01100110,00110011,01010101,11011011,00011000,00011000,11111000,00110110,00000000,11111000,11110110,00110110,11111110,11110110,00110110,11111000,00000000,00011000,00011000,00000000,00011000,00000000,00011000,00011111,00110110,00110111,00111111,11110111,11111111,00110111,11111111,11110111,11111111,00110110,11111111,00000000,00110110,00011111,00011111,00000000,00110110,11111111,00011000,00000000,11111111,00000000,11110000,00001111,11111111,01110110,11001100,11001100,01101100,01100000,01111111,01100110,00011000,01111000,11000110,11000110,00011000,01111100,01111100,11000000,11001100,00000000,11111100,00001100,01100000,00011011,00011000,00000000,11011100,01101100,00000000,00000000,00001100,01101100,00110000,00111110,00000000, +00000000,10000001,11111111,11111110,11111110,11111110,11111110,00111100,11000011,01000010,10111101,01111101,01100110,00110000,01100011,11100111,11111110,11111110,00011000,01100110,01111011,01100110,00000000,00011000,00011000,00011000,11111110,11111110,11000000,11111111,00111100,01111110,00000000,00011000,00000000,01101100,00111100,00011000,01110110,00000000,00110000,00001100,11111111,01111110,00000000,01111110,00000000,00110000,11011110,00110000,00111000,00111000,11001100,00001100,11111000,00011000,01111000,01111100,00000000,00000000,00110000,01111110,00000110,00011000,11011110,11111110,01111100,11000000,01100110,01111000,01111000,11000000,11111110,00011000,00001100,01111000,01100000,11111110,11011110,11000110,01111100,11000110,01111100,00011000,00011000,11000110,11000110,11010110,00111000,00111100,00011000,00110000,00011000,00001100,11000110,00000000,00000000,00001100,01111100,11000110,11001100,11000110,11111000,11001100,01110110,00011000,00000110,01101100,00011000,11111100,11001100,11001100,01100110,11001100,01110110,11000000,00110000,11001100,11001100,11010110,01101100,11000110,01001100,01110000,00011000,00001110,00000000,01101100,11000000,11001100,11000110,00001100,00001100,00001100,00001100,11000000,11000110,11000110,11000110,00011000,00011000,00111000,11000110,11000110,01100000,00001100,11111110,01111000,01111000,01111000,11001100,11001100,11001100,01100110,11001100,11000000,11110000,11111100,11111010,00111100,00001100,00110000,01111000,11001100,11111000,11101100,00111110,00111000,01100000,11111110,11111110,11011110,11011011,00011000,11001100,00110011,11001100,10101010,11101110,00011000,00011000,00011000,00110110,00000000,00011000,00000110,00110110,00000110,00000110,00110110,00011000,00000000,00011000,00011000,00000000,00011000,00000000,00011000,00011000,00110110,00110000,00110000,00000000,00000000,00110000,00000000,00000000,00000000,00110110,00000000,00000000,00110110,00011000,00011000,00000000,00110110,00011000,00011000,00000000,11111111,00000000,11110000,00001111,11111111,11011100,11111000,11000000,01101100,00110000,11001100,01100110,00011000,11001100,11111110,11000110,01111100,11010110,11010110,11111000,11001100,11111111,00110000,00011000,00110000,00011000,00011000,11111100,00000000,00111000,00011000,00000000,00001100,01101100,01100000,00111110,00000000, +00000000,10111101,11000011,01111100,01111100,11111110,11111110,00111100,11000011,01000010,10111101,11001100,00111100,00110000,01100011,11100111,11111000,00111110,00011000,01100110,00011011,01100110,01111110,01111110,00011000,01111110,00001100,01100000,11000000,01100110,01111110,00111100,00000000,00011000,00000000,11111110,00000110,00110000,11011100,00000000,00110000,00001100,00111100,00011000,00000000,00000000,00000000,01100000,11110110,00110000,01100000,00001100,11111110,00001100,11001100,00110000,11001100,00001100,00000000,00000000,00011000,00000000,00001100,00011000,11011110,11000110,01100110,11000000,01100110,01101000,01101000,11001110,11000110,00011000,11001100,01101100,01100010,11010110,11001110,11000110,01100000,11000110,01101100,00001100,00011000,11000110,11000110,11010110,01101100,00011000,00110010,00110000,00001100,00001100,00000000,00000000,00000000,01111100,01100110,11000000,11001100,11111110,01100000,11001100,01100110,00011000,00000110,01111000,00011000,11010110,11001100,11001100,01100110,11001100,01100110,01111100,00110000,11001100,11001100,11010110,00111000,11000110,00011000,00011000,00011000,00011000,00000000,11000110,11000110,11001100,11111110,01111100,01111100,01111100,01111100,11000000,11111110,11111110,11111110,00011000,00011000,00011000,11111110,11111110,01111000,01111111,11001100,11001100,11001100,11001100,11001100,11001100,11001100,01100110,11001100,11000000,01100000,00110000,11000110,00011000,01111100,00110000,11001100,11001100,11001100,11111100,00000000,00000000,11000000,11000000,00000110,00110011,00110111,00011000,01100110,01100110,00110011,01010101,11011011,00011000,11111000,11111000,11110110,11111110,11111000,11110110,00110110,11110110,11111110,11111110,11111000,11111000,00011111,11111111,11111111,00011111,11111111,11111111,00011111,00110111,00111111,00110111,11111111,11110111,00110111,11111111,11110111,11111111,11111111,11111111,11111111,00111111,00011111,00011111,00111111,11111111,11111111,11111000,00011111,11111111,11111111,11110000,00001111,00000000,11011000,11001100,11000000,01101100,01100000,11001100,01100110,00011000,11001100,11000110,01101100,11001100,11010110,11010110,11000000,11001100,00000000,00110000,00110000,00011000,00011000,00011000,00000000,01110110,00000000,00011000,00011000,11101100,01101100,01111000,00111110,00000000, +00000000,10011001,11100111,00111000,00111000,11010110,01111100,00011000,11100111,00100100,11011011,11001100,00011000,01110000,01100111,00111100,11100000,00001110,01111110,00000000,00011011,00111100,01111110,00111100,00011000,00111100,00011000,00110000,11111110,00100100,01111110,00111100,00000000,00000000,00000000,01101100,01111100,01100110,11001100,00000000,00011000,00011000,01100110,00011000,00011000,00000000,00011000,11000000,11100110,00110000,11001100,11001100,00001100,11001100,11001100,00110000,11001100,00011000,00011000,00011000,00001100,01111110,00011000,00000000,11000000,11000110,01100110,01100110,01101100,01100010,01100000,01100110,11000110,00011000,11001100,01100110,01100110,11000110,11000110,11000110,01100000,11001110,01100110,01100110,00011000,11000110,01101100,11111110,11000110,00011000,01100110,00110000,00000110,00001100,00000000,00000000,00000000,11001100,01100110,11000110,11001100,11000000,01100000,01111100,01100110,00011000,01100110,01101100,00011000,11010110,11001100,11001100,01111100,01111100,01100000,00000110,00110110,11001100,01111000,11111110,01101100,01111110,00110010,00011000,00011000,00011000,00000000,11000110,01111100,11001100,11000000,11001100,11001100,11001100,11001100,01111110,11000000,11000000,11000000,00011000,00011000,00011000,11000110,11000110,01100000,11001100,11001100,11001100,11001100,11001100,11001100,11001100,01111100,00111100,11001100,01111100,11100110,11111100,11001111,00011000,11001100,00110000,11001100,11001100,11001100,11011100,01111110,01111100,11011000,11000000,00000110,01100110,01101111,00011000,00110011,11001100,11001100,10101010,01110111,00011000,00011000,00011000,00110110,00110110,00011000,00110110,00110110,00110110,00000000,00000000,00000000,00011000,00000000,00000000,00011000,00011000,00000000,00011000,00011000,00110110,00000000,00110110,00000000,00110110,00110110,00000000,00110110,00000000,00000000,00011000,00110110,00000000,00000000,00011000,00110110,00110110,00011000,00000000,00011000,11111111,11111111,11110000,00001111,00000000,11011100,11111000,11000000,01101100,11001100,11001100,01111100,00011000,01111000,01101100,01101100,11001100,01111100,01111100,01100000,11001100,11111111,00000000,00000000,00000000,00011000,00011000,00110000,11011100,00000000,00000000,00000000,01101100,00000000,00000000,00111110,00000000, +00000000,10000001,11111111,00010000,00010000,00010000,00010000,00000000,11111111,00011000,11100111,11001100,00111100,11110000,11100100,11011011,10000000,00000010,00111100,01100110,00011011,10000110,01111110,00011000,00011000,00011000,00000000,00000000,00000000,00000000,11111111,00011000,00000000,00011000,00000000,01101100,00011000,11000110,01110110,00000000,00001100,00110000,00000000,00000000,00011000,00000000,00011000,10000000,01111100,11111100,11111100,01111000,00011110,01111000,01111000,00110000,01111000,01110000,00011000,00011000,00000110,00000000,00110000,00011000,01111100,11000110,11111100,00111100,11111000,11111110,11110000,00111010,11000110,00111100,01111000,11100110,11111110,11000110,11000110,01111100,11110000,01111100,11100110,00111100,00111100,01111100,00111000,01101100,11000110,00111100,11111110,00111100,00000010,00111100,00000000,00000000,00000000,01110110,11011100,01111100,01110110,01111100,11110000,00001100,11100110,00111100,01100110,11100110,00111100,11010110,11001100,01111000,01100000,00001100,11110000,11111100,00011100,01110110,00110000,01101100,11000110,00000110,01111110,00001110,00011000,01110000,00000000,11111110,00001100,01110110,01111100,01110110,01110110,01110110,01110110,00001100,01111100,01111100,01111100,00111100,00111100,00111100,11000110,11000110,11111100,01111111,11001110,01111000,01111000,01111000,01111110,01111110,00001100,00011000,01111000,00010000,11111100,00110000,11000110,11011000,01111110,01111000,01111000,01111110,11001100,11001100,00000000,00000000,01110000,00000000,00000000,11001100,11001111,00011000,00000000,00000000,00110011,01010101,11011011,00011000,00011000,00011000,00110110,00110110,00011000,00110110,00110110,00110110,00000000,00000000,00000000,00011000,00000000,00000000,00011000,00011000,00000000,00011000,00011000,00110110,00000000,00110110,00000000,00110110,00110110,00000000,00110110,00000000,00000000,00011000,00110110,00000000,00000000,00011000,00110110,00110110,00011000,00000000,00011000,11111111,11111111,11110000,00001111,00000000,01110110,11000000,11000000,01101100,11111100,01111000,01100000,00011000,00110000,00111000,11101110,01111000,00000000,01100000,00110000,11001100,00000000,11111100,11111100,11111100,00011000,11011000,00110000,00000000,00000000,00000000,00000000,01101100,00000000,00000000,00000000,00000000, +00000000,01111110,01111110,00000000,00000000,00111000,00111000,00000000,11111111,00000000,11111111,01111000,00011000,11100000,10000000,00011000,00000000,00000000,00011000,01100110,00011011,01111100,00000000,11111111,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00110000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00110000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000110,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,11111111,00000000,00000000,00000000,00000000,00000000,00000000,00000000,01111000,00000000,00000000,00111100,00000000,00000000,00000000,00000000,00000000,11110000,00011110,00000000,00000000,00000000,00000000,00000000,00000000,00000000,11111100,00000000,00000000,00000000,00000000,00000000,00000000,01111000,00000000,00000000,00000000,00000000,00000000,00000000,00111000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,11111100,00000000,00000000,00010000,00000000,00110000,11000111,01110000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00000000,00001111,00000011,00000000,00000000,00000000,11001100,10101010,11101110,00011000,00011000,00011000,00110110,00110110,00011000,00110110,00110110,00110110,00000000,00000000,00000000,00011000,00000000,00000000,00011000,00011000,00000000,00011000,00011000,00110110,00000000,00110110,00000000,00110110,00110110,00000000,00110110,00000000,00000000,00011000,00110110,00000000,00000000,00011000,00110110,00110110,00011000,00000000,00011000,11111111,11111111,11110000,00001111,00000000,00000000,11000000,00000000,00000000,00000000,00000000,11000000,00000000,11111100,00000000,00000000,00000000,00000000,11000000,00000000,00000000,00000000,00000000,00000000,00000000,00011000,01110000,00000000,00000000,00000000,00000000,00000000,00111000,00000000,00000000,00000000,00000000 \ No newline at end of file
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/fontrom.coe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/preram.coe =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/preram.coe (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/preram.coe (revision 2) @@ -0,0 +1,47 @@ +memory_initialization_radix=16; + +memory_initialization_vector=20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,5f,5f,20,20,20,20,20,5f,5f,5f,20,20,20,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,20,20,5f,5f,5f,5f,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,20,20, +20,20,5c,20,5c,20,20,20,2f,20,28,5f,29,5f,20,5f,5f,7c,20,7c,5f,20,5f,20,20,20,5f,20,20,5f,5f,20,5f,7c,20,7c,20,7c,5f,20,20,20,5f,7c,5f,5f,20,5f,20,5f,5f,20,5f,20,5f,5f,20,5f,5f,5f,20,28,5f,29,5f,20,5f,5f,20,20,20,5f,5f,20,5f,7c,20,7c,20,20, +20,20,20,5c,20,5c,20,2f,20,2f,7c,20,7c,20,27,5f,5f,7c,20,5f,5f,7c,20,7c,20,7c,20,7c,2f,20,5f,60,20,7c,20,7c,20,20,20,7c,20,7c,2f,20,5f,20,5c,20,27,5f,5f,7c,20,27,5f,20,60,20,5f,20,5c,7c,20,7c,20,27,5f,20,5c,20,2f,20,5f,60,20,7c,20,7c,20,20, +20,20,20,20,5c,20,56,20,2f,20,7c,20,7c,20,7c,20,20,7c,20,7c,5f,7c,20,7c,5f,7c,20,7c,20,28,5f,7c,20,7c,20,7c,20,20,20,7c,20,7c,20,20,5f,5f,2f,20,7c,20,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,28,5f,7c,20,7c,20,7c,20,20, +20,20,20,20,20,5c,5f,2f,20,20,7c,5f,7c,5f,7c,20,20,20,5c,5f,5f,7c,5c,5f,5f,2c,5f,7c,5c,5f,5f,2c,5f,7c,5f,7c,20,20,20,7c,5f,7c,5c,5f,5f,5f,7c,5f,7c,20,20,7c,5f,7c,20,7c,5f,7c,20,7c,5f,7c,5f,7c,5f,7c,20,7c,5f,7c,5c,5f,5f,2c,5f,7c,5f,7c,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,7c,5f,5f,20,20,5f,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,27,5f,20,5c,7c,20,7c,20,7c,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,7c,5f,29,20,7c,20,7c,5f,7c,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,5f,2e,5f,5f,2f,20,5c,5f,5f,2c,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,5f,5f,5f,2f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,5f,5f,5f,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,5f,5f,5f,5f,7c,5f,20,5f,5f,20,20,20,5f,5f,5f,20,5f,20,5f,5f,20,5f,5f,20,5f,20,5f,20,20,20,5f,7c,20,7c,20,20,20,20,5f,5f,20,5f,7c,20,7c,5f,5f,20,20,5f,5f,5f,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,20,5f,7c,20,7c,20,27,5f,20,5c,20,2f,20,5f,20,5c,20,27,5f,5f,2f,20,5f,60,20,7c,20,7c,20,7c,20,7c,20,7c,20,20,20,2f,20,5f,60,20,7c,20,27,5f,20,5c,2f,20,5f,5f,7c,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,7c,5f,5f,5f,7c,20,7c,20,7c,20,7c,20,20,5f,5f,2f,20,7c,20,7c,20,28,5f,7c,20,7c,20,7c,5f,7c,20,7c,20,7c,5f,5f,7c,20,28,5f,7c,20,7c,20,7c,5f,29,20,5c,5f,5f,20,5c,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,7c,5f,5f,5f,5f,5f,7c,5f,7c,20,7c,5f,7c,5c,5f,5f,5f,7c,5f,7c,20,20,5c,5f,5f,2c,20,7c,5c,5f,5f,2c,20,7c,5f,5f,5f,5f,5f,5c,5f,5f,2c,5f,7c,5f,2e,5f,5f,2f,7c,5f,5f,5f,2f,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,5f,5f,5f,2f,20,7c,5f,5f,5f,2f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,5f,5f,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,5f,20,5f,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,5f,5f,20,29,20,5f,20,5f,5f,20,5f,5f,20,5f,20,5f,5f,5f,28,5f,29,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,20,5f,20,5c,7c,20,27,5f,5f,2f,20,5f,60,20,2f,20,5f,5f,7c,20,7c,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,7c,5f,29,20,7c,20,7c,20,7c,20,28,5f,7c,20,5c,5f,5f,20,5c,20,7c,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,5f,5f,5f,5f,2f,7c,5f,7c,20,20,5c,5f,5f,2c,5f,7c,5f,5f,5f,2f,5f,7c,5f,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,2e,20,20,20,20,20,2e,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,2e,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,20,20,20,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,7c,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,2c,2d,2e,20,3b,2d,2e,20,2c,2d,2e,20,3b,2d,2e,20,2c,2d,3a,20,2e,20,2e,20,7c,20,2c,2d,3a,20,7c,2d,2e,20,2c,2d,2e,20,20,20,2c,2d,2e,20,2c,2d,2e,20,3b,2d,2e,2d,2e,20,20,20,7c,2d,2e,20,3b,2d,2e,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,7c,2d,27,20,7c,20,7c,20,7c,2d,27,20,7c,20,20,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,7c,20,60,2d,2e,20,20,20,7c,20,20,20,7c,20,7c,20,7c,20,7c,20,7c,20,20,20,7c,20,7c,20,7c,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,60,2d,27,20,27,20,27,20,60,2d,27,20,27,20,20,20,60,2d,7c,20,60,2d,7c,20,27,20,60,2d,60,20,60,2d,27,20,60,2d,27,20,6f,20,60,2d,27,20,60,2d,27,20,27,20,27,20,27,20,6f,20,60,2d,27,20,27,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,60,2d,27,20,60,2d,27,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20, +20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,20,
virtual_rs232_terminal_with_lvds_lcd/trunk/rtl/MemoryInit/preram.coe Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/Virtual Terminal.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/Virtual Terminal.bit =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/docs/Virtual Terminal.bit (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/docs/Virtual Terminal.bit (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/docs/Virtual Terminal.bit Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_Test.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_Test.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_Test.txt (revision 2) @@ -0,0 +1,103 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + __ ___ _ _ _____ _ _ + \ \ / (_)_ __| |_ _ _ __ _| | |_ _|__ _ __ _ __ ___ (_)_ __ __ _| | + \ \ / /| | '__| __| | | |/ _` | | | |/ _ \ '__| '_ ` _ \| | '_ \ / _` | | + \ V / | | | | |_| |_| | (_| | | | | __/ | | | | | | | | | | | (_| | | + \_/ |_|_| \__|\__,_|\__,_|_| |_|\___|_| |_| |_| |_|_|_| |_|\__,_|_| + + _ + | |__ _ _ + | '_ \| | | | + | |_) | |_| | + |_.__/ \__, | + |___/ + _____ _ _ + | ____|_ __ ___ _ __ __ _ _ _| | __ _| |__ ___ + | _| | '_ \ / _ \ '__/ _` | | | | | / _` | '_ \/ __| + | |___| | | | __/ | | (_| | |_| | |__| (_| | |_) \__ \ + |_____|_| |_|\___|_| \__, |\__, |_____\__,_|_.__/|___/ + |___/ |___/ + ____ _ _ + | __ ) _ __ __ _ ___(_) | + | _ \| '__/ _` / __| | | + | |_) | | | (_| \__ \ | | + |____/|_| \__,_|___/_|_| + + . . . + | | | + ,-. ;-. ,-. ;-. ,-: . . | ,-: |-. ,-. ,-. ,-. ;-.-. |-. ;-. + |-' | | |-' | | | | | | | | | | `-. | | | | | | | | | + `-' ' ' `-' ' `-| `-| ' `-` `-' `-' o `-' `-' ' ' ' o `-' ' + `-' `-' + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + _ _ _ _ _ _ + __ _(_) |_| |__ | |_ ___ _ __ _ __ ___ (_)_ __ __ _| | + \ \ /\ / / | __| '_ \ | __/ _ \ '__| '_ ` _ \| | '_ \ / _` | | + \ V V /| | |_| | | | | || __/ | | | | | | | | | | | (_| | | + \_/\_/ |_|\__|_| |_| \__\___|_| |_| |_| |_|_|_| |_|\__,_|_| + + _ _ _ + ___ ___ _ __ ___ | | | | + / __|/ __| '__/ _ \| | | | + \__ \ (__| | | (_) | | |_| + |___/\___|_| \___/|_|_(_)
virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_Test.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_console.txt =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_console.txt (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_console.txt (revision 2) @@ -0,0 +1,55 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + __ ___ _ _ _____ _ _ + \ \ / (_)_ __| |_ _ _ __ _| | |_ _|__ _ __ _ __ ___ (_)_ __ __ _| | + \ \ / /| | '__| __| | | |/ _` | | | |/ _ \ '__| '_ ` _ \| | '_ \ / _` | | + \ V / | | | | |_| |_| | (_| | | | | __/ | | | | | | | | | | | (_| | | + \_/ |_|_| \__|\__,_|\__,_|_| |_|\___|_| |_| |_| |_|_|_| |_|\__,_|_| + + _ + | |__ _ _ + | '_ \| | | | + | |_) | |_| | + |_.__/ \__, | + |___/ + _____ _ _ + | ____|_ __ ___ _ __ __ _ _ _| | __ _| |__ ___ + | _| | '_ \ / _ \ '__/ _` | | | | | / _` | '_ \/ __| + | |___| | | | __/ | | (_| | |_| | |__| (_| | |_) \__ \ + |_____|_| |_|\___|_| \__, |\__, |_____\__,_|_.__/|___/ + |___/ |___/ + ____ _ _ + | __ ) _ __ __ _ ___(_) | + | _ \| '__/ _` / __| | | + | |_) | | | (_| \__ \ | | + |____/|_| \__,_|___/_|_| + + . . . + | | | + ,-. ;-. ,-. ;-. ,-: . . | ,-: |-. ,-. ,-. ,-. ;-.-. |-. ;-. + |-' | | |-' | | | | | | | | | | `-. | | | | | | | | | + `-' ' ' `-' ' `-| `-| ' `-` `-' `-' o `-' `-' ' ' ' o `-' ' + `-' `-'
virtual_rs232_terminal_with_lvds_lcd/trunk/docs/banner_console.txt Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/scancodes.ods =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/scancodes.ods =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/docs/scancodes.ods (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/docs/scancodes.ods (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/docs/scancodes.ods Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/dataserial.ods =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: virtual_rs232_terminal_with_lvds_lcd/trunk/docs/dataserial.ods =================================================================== --- virtual_rs232_terminal_with_lvds_lcd/trunk/docs/dataserial.ods (nonexistent) +++ virtual_rs232_terminal_with_lvds_lcd/trunk/docs/dataserial.ods (revision 2)
virtual_rs232_terminal_with_lvds_lcd/trunk/docs/dataserial.ods Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.