OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /xge_mac
    from Rev 18 to Rev 19
    Reverse comparison

Rev 18 → Rev 19

/trunk/tbench/systemc/sc_pkt_if.h
40,6 → 40,8
 
#include "systemc.h"
 
#include "sc_defines.h"
 
#include "sc_packet.h"
#include "sc_scoreboard.h"
 
54,7 → 56,7
 
sc_in<bool> reset_156m25_n;
 
sc_out<unsigned long long > pkt_tx_data;
sc_out<vluint64_t > pkt_tx_data;
sc_out<bool> pkt_tx_eop;
sc_out<unsigned int> pkt_tx_mod;
sc_out<bool> pkt_tx_sop;
63,7 → 65,7
sc_in<bool> pkt_tx_full;
 
sc_in<bool> pkt_rx_avail;
sc_in<unsigned long long > pkt_rx_data;
sc_in<vluint64_t > pkt_rx_data;
sc_in<bool> pkt_rx_eop;
sc_in<unsigned int> pkt_rx_mod;
sc_in<bool> pkt_rx_err;
/trunk/tbench/systemc/sc_defines.h
0,0 → 1,46
//////////////////////////////////////////////////////////////////////
//// ////
//// File name "sc_pkt_if.h" ////
//// ////
//// This file is part of the "10GE MAC" project ////
//// http://www.opencores.org/cores/xge_mac/ ////
//// ////
//// Author(s): ////
//// - A. Tanguay (antanguay@opencores.org) ////
//// ////
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2008 AUTHORS. All rights reserved. ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
 
// 64-bit Types for 32-bit and 64-bit OS
 
# if defined(__WORDSIZE) && (__WORDSIZE == 64)
typedef long vlsint64_t;
typedef unsigned long vluint64_t;
# else
typedef long long vlsint64_t;
typedef unsigned long long vluint64_t;
# endif
/trunk/tbench/systemc/sc_main.cpp
56,6 → 56,8
 
#include "Vxge_mac.h" // Top level header, generated from verilog
 
#include "sc_defines.h"
 
#include "sc_testbench.h"
#include "sc_testcases.h"
 
77,7 → 79,7
sc_clock clk_xgmii ("clk_xgmii", 10, SC_NS, 0.5);
 
sc_signal<bool> pkt_rx_ren;
sc_signal<unsigned long long > pkt_tx_data;
sc_signal<vluint64_t > pkt_tx_data;
sc_signal<bool> pkt_tx_eop;
sc_signal<unsigned int> pkt_tx_mod;
sc_signal<bool> pkt_tx_sop;
91,10 → 93,10
sc_signal<bool> wb_stb_i;
sc_signal<bool> wb_we_i;
sc_signal<unsigned int> xgmii_rxc;
sc_signal<unsigned long long > xgmii_rxd;
sc_signal<vluint64_t > xgmii_rxd;
 
sc_signal<bool> pkt_rx_avail;
sc_signal<unsigned long long > pkt_rx_data;
sc_signal<vluint64_t > pkt_rx_data;
sc_signal<bool> pkt_rx_eop;
sc_signal<unsigned int> pkt_rx_mod;
sc_signal<bool> pkt_rx_sop;
105,7 → 107,7
sc_signal<unsigned int> wb_dat_o;
sc_signal<bool> wb_int_o;
sc_signal<unsigned int> xgmii_txc;
sc_signal<unsigned long long > xgmii_txd;
sc_signal<vluint64_t > xgmii_txd;
 
//==========
// Part under test
/trunk/tbench/systemc/sc_testbench.h
40,6 → 40,8
 
#include "systemc.h" // SystemC global header
 
#include "sc_defines.h"
 
#include "sc_cpu_if.h"
#include "sc_pkt_if.h"
#include "sc_xgmii_if.h"
72,12 → 74,12
sc_out<bool> wb_we_i;
 
sc_out<unsigned int> xgmii_rxc;
sc_out<unsigned long long > xgmii_rxd;
sc_out<vluint64_t > xgmii_rxd;
 
sc_in<unsigned int> xgmii_txc;
sc_in<unsigned long long > xgmii_txd;
sc_in<vluint64_t > xgmii_txd;
 
sc_out<unsigned long long > pkt_tx_data;
sc_out<vluint64_t > pkt_tx_data;
sc_out<bool> pkt_tx_eop;
sc_out<unsigned int> pkt_tx_mod;
sc_out<bool> pkt_tx_sop;
86,7 → 88,7
sc_in<bool> pkt_tx_full;
 
sc_in<bool> pkt_rx_avail;
sc_in<unsigned long long > pkt_rx_data;
sc_in<vluint64_t > pkt_rx_data;
sc_in<bool> pkt_rx_eop;
sc_in<unsigned int> pkt_rx_mod;
sc_in<bool> pkt_rx_err;
/trunk/tbench/systemc/sc_xgmii_if.h
40,6 → 40,8
 
#include "systemc.h"
 
#include "sc_defines.h"
 
#include "sc_packet.h"
#include "sc_scoreboard.h"
 
55,10 → 57,10
sc_in<bool> reset_xgmii_n;
 
sc_out<unsigned int> xgmii_rxc;
sc_out<unsigned long long > xgmii_rxd;
sc_out<vluint64_t > xgmii_rxd;
 
sc_in<unsigned int> xgmii_txc;
sc_in<unsigned long long > xgmii_txd;
sc_in<vluint64_t > xgmii_txd;
 
private:
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.