OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_verilator/] [topology/] [star.h] - Diff between revs 48 and 54

Only display areas with differences | Details | Blame | View Log

Rev 48 Rev 54
#ifndef STAR_H
#ifndef STAR_H
        #define STAR_H
        #define STAR_H
 
 
 
 
 
 
void topology_connect_all_nodes (void){
void topology_connect_all_nodes_old (void){
        router1[0]->current_r_addr = 0;
 
 
 
        unsigned int pos;
        unsigned int pos;
        for ( pos = 0; pos <  NE; pos=pos+1 ) {// : endpoints   
        for ( pos = 0; pos <  NE; pos=pos+1 ) {// : endpoints   
 
 
                //assign router_chan_out [0][pos] =   chan_in_all [pos];
                //assign router_chan_out [0][pos] =   chan_in_all [pos];
                //assign chan_out_all [pos]             =   router_chan_in [0][pos];
                //assign chan_out_all [pos]             =   router_chan_in [0][pos];
        connect_r2e(1,0,pos,pos);
        connect_r2e(1,0,pos,pos);
            er_addr [pos] = 0;
            er_addr [pos] = 0;
 
 
        }//pos 
        }//pos 
}
}
 
 
 
void topology_connect_r2r (unsigned int n){
 
 
 
}
 
 
 
void topology_connect_r2e (unsigned int n){
 
        connect_r2e(1,0,n,n);
 
}
 
 
 
 
 
 
 
 
unsigned int endp_addr_encoder ( unsigned int id){
unsigned int endp_addr_encoder ( unsigned int id){
        return id;
        return id;
}
}
 
 
unsigned int endp_addr_decoder (unsigned int code){
unsigned int endp_addr_decoder (unsigned int code){
    return id;
    return code;
}
}
 
 
void topology_init (void){
void topology_init (void){
 
        router1[0]->current_r_addr = 0;
 
        router1[0]->current_r_id   = 0;
 
        for ( int pos = 0; pos <  NE; pos=pos+1 ) {// : endpoints
 
                  er_addr [pos] = 0;
 
 
 
        }
 
 
}
}
 
 
#endif
#endif
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.