OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [testbench/] [tb-ed.vhdl] - Diff between revs 3 and 4

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 4
----------------------------------------------------------------------------------
 
-- Company: Carnegie Mellon University, Pittsburgh PA 
 
-- Engineer: Justin Wagner
 
-- 
 
-- Create Date:    7/Oct/2011
 
-- Design Name: 
 
-- Module Name:    tb_edge_detector - testbench 
 
-- Project Name: 
 
-- Target Devices:  n/a
 
-- Tool versions: 
 
--
 
-- Dependencies: 
 
--
 
----------------------------------------------------------------------------------
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use std.textio.all;
use std.textio.all;
 
 
entity tb_edge_detector is
entity tb_edge_detector is
  --empty
  --empty
end tb_edge_detector;
end tb_edge_detector;
 
 
 
 
architecture beh of tb_edge_detector is
architecture beh of tb_edge_detector is
 
 
  component edge_detector
  component edge_detector
        port(
        port(
                din   :  in  std_logic;
                din   :  in  std_logic;
                clk   :  in  std_logic;
                clk   :  in  std_logic;
                rst_n :  in  std_logic;
                rst_n :  in  std_logic;
                dout  :  out std_logic
                dout  :  out std_logic
            );
            );
  end component edge_detector;
  end component edge_detector;
 
 
 
 
  --signal declaration
  --signal declaration
 
 
     signal clk_net        : std_logic;
     signal clk_net        : std_logic;
     signal rst_n_net      : std_logic;
     signal rst_n_net      : std_logic;
     signal din_net        : std_logic;
     signal din_net        : std_logic;
     signal dout_net       : std_logic;
     signal dout_net       : std_logic;
 
 
 begin
 begin
        inst_1: edge_detector
        inst_1: edge_detector
          port map(
          port map(
                  din   =>  din_net,
                  din   =>  din_net,
                  clk   =>  clk_net,
                  clk   =>  clk_net,
                  rst_n =>  rst_n_net,
                  rst_n =>  rst_n_net,
                  dout  =>  dout_net
                  dout  =>  dout_net
                  );
                  );
 
 
 
 
    clk_p : process
    clk_p : process
    begin
    begin
      clk_net <= '0';
      clk_net <= '0';
      wait for 2 ns;
      wait for 2 ns;
      clk_net <= '1';
      clk_net <= '1';
      wait for 2 ns;
      wait for 2 ns;
    end process clk_p;
    end process clk_p;
 
 
    input_data : process
    input_data : process
        begin
        begin
            din_net <= '0';
            din_net <= '0';
                wait for 7 ns;
                wait for 7 ns;
            din_net <= '1';
            din_net <= '1';
                wait for 10 ns;
                wait for 10 ns;
            din_net <= '0';
            din_net <= '0';
                wait for 20 ns;
                wait for 20 ns;
        end process input_data;
        end process input_data;
 
 
    test_bench : process
    test_bench : process
        begin
        begin
 
 
          rst_n_net <= '0';
          rst_n_net <= '0';
          wait for 1 ns;
          wait for 1 ns;
          rst_n_net <= '1';
          rst_n_net <= '1';
          wait for 100 ns;
          wait for 100 ns;
 
 
          assert false
          assert false
          report "End of Simulation"
          report "End of Simulation"
          severity failure;
          severity failure;
 
 
        end process test_bench;
        end process test_bench;
 
 
end beh;
end beh;
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.