OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [port_blinker/] [1.0/] [ip_xact/] [port_blinker.1.0.xml] - Diff between revs 145 and 181

Only display areas with differences | Details | Blame | View Log

Rev 145 Rev 181
        TUT
        TUT
        ip.hwp.accelerator
        ip.hwp.accelerator
        port_blinker
        port_blinker
        1.0
        1.0
 
        Counts up and inverts output when reaching the limit value. Then start over again.
        
        
                
                
                        clk
                        clk
                        
                        
                        
                        
                        
                        
                        false
                        false
                        
                        
                                
                                
                                        
                                        
                                                CLK
                                                CLK
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                        
                                        
                                                clk
                                                clk
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                
                                
                        
                        
                        8
                        8
                        little
                        little
                
                
                
                
                        port_out
                        port_out
                        
                        
                        
                        
                        
                        
                        false
                        false
                        
                        
                                
                                
                                        
                                        
                                                gpio
                                                gpio
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                        
                                        
                                                port_out
                                                port_out
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                
                                
                        
                        
                        8
                        8
                        little
                        little
                
                
                
                
                        rst_n
                        rst_n
                        
                        
                        
                        
                        
                        
                        false
                        false
                        
                        
                                
                                
                                        
                                        
                                                RESETn
                                                RESETn
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                        
                                        
                                                rst_n
                                                rst_n
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                
                                
                        
                        
                        8
                        8
                        little
                        little
                
                
                
                
                        signal_gen_if
                        signal_gen_if
                        
                        
                        
                        
                        
                        
                        false
                        false
                        
                        
                                
                                
                                        
                                        
                                                ENABLE_FROM_GEN
                                                ENABLE_FROM_GEN
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                        
                                        
                                                ena_in
                                                ena_in
                                                
                                                
                                                        0
                                                        0
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                
                                
                                
                                
                                        
                                        
                                                SIGNAL_FROM_GEN
                                                SIGNAL_FROM_GEN
                                                
                                                
                                                        31
                                                        31
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                        
                                        
                                                val_in
                                                val_in
                                                
                                                
                                                        31
                                                        31
                                                        0
                                                        0
                                                
                                                
                                        
                                        
                                
                                
                        
                        
                        8
                        8
                        little
                        little
                
                
        
        
        
        
                
                
                        
                        
                                rtl
                                rtl
                                vhdl::
                                vhdl::
                                
                                
                                        hdlSources
                                        hdlSources
                                
                                
                        
                        
                
                
                
                
                        
                        
                                clk
                                clk
                                
                                
                                        in
                                        in
                                        
                                        
                                                0
                                                0
                                                0
                                                0
                                        
                                        
                                        
                                        
                                                
                                                
                                                        std_logic
                                                        std_logic
                                                        IEEE.std_logic_1164.all
                                                        IEEE.std_logic_1164.all
                                                        rtl
                                                        rtl
                                                
                                                
                                        
                                        
                                
                                
 
                                
 
                                        
 
                                
                        
                        
                        
                        
                                ena_in
                                ena_in
                                
                                
                                        in
                                        in
                                        
                                        
                                                0
                                                0
                                                0
                                                0
                                        
                                        
                                        
                                        
                                                
                                                
                                                        std_logic
                                                        std_logic
                                                        IEEE.std_logic_1164.all
                                                        IEEE.std_logic_1164.all
                                                        rtl
                                                        rtl
                                                
                                                
                                        
                                        
                                
                                
 
                                
 
                                        
 
                                
                        
                        
                        
                        
                                port_out
                                port_out
                                
                                
                                        out
                                        out
                                        
                                        
                                                0
                                                0
                                                0
                                                0
                                        
                                        
                                        
                                        
                                                
                                                
                                                        std_logic
                                                        std_logic
                                                        IEEE.std_logic_1164.all
                                                        IEEE.std_logic_1164.all
                                                        rtl
                                                        rtl
                                                
                                                
                                        
                                        
                                
                                
 
                                
 
                                        
 
                                
                        
                        
                        
                        
                                rst_n
                                rst_n
                                
                                
                                        in
                                        in
                                        
                                        
                                                0
                                                0
                                                0
                                                0
                                        
                                        
                                        
                                        
                                                
                                                
                                                        std_logic
                                                        std_logic
                                                        IEEE.std_logic_1164.all
                                                        IEEE.std_logic_1164.all
                                                        rtl
                                                        rtl
                                                
                                                
                                        
                                        
                                
                                
 
                                
 
                                        
 
                                
                        
                        
                        
                        
                                val_in
                                val_in
                                
                                
                                        in
                                        in
                                        
                                        
                                                31
                                                31
                                                0
                                                0
                                        
                                        
                                        
                                        
                                                
                                                
                                                        std_logic_vector
                                                        std_logic_vector
                                                        IEEE.std_logic_1164.all
                                                        IEEE.std_logic_1164.all
                                                        rtl
                                                        rtl
                                                
                                                
                                        
                                        
                                
                                
 
                                
 
                                        
 
                                
                        
                        
                
                
                
                
                        
                        
                                SIGNAL_WIDTH
                                SIGNAL_WIDTH
                                In bits
                                In bits
                                32
                                32
                        
                        
                
                
        
        
        
        
                
                
                        hdlSources
                        hdlSources
                        
                        
                                ../vhd/port_blinker.vhd
                                ../vhd/port_blinker.vhd
                                vhdlSource
                                vhdlSource
                                false
                                false
                                work
                                work
                                
 
                                        false
 
                                
 
                        
                        
                        
                        
                                vhdlSource
                                vhdlSource
                                vcom
                                vcom
                                -quiet -check_synthesis
                                -quiet -check_synthesis
                                false
                                false
                        
                        
                
                
                
                
                        documentation
                        documentation
                        Auto-generated HTML documentation of the component
                        Auto-generated HTML documentation of the component
                        documentation
                        documentation
                        
                        
                                ../doc/port_blinker.html
                                ../doc/port_blinker.html
                                documentation
                                documentation
                                false
                                false
                                
 
                                        false
 
                                
 
                        
                        
                        
                        
                                ../doc/TUT.ip.hwp.accelerator.port_blinker.1.0.png
                                ../doc/TUT.ip.hwp.accelerator.port_blinker.1.0.png
                                jpg
                                jpg
                                false
                                false
                                
 
                                        false
 
                                
 
                        
                        
                
                
        
        
        Counts up and inverts output when reaching the limit value. Then start over again.
 
        
        
                
                
                        
                        
                                IP
                                IP
                                HW
                                HW
                                Mutable
                                Mutable
                        
                        
                
                
        
        
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.