OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [gpibBusReg.vhd] - Diff between revs 3 and 13

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 13
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 
--This file is part of fpga_gpib_controller.
 
--
 
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
 
-- it under the terms of the GNU General Public License as published by
 
-- the Free Software Foundation, either version 3 of the License, or
 
-- (at your option) any later version.
 
--
 
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
 
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
 
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
-- GNU General Public License for more details.
 
 
 
-- You should have received a copy of the GNU General Public License
 
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
 
--------------------------------------------------------------------------------
-- Entity: gpibBusReg
-- Entity: gpibBusReg
-- Date:2011-11-13  
-- Date:2011-11-13  
-- Author: Administrator     
-- Author: Andrzej Paluch
--
--
-- Description ${cursor}
-- Description ${cursor}
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
 
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
 
 
entity gpibBusReg is
entity gpibBusReg is
        port (
        port (
                data_out : out std_logic_vector (15 downto 0);
                data_out : out std_logic_vector (15 downto 0);
                ------------------------------------------------
                ------------------------------------------------
                -- interface signals
                -- interface signals
                DIO : in std_logic_vector (7 downto 0);
                DIO : in std_logic_vector (7 downto 0);
                -- attention
                -- attention
                ATN : in std_logic;
                ATN : in std_logic;
                -- data valid
                -- data valid
                DAV : in std_logic;
                DAV : in std_logic;
                -- not ready for data
                -- not ready for data
                NRFD : in std_logic;
                NRFD : in std_logic;
                -- no data accepted
                -- no data accepted
                NDAC : in std_logic;
                NDAC : in std_logic;
                -- end or identify
                -- end or identify
                EOI : in std_logic;
                EOI : in std_logic;
                -- service request
                -- service request
                SRQ : in std_logic;
                SRQ : in std_logic;
                -- interface clear
                -- interface clear
                IFC : in std_logic;
                IFC : in std_logic;
                -- remote enable
                -- remote enable
                REN : in std_logic
                REN : in std_logic
        );
        );
end gpibBusReg;
end gpibBusReg;
 
 
architecture arch of gpibBusReg is
architecture arch of gpibBusReg is
 
 
begin
begin
 
 
        data_out(7 downto 0) <= DIO;
        data_out(7 downto 0) <= DIO;
        data_out(8) <= ATN;
        data_out(8) <= ATN;
        data_out(9) <= DAV;
        data_out(9) <= DAV;
        data_out(10) <= NRFD;
        data_out(10) <= NRFD;
        data_out(11) <= NDAC;
        data_out(11) <= NDAC;
        data_out(12) <= EOI;
        data_out(12) <= EOI;
        data_out(13) <= SRQ;
        data_out(13) <= SRQ;
        data_out(14) <= IFC;
        data_out(14) <= IFC;
        data_out(15) <= REN;
        data_out(15) <= REN;
 
 
end arch;
end arch;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.