OpenCores
URL https://opencores.org/ocsvn/pairing/pairing/trunk

Subversion Repositories pairing

[/] [pairing/] [trunk/] [testbench/] [test_post_route.v] - Diff between revs 20 and 22

Only display areas with differences | Details | Blame | View Log

Rev 20 Rev 22
`timescale 1ns / 1ns
`timescale 1ns / 1ns
`include "../rtl/inc.v"
`include "../rtl/inc.v"
/* purpose of this module is ISE post-route simulation */
/* purpose of this module is ISE post-route simulation */
/* if you don't use Xilinx ISE, please ignore this file :) */
/* if you don't use Xilinx ISE, please ignore this file :) */
module test_post_route;
module test_post_route;
 
 
        // Inputs
    // Inputs
        reg clk;
    reg clk;
        reg reset;
    reg reset;
        reg [`WIDTH:0] x1, y1, x2, y2;
    reg [`WIDTH:0] x1, y1, x2, y2;
 
 
        // Outputs
    // Outputs
        wire done, ok;
    wire done, ok;
 
 
        // Instantiate the Unit Under Test (UUT)
    // Instantiate the Unit Under Test (UUT)
        post_route_debug uut (
    post_route_debug uut (
                .clk(clk),
        .clk(clk),
                .reset(reset),
        .reset(reset),
                .x1(x1),
        .x1(x1),
                .y1(y1),
        .y1(y1),
                .x2(x2),
        .x2(x2),
                .y2(y2),
        .y2(y2),
                .done(done),
        .done(done),
                .ok(ok)
        .ok(ok)
        );
    );
 
 
        initial begin
    initial begin
                // Initialize Inputs
        // Initialize Inputs
                clk = 0;
        clk = 0;
                reset = 0;
        reset = 0;
                x1 = 0;
        x1 = 0;
                y1 = 0;
        y1 = 0;
                x2 = 0;
        x2 = 0;
                y2 = 0;
        y2 = 0;
 
 
                // Wait 100 ns for global reset to finish
        // Wait 100 ns for global reset to finish
                #100;
        #100;
 
 
                // Add stimulus here
        // Add stimulus here
        x1 = 194'h6a18950064046a122a14118668466a262a91509688159890;
        x1 = 194'h6a18950064046a122a14118668466a262a91509688159890;
        y1 = 194'h69112569422aa0a25224aa010888066061124a8685566825;
        y1 = 194'h69112569422aa0a25224aa010888066061124a8685566825;
        x2 = 194'h155945aa8924654812564110544995a28845901211454814;
        x2 = 194'h155945aa8924654812564110544995a28845901211454814;
        y2 = 194'h8481099460280628960a82559920000a99a2106955289a40;
        y2 = 194'h8481099460280628960a82559920000a99a2106955289a40;
        @ (negedge clk); reset = 1;
        @ (negedge clk); reset = 1;
        @ (negedge clk); reset = 0;
        @ (negedge clk); reset = 0;
        @ (posedge done); @ (negedge clk);
        @ (posedge done); @ (negedge clk);
        if (ok !== 1'b1) $display("E");
        if (ok !== 1'b1) $display("E");
        $finish;
        $finish;
 
 
        end
    end
 
 
    always #5 clk = ~clk;
    always #5 clk = ~clk;
endmodule
endmodule
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.