OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [latex/] [classdisplay__driver__w__decoder.tex] - Diff between revs 8 and 10

Only display areas with differences | Details | Blame | View Log

Rev 8 Rev 10
\hypertarget{classdisplay__driver__w__decoder}{}\section{display\+\_\+driver\+\_\+w\+\_\+decoder Entity Reference}
\hypertarget{classdisplay__driver__w__decoder}{}\section{display\+\_\+driver\+\_\+w\+\_\+decoder Entity Reference}
\label{classdisplay__driver__w__decoder}\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
\label{classdisplay__driver__w__decoder}\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
 
 
 
 
Top entity of the display driver.
Top entity of the display driver.
 
 
 
 
 
 
 
 
Inheritance diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
Inheritance diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
\begin{figure}[H]
\begin{figure}[H]
\begin{center}
\begin{center}
\leavevmode
\leavevmode
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__inherit__graph}
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__inherit__graph}
\end{center}
\end{center}
\end{figure}
\end{figure}
 
 
 
 
Collaboration diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
Collaboration diagram for display\+\_\+driver\+\_\+w\+\_\+decoder\+:\nopagebreak
\begin{figure}[H]
\begin{figure}[H]
\begin{center}
\begin{center}
\leavevmode
\leavevmode
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__coll__graph}
\includegraphics[width=207pt]{classdisplay__driver__w__decoder__coll__graph}
\end{center}
\end{center}
\end{figure}
\end{figure}
\subsection*{Entities}
\subsection*{Entities}
\begin{DoxyCompactItemize}
\begin{DoxyCompactItemize}
\item
\item
\hyperlink{classdisplay__driver__w__decoder_1_1display__driver__w__decoder__arch}{display\+\_\+driver\+\_\+w\+\_\+decoder\+\_\+arch} architecture
\hyperlink{classdisplay__driver__w__decoder_1_1display__driver__w__decoder__arch}{display\+\_\+driver\+\_\+w\+\_\+decoder\+\_\+arch} architecture
\begin{DoxyCompactList}\small\item\em Architecture definition of the \hyperlink{classdisplay__driver__w__decoder}{display\+\_\+driver\+\_\+w\+\_\+decoder}. \end{DoxyCompactList}\end{DoxyCompactItemize}
\begin{DoxyCompactList}\small\item\em Architecture definition of the \hyperlink{classdisplay__driver__w__decoder}{display\+\_\+driver\+\_\+w\+\_\+decoder}. \end{DoxyCompactList}\end{DoxyCompactItemize}
\subsection*{Libraries}
\subsection*{Libraries}
 \begin{DoxyCompactItemize}
 \begin{DoxyCompactItemize}
\item
\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}\label{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}\label{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}}
\hyperlink{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}{ieee}
\hyperlink{classdisplay__driver__w__decoder_a0a6af6eef40212dbaf130d57ce711256}{ieee}
\end{DoxyCompactItemize}
\end{DoxyCompactItemize}
\subsection*{Use Clauses}
\subsection*{Use Clauses}
 \begin{DoxyCompactItemize}
 \begin{DoxyCompactItemize}
\item
\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}\label{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}\label{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}}
\hyperlink{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}{std\+\_\+logic\+\_\+1164}
\hyperlink{classdisplay__driver__w__decoder_acd03516902501cd1c7296a98e22c6fcb}{std\+\_\+logic\+\_\+1164}
\item
\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}\label{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}\label{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}}
\hyperlink{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}{numeric\+\_\+std}
\hyperlink{classdisplay__driver__w__decoder_a2edc34402b573437d5f25fa90ba4013e}{numeric\+\_\+std}
\end{DoxyCompactItemize}
\end{DoxyCompactItemize}
\subsection*{Ports}
\subsection*{Ports}
 \begin{DoxyCompactItemize}
 \begin{DoxyCompactItemize}
\item
\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}\label{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}\label{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}}
\hyperlink{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}{clk}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\hyperlink{classdisplay__driver__w__decoder_a4a4609c199d30b3adebbeb3a01276ec5}{clk}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\begin{DoxyCompactList}\small\item\em input clock, xx M\+Hz. \end{DoxyCompactList}\item
\begin{DoxyCompactList}\small\item\em input clock, xx M\+Hz. \end{DoxyCompactList}\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}\label{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}\label{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}}
\hyperlink{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}{reset}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\hyperlink{classdisplay__driver__w__decoder_aad8dc6359d9e23dabcbf342fadf2fa06}{reset}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\begin{DoxyCompactList}\small\item\em active high \end{DoxyCompactList}\item
\begin{DoxyCompactList}\small\item\em active high \end{DoxyCompactList}\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}\label{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}\label{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}}
\hyperlink{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}{ascii\+\_\+in}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{7} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
\hyperlink{classdisplay__driver__w__decoder_a11737d50bbf001c0d64a327a2afca193}{ascii\+\_\+in}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{7} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
\begin{DoxyCompactList}\small\item\em input A\+S\+C\+II code to display \end{DoxyCompactList}\item
\begin{DoxyCompactList}\small\item\em input A\+S\+C\+II code to display \end{DoxyCompactList}\item
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}\label{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}\label{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}}
\hyperlink{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}{wr\+\_\+en}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\hyperlink{classdisplay__driver__w__decoder_a8f9235710fc037196ed1f7fa93aa0ef3}{wr\+\_\+en}  {\bfseries {\bfseries \textcolor{keywordflow}{in}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
\begin{DoxyCompactList}\small\item\em active high write enable to store the A\+S\+C\+II code in a register \end{DoxyCompactList}\item
\begin{DoxyCompactList}\small\item\em active high write enable to store the A\+S\+C\+II code in a register \end{DoxyCompactList}\item
\hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
\hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }}
\item
 
\hyperlink{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}{disp\+\_\+sel}  {\bfseries {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }}} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }}
 
\end{DoxyCompactItemize}
\end{DoxyCompactItemize}
 
 
 
 
\subsection{Detailed Description}
\subsection{Detailed Description}
Top entity of the display driver.
Top entity of the display driver.
 
 
Top entity of the decoder architecture. Module description also goes here.
Top entity of the decoder architecture. Module description also goes here.
 
 
\subsection{Member Data Documentation}
\subsection{Member Data Documentation}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}\label{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}}
\mbox{\Hypertarget{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}\label{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}}
\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}!disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}}
\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}!disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}}
\index{disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}!display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
\index{disp\+\_\+data\+\_\+q@{disp\+\_\+data\+\_\+q}!display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
\subsubsection{\texorpdfstring{disp\+\_\+data\+\_\+q}{disp\_data\_q}}
\subsubsection{\texorpdfstring{disp\+\_\+data\+\_\+q}{disp\_data\_q}}
{\footnotesize\ttfamily \hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q} {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
{\footnotesize\ttfamily \hyperlink{classdisplay__driver__w__decoder_ae5d1871b211b0ea1a0592bd84db8cfd9}{disp\+\_\+data\+\_\+q} {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic\+\_\+vector}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{(}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{14} \textcolor{vhdlchar}{ }\textcolor{keywordflow}{downto}\textcolor{vhdlchar}{ }\textcolor{vhdlchar}{ } \textcolor{vhdldigit}{0} \textcolor{vhdlchar}{ }\textcolor{vhdlchar}{)}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
 
 
Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required disp\+\_\+sel signal must be provided (according typical dynamic display indication).\hypertarget{index_disp_data_bit_mapping}{}\subsection{Display Segment Bit Mapping}\label{index_disp_data_bit_mapping}
Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required scan signal must be additionally provided (according typical dynamic display indication).\hypertarget{index_disp_data_bit_mapping}{}\subsection{Display Segment Bit Mapping}\label{index_disp_data_bit_mapping}
\tabulinesep=1mm
\tabulinesep=1mm
\begin{longtabu} spread 0pt [c]{*{16}{|X[-1]}|}
\begin{longtabu} spread 0pt [c]{*{16}{|X[-1]}|}
\hline
\hline
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
\endfirsthead
\endfirsthead
\hline
\hline
\endfoot
\endfoot
\hline
\hline
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
\endhead
\endhead
\PBS\centering Display Segment&\PBS\centering dp&\PBS\centering m&\PBS\centering l&\PBS\centering k&\PBS\centering j&\PBS\centering i&\PBS\centering h&\PBS\centering g2&\PBS\centering g1&\PBS\centering f&\PBS\centering e&\PBS\centering d&\PBS\centering c&\PBS\centering b&\PBS\centering a \\\cline{1-16}
\PBS\centering Display Segment&\PBS\centering dp&\PBS\centering m&\PBS\centering l&\PBS\centering k&\PBS\centering j&\PBS\centering i&\PBS\centering h&\PBS\centering g2&\PBS\centering g1&\PBS\centering f&\PBS\centering e&\PBS\centering d&\PBS\centering c&\PBS\centering b&\PBS\centering a \\\cline{1-16}
\end{longtabu}
\end{longtabu}
Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture\+: \href{https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif}{\tt https\+://www.\+maximintegrated.\+com/en/images/appnotes/3211/3211\+Fig02.\+gif} \mbox{\Hypertarget{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}\label{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}}
Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture\+:
\index{display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}!disp\+\_\+sel@{disp\+\_\+sel}}
 
\index{disp\+\_\+sel@{disp\+\_\+sel}!display\+\_\+driver\+\_\+w\+\_\+decoder@{display\+\_\+driver\+\_\+w\+\_\+decoder}}
 
\subsubsection{\texorpdfstring{disp\+\_\+sel}{disp\_sel}}
 
{\footnotesize\ttfamily \hyperlink{classdisplay__driver__w__decoder_ac39d5faa22b7c7b88914e8915f901d69}{disp\+\_\+sel} {\bfseries \textcolor{keywordflow}{out}\textcolor{vhdlchar}{ }} {\bfseries \textcolor{comment}{std\+\_\+logic}\textcolor{vhdlchar}{ }} \hspace{0.3cm}{\ttfamily [Port]}}
 
 
 
If more displays needs to be fed change disp\+\_\+sel to vector with length equal to number of displays. Use principles of the standard dynamic indication\+: provide data then enable the displays sequentially. If brightness control is desired just A\+ND the selector and the P\+WM controller output.
 
 
 
The documentation for this class was generated from the following file\+:\begin{DoxyCompactItemize}
The documentation for this class was generated from the following file\+:\begin{DoxyCompactItemize}
\item
\item
C\+:/\+Projects/single-\/14-\/segment-\/display-\/driver-\/w-\/decoder/\+Project/\+Sources/\hyperlink{_display_driverw_decoder___top_8vhd}{Display\+Driverw\+Decoder\+\_\+\+Top.\+vhd}\end{DoxyCompactItemize}
C\+:/\+Projects/single-\/14-\/segment-\/display-\/driver-\/w-\/decoder/\+Project/\+Sources/\hyperlink{display__driver__w__decoder_8vhd}{display\+\_\+driver\+\_\+w\+\_\+decoder.\+vhd}\end{DoxyCompactItemize}
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.