OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [spw_fifo_ulight.(93).cnf.cdb] - Diff between revs 32 and 35

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 32 Rev 35
kk4Version 17.0.1 Build 598 06/07/2017 SJ Lite Edition<cxZn\5v@K\p!i{7
"hTTUGddK.y^	$ O#pDQyά=>fdQk|fwCιg(BX{\3NGrn~cnR5_([˝+M%u@^k_]=
RIm|m=LR,cp`z!,C
b
RFS,y/9@>r2ȩ @S=1^9bʂ)9IYiydnUVY[enUVY[enU.dD>y78dCt
qր=oԨGaL|}b8q)SƩ0Oa0N:ijcZc
bd1RUAd Fj#]5HX
GYb
p4HZmIZmIZCmIZe5 50{c7nOs/{+{j}F$5TX_0Eqx%Өm*l*6al?جI_ʋqL04іR"#)~+|
kk4Version 17.0.1 Build 598 06/07/2017 SJ Lite EditionpZ    x\=lE^C      ?Wql'dA\Ӆ686rAAAI

J(,JJ*~ @ٷfowf'ь53s9H&{yVR)/ûdv&ט!CMK\o}ԩե3g5b[
xU,s̅2\`PB?#Pn>U./՗%eQj7%
1V v_:Z:wҨWoy'㡙%ag.ulp0<}`~ocohc
`øYt,dIUē.'Y]O tuAr'jAg?j6Y;s
ʅ+'~)Ӻ7-{(>Ūf:$xEg#j-qŞ)>7
i3s=[{>ėIQ%Lzqh5ݞ        kЌC*:YDX?D0W(cq >D"?уOGͼWDqF1j?ܼZJgeی      -hC
''hFoD]l.?Oy2l{Ϲw(T`tW'ctqٿUR@osz{Co}=5_.>Cݰ=#{=ݱNGm#/vvVN#UGq!m;?SnΙ892F[(;dqԤC+Tl'tg
=KP^(j:wqa\<בC^1ھ(s~џ//tkCx}esiqjbkսh&)ܰu7CYS}ssz44YѨ+
`
">bЍNP:T\4-9*]hT#[(f8&$]4ݿb0
\ovnb}^fn
MeB]*cReTNy*B0uY3Z'ǽlcgoԳL}Y5uR+%KS?Lݫ1<UlR[ԏ5S)B0Y	E*^WRO4S5:i[}U5#RTR9KүδeN	BS?Lo>C̟1T-`DmUզ8#_LuDs[ԭU\R֯T[5l}8SVx.K[UmwdV:9HdcOZ`+'նj
5DeΤ{t$GU+8~dWG>C)5M|{F(I{        V|({F(I{      (]
jnordA@ĒHD'ܴ6?ƫ{t YhD"w_O5j=CPfa7h!ml
{        V%*T?xb`dH```PIfL@,~~!>!~!AL@
|0(]UVm|m\W
mX7vvwwruaftw" y`wxO  gH
(
`n̩Rk1hھ2dFqXg}vw5\hC1DF:}KKu,r)r)r%Ηy̗
oDŽ@ŕ(HEQY㳻f@035ȗ!(w'>@d""ѹ6@
h1ż|ck#{ϕE.E.9tg1ZDWvlX/J#hDy+hY       _t~nZf5!vi~Y^-Z@lhJB    %;a)Rs&bqb "^m>dt|"Eᣋ              /d$~GCBVpArArArArArAr!BIh:gpyů-roR?Csi!D'`M6TE1EP?E9)<Ěb1
l+dXd6gyIGRGev@yZT9:qո?!@o)0:1ؕ2m a4R{?S2C|B=\]AiI0t
,.~cs8VPb*'F9ѥyřy)
('粢Ȳ};"Eܰ=|*>Hxb`dH```PIfL@,~~!>!~!AL@
 
mX7vvwwruaftw" y`wxO  gH
 
oDŽ@ŕ(KHEQY㳻(*B\|2{'xAV*)``JkvH_N@hs@X.z)?#PԹqŒB؅a/1%bȺ h2X7K]P\x'`W>@;/Xg0JK3N
w
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.