OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_mm_interconnect_0_avalon_st_adapter.v] - Diff between revs 32 and 40

Only display areas with differences | Details | Blame | View Log

Rev 32 Rev 40
// ulight_fifo_mm_interconnect_0_avalon_st_adapter.v
// ulight_fifo_mm_interconnect_0_avalon_st_adapter.v
 
 
// This file was auto-generated from altera_avalon_st_adapter_hw.tcl.  If you edit it your changes
// This file was auto-generated from altera_avalon_st_adapter_hw.tcl.  If you edit it your changes
// will probably be lost.
// will probably be lost.
// 
// 
// Generated using ACDS version 17.0 598
// Generated using ACDS version 17.1 593
 
 
`timescale 1 ps / 1 ps
`timescale 1 ps / 1 ps
module ulight_fifo_mm_interconnect_0_avalon_st_adapter #(
module ulight_fifo_mm_interconnect_0_avalon_st_adapter #(
                parameter inBitsPerSymbol = 34,
                parameter inBitsPerSymbol = 34,
                parameter inUsePackets    = 0,
                parameter inUsePackets    = 0,
                parameter inDataWidth     = 34,
                parameter inDataWidth     = 34,
                parameter inChannelWidth  = 0,
                parameter inChannelWidth  = 0,
                parameter inErrorWidth    = 0,
                parameter inErrorWidth    = 0,
                parameter inUseEmptyPort  = 0,
                parameter inUseEmptyPort  = 0,
                parameter inUseValid      = 1,
                parameter inUseValid      = 1,
                parameter inUseReady      = 1,
                parameter inUseReady      = 1,
                parameter inReadyLatency  = 0,
                parameter inReadyLatency  = 0,
                parameter outDataWidth    = 34,
                parameter outDataWidth    = 34,
                parameter outChannelWidth = 0,
                parameter outChannelWidth = 0,
                parameter outErrorWidth   = 1,
                parameter outErrorWidth   = 1,
                parameter outUseEmptyPort = 0,
                parameter outUseEmptyPort = 0,
                parameter outUseValid     = 1,
                parameter outUseValid     = 1,
                parameter outUseReady     = 1,
                parameter outUseReady     = 1,
                parameter outReadyLatency = 0
                parameter outReadyLatency = 0
        ) (
        ) (
                input  wire        in_clk_0_clk,   // in_clk_0.clk
                input  wire        in_clk_0_clk,   // in_clk_0.clk
                input  wire        in_rst_0_reset, // in_rst_0.reset
                input  wire        in_rst_0_reset, // in_rst_0.reset
                input  wire [33:0] in_0_data,      //     in_0.data
                input  wire [33:0] in_0_data,      //     in_0.data
                input  wire        in_0_valid,     //         .valid
                input  wire        in_0_valid,     //         .valid
                output wire        in_0_ready,     //         .ready
                output wire        in_0_ready,     //         .ready
                output wire [33:0] out_0_data,     //    out_0.data
                output wire [33:0] out_0_data,     //    out_0.data
                output wire        out_0_valid,    //         .valid
                output wire        out_0_valid,    //         .valid
                input  wire        out_0_ready,    //         .ready
                input  wire        out_0_ready,    //         .ready
                output wire [0:0]  out_0_error     //         .error
                output wire [0:0]  out_0_error     //         .error
        );
        );
 
 
        generate
        generate
                // If any of the display statements (or deliberately broken
                // If any of the display statements (or deliberately broken
                // instantiations) within this generate block triggers then this module
                // instantiations) within this generate block triggers then this module
                // has been instantiated this module with a set of parameters different
                // has been instantiated this module with a set of parameters different
                // from those it was generated for.  This will usually result in a
                // from those it was generated for.  This will usually result in a
                // non-functioning system.
                // non-functioning system.
                if (inBitsPerSymbol != 34)
                if (inBitsPerSymbol != 34)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inbitspersymbol_check ( .error(1'b1) );
                                        inbitspersymbol_check ( .error(1'b1) );
                end
                end
                if (inUsePackets != 0)
                if (inUsePackets != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inusepackets_check ( .error(1'b1) );
                                        inusepackets_check ( .error(1'b1) );
                end
                end
                if (inDataWidth != 34)
                if (inDataWidth != 34)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        indatawidth_check ( .error(1'b1) );
                                        indatawidth_check ( .error(1'b1) );
                end
                end
                if (inChannelWidth != 0)
                if (inChannelWidth != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inchannelwidth_check ( .error(1'b1) );
                                        inchannelwidth_check ( .error(1'b1) );
                end
                end
                if (inErrorWidth != 0)
                if (inErrorWidth != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inerrorwidth_check ( .error(1'b1) );
                                        inerrorwidth_check ( .error(1'b1) );
                end
                end
                if (inUseEmptyPort != 0)
                if (inUseEmptyPort != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inuseemptyport_check ( .error(1'b1) );
                                        inuseemptyport_check ( .error(1'b1) );
                end
                end
                if (inUseValid != 1)
                if (inUseValid != 1)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inusevalid_check ( .error(1'b1) );
                                        inusevalid_check ( .error(1'b1) );
                end
                end
                if (inUseReady != 1)
                if (inUseReady != 1)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inuseready_check ( .error(1'b1) );
                                        inuseready_check ( .error(1'b1) );
                end
                end
                if (inReadyLatency != 0)
                if (inReadyLatency != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        inreadylatency_check ( .error(1'b1) );
                                        inreadylatency_check ( .error(1'b1) );
                end
                end
                if (outDataWidth != 34)
                if (outDataWidth != 34)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outdatawidth_check ( .error(1'b1) );
                                        outdatawidth_check ( .error(1'b1) );
                end
                end
                if (outChannelWidth != 0)
                if (outChannelWidth != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outchannelwidth_check ( .error(1'b1) );
                                        outchannelwidth_check ( .error(1'b1) );
                end
                end
                if (outErrorWidth != 1)
                if (outErrorWidth != 1)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outerrorwidth_check ( .error(1'b1) );
                                        outerrorwidth_check ( .error(1'b1) );
                end
                end
                if (outUseEmptyPort != 0)
                if (outUseEmptyPort != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outuseemptyport_check ( .error(1'b1) );
                                        outuseemptyport_check ( .error(1'b1) );
                end
                end
                if (outUseValid != 1)
                if (outUseValid != 1)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outusevalid_check ( .error(1'b1) );
                                        outusevalid_check ( .error(1'b1) );
                end
                end
                if (outUseReady != 1)
                if (outUseReady != 1)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outuseready_check ( .error(1'b1) );
                                        outuseready_check ( .error(1'b1) );
                end
                end
                if (outReadyLatency != 0)
                if (outReadyLatency != 0)
                begin
                begin
                        initial begin
                        initial begin
                                $display("Generated module instantiated with wrong parameters");
                                $display("Generated module instantiated with wrong parameters");
                                $stop;
                                $stop;
                        end
                        end
                        instantiated_with_wrong_parameters_error_see_comment_above
                        instantiated_with_wrong_parameters_error_see_comment_above
                                        outreadylatency_check ( .error(1'b1) );
                                        outreadylatency_check ( .error(1'b1) );
                end
                end
        endgenerate
        endgenerate
 
 
        ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 (
        ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 (
                .clk       (in_clk_0_clk),    //   clk.clk
                .clk       (in_clk_0_clk),    //   clk.clk
                .reset_n   (~in_rst_0_reset), // reset.reset_n
                .reset_n   (~in_rst_0_reset), // reset.reset_n
                .in_data   (in_0_data),       //    in.data
                .in_data   (in_0_data),       //    in.data
                .in_valid  (in_0_valid),      //      .valid
                .in_valid  (in_0_valid),      //      .valid
                .in_ready  (in_0_ready),      //      .ready
                .in_ready  (in_0_ready),      //      .ready
                .out_data  (out_0_data),      //   out.data
                .out_data  (out_0_data),      //   out.data
                .out_valid (out_0_valid),     //      .valid
                .out_valid (out_0_valid),     //      .valid
                .out_ready (out_0_ready),     //      .ready
                .out_ready (out_0_ready),     //      .ready
                .out_error (out_0_error)      //      .error
                .out_error (out_0_error)      //      .error
        );
        );
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.